repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
MiddleMan5/233 | Experiments/IP_Repo/Program Counter/sim/Program_Counter_Mux4x1_0_1.vhd | 2 | 3425 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: CPE233:F17:Mux4x1:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY Program_Counter_Mux4x1_0_1 IS
PORT (
A : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
C : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
D : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END Program_Counter_Mux4x1_0_1;
ARCHITECTURE Program_Counter_Mux4x1_0_1_arch OF Program_Counter_Mux4x1_0_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF Program_Counter_Mux4x1_0_1_arch: ARCHITECTURE IS "yes";
COMPONENT Mux4x1 IS
PORT (
A : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
C : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
D : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT Mux4x1;
BEGIN
U0 : Mux4x1
PORT MAP (
A => A,
B => B,
C => C,
D => D,
SEL => SEL,
X => X
);
END Program_Counter_Mux4x1_0_1_arch;
| mit |
odeke-em/hdl-class | learning/2_input_and.vhd | 1 | 148 | entity And2 is
port (x, y: in BIT; z: out BIT);
end entity And2;
architecture gate1 of And2 is
begin
z <= x and y;
end architecture gate1;
| mit |
MiddleMan5/233 | Experiments/RTL_Components/CPE233-master/vgaDriverBuffer.vhd | 1 | 3916 | --
-- The interface to the VGA driver module. Extended to both read and write
-- to the framebuffer (to check the color values of a particular pixel).
--
-- Original author: unknown
--
-- Modified by: Peter Heatwole, Aaron Barton
-- CPE233, Winter 2012, CalPoly
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity vgaDriverBuffer is
Port ( CLK, we : in std_logic;
wa : in std_logic_vector (10 downto 0);
wd : in std_logic_vector (7 downto 0);
Rout : out std_logic_vector(2 downto 0);
Gout : out std_logic_vector(2 downto 0);
Bout : out std_logic_vector(1 downto 0);
HS : out std_logic;
VS : out std_logic;
pixelData : out std_logic_vector(7 downto 0)
);
end vgaDriverBuffer;
architecture Behavioral of vgaDriverBuffer is
-- vga driver signals
signal rgbout : std_logic_vector(7 downto 0);
signal ra : std_logic_vector(10 downto 0);
signal vgaData : std_logic_vector(7 downto 0);
signal fb_wr, vgaclk : std_logic;
signal red, green : std_logic_vector(2 downto 0);
signal blue : std_logic_vector(1 downto 0);
signal row, column : std_logic_vector(9 downto 0);
-- Added to read the pixel data at address 'wa' -- pfh, 3/1/2012
signal pixelVal : std_logic_vector(7 downto 0);
-- Declare VGA driver components
component VGAdrive is
port( clock : in std_logic; -- 25.175 Mhz clock
red, green : in std_logic_vector(2 downto 0);
blue : in std_logic_vector(1 downto 0);
row, column : out std_logic_vector(9 downto 0); -- for current pixel
Rout, Gout : out std_logic_vector(2 downto 0);
Bout : out std_logic_vector(1 downto 0);
H, V : out std_logic); -- VGA drive signals
end component;
component ram2k_8 is
port(clk: in STD_LOGIC;
we: in STD_LOGIC;
ra, wa: in STD_LOGIC_VECTOR(10 downto 0);
wd: in STD_LOGIC_VECTOR(7 downto 0);
rd: out STD_LOGIC_VECTOR(7 downto 0);
pixelVal: out STD_LOGIC_VECTOR(7 downto 0));
end component;
component vga_clk_div is
port(clk : in std_logic;
clkout : out std_logic);
end component;
begin
frameBuffer : ram2k_8 port map ( clk => clk, --CLK
we => we,
ra => ra,
wa => wa,
wd => wd,
rd => vgaData,
pixelVal => pixelVal);
vga_out : VGAdrive port map ( clock => vgaclk,
red => red,
green => green,
blue => blue,
row => row,
column => column,
Rout => Rout,
Gout => Gout,
Bout => Bout,
H => HS,
V => VS );
-- read signals from fb
ra <= row (8 downto 4) & column(9 downto 4);
red <= vgaData(7 downto 5);
green <= vgaData(4 downto 2);
blue <= vgaData(1 downto 0);
pixelData <= pixelVal; -- returns the pixel data in the framebuffer at address 'wa'
vga_clk : vga_clk_div port map ( clk => CLK, clkout => vgaclk);
end Behavioral;
| mit |
MiddleMan5/233 | Experiments/Experiment8-GeterDone/IPI-BD/RAT/ipshared/c49f/xlslice.vhd | 2 | 1231 | ------------------------------------------------------------------------
--
-- Filename : xlslice.vhd
--
-- Date : 06/05/12
--
-- Description : VHDL description of a slice block. This
-- block does not use a core.
--
------------------------------------------------------------------------
------------------------------------------------------------------------
--
-- Entity : xlslice
--
-- Architecture : behavior
--
-- Description : Top level VHDL description of bus slicer
--
------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity xlslice is
generic (
DIN_WIDTH : integer := 32; -- Width of a Din input
DIN_FROM : integer := 8; -- Din msb position to slice from
DIN_TO : integer := 8); -- Din lsb position to slice to
port (
Din : in std_logic_vector (DIN_WIDTH-1 downto 0);
Dout : out std_logic_vector ( DIN_FROM - DIN_TO downto 0)
);
end xlslice;
architecture behavioral of xlslice is
begin
Dout <= Din(DIN_FROM downto DIN_TO);
end behavioral;
| mit |
alpenwasser/pitaya | firmware/fpga/cores/dec_to_fir_mux_v1_0/tb/full_tb.vhd | 2 | 2476 | ----------------------------------------------------------------------------------
--
-- full_tb.vhd
--
-- (c) 2015
-- L. Schrittwieser
-- N. Huesser
--
----------------------------------------------------------------------------------
--
-- A testbench to test the logger core with real inputs.
--
----------------------------------------------------------------------------------
library UNISIM;
use UNISIM.VCOMPONENTS.all;
library UNIMACRO;
use UNIMACRO.VCOMPONENTS.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.math_real.all;
entity full_tb is
end full_tb;
architecture Behavioral of full_tb is
-- TODO:
-- create testsignals here
signal tbClkxC : std_logic := '0';
signal tbRstxRB : std_logic := '0';
signal tbDataxD : std_logic_vector(31 downto 0) := (others => '0');
signal tbCntxD: signed(15 downto 0) := to_signed(-430, 16);
signal tbValidxS : std_logic := '0';
signal tbReadyxS: std_logic := '0';
signal tbData0xDO: std_logic_vector(15 downto 0) := (others => '0');
signal tbData1xDO: std_logic_vector(15 downto 0) := (others => '0');
signal tbStrobexS: std_logic := '0';
begin
-- generate clock
tbClkxC <= not tbClkxC after 1ns;
tbDataxD <= std_logic_vector(tbCntxD) & std_logic_vector(tbCntxD);
DUT : entity work.axis_to_data_lanes
generic map (
Decimation => 3
)
port map (
ClkxCI => tbClkxC,
RstxRBI => tbRstxRB,
AxiTDataxDI=> tbDataxD,
AxiTValid => tbValidxS,
AxiTReady => tbReadyxS,
Data0xDO => tbData0xDO,
Data1xDO => tbData1xDO,
DataStrobexDO => tbStrobexS
);
process
begin
-- TODO:
-- write chain of events here
tbRstxRB <= '0';
wait until rising_edge(tbClkxC);
wait until rising_edge(tbClkxC);
tbRstxRB <= '1';
wait until rising_edge(tbClkxC);
tbValidxS <= '0';
for i in 0 to 30 loop
wait until rising_edge(tbClkxC);
end loop;
tbValidxS <= '1';
for i in 0 to 30 loop
wait until rising_edge(tbClkxC);
end loop;
wait;
end process;
process(tbClkxC, tbRstxRB, tbCntxD)
begin
if rising_edge(tbClkxC) then
tbCntxD <= to_signed(-430, 16);
if tbRstxRB = '1' then
tbCntxD <= tbCntxD + 1;
end if;
end if;
end process;
end Behavioral;
| mit |
MiddleMan5/233 | Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_slice_12_3_0/sim/RAT_slice_12_3_0.vhd | 2 | 3212 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:xlslice:1.0
-- IP Revision: 0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY work;
USE work.xlslice;
ENTITY RAT_slice_12_3_0 IS
PORT (
Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
Dout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END RAT_slice_12_3_0;
ARCHITECTURE RAT_slice_12_3_0_arch OF RAT_slice_12_3_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_slice_12_3_0_arch: ARCHITECTURE IS "yes";
COMPONENT xlslice IS
GENERIC (
DIN_WIDTH : INTEGER;
DIN_FROM : INTEGER;
DIN_TO : INTEGER
);
PORT (
Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
Dout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT xlslice;
BEGIN
U0 : xlslice
GENERIC MAP (
DIN_WIDTH => 18,
DIN_FROM => 17,
DIN_TO => 13
)
PORT MAP (
Din => Din,
Dout => Dout
);
END RAT_slice_12_3_0_arch;
| mit |
MiddleMan5/233 | Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_RegisterFile_0_0/RAT_RegisterFile_0_0_sim_netlist.vhdl | 2 | 6533 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Thu Oct 26 22:46:57 2017
-- Host : Juice-Laptop running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_RegisterFile_0_0/RAT_RegisterFile_0_0_sim_netlist.vhdl
-- Design : RAT_RegisterFile_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity RAT_RegisterFile_0_0_RegisterFile is
port (
DY_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 );
DX_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 );
CLK : in STD_LOGIC;
D_IN : in STD_LOGIC_VECTOR ( 7 downto 0 );
WE : in STD_LOGIC;
ADRX : in STD_LOGIC_VECTOR ( 4 downto 0 );
ADRY : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of RAT_RegisterFile_0_0_RegisterFile : entity is "RegisterFile";
end RAT_RegisterFile_0_0_RegisterFile;
architecture STRUCTURE of RAT_RegisterFile_0_0_RegisterFile is
begin
REG_reg_0_31_0_0: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(0),
DPO => DY_OUT(0),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(0),
WCLK => CLK,
WE => WE
);
REG_reg_0_31_1_1: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(1),
DPO => DY_OUT(1),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(1),
WCLK => CLK,
WE => WE
);
REG_reg_0_31_2_2: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(2),
DPO => DY_OUT(2),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(2),
WCLK => CLK,
WE => WE
);
REG_reg_0_31_3_3: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(3),
DPO => DY_OUT(3),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(3),
WCLK => CLK,
WE => WE
);
REG_reg_0_31_4_4: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(4),
DPO => DY_OUT(4),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(4),
WCLK => CLK,
WE => WE
);
REG_reg_0_31_5_5: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(5),
DPO => DY_OUT(5),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(5),
WCLK => CLK,
WE => WE
);
REG_reg_0_31_6_6: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(6),
DPO => DY_OUT(6),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(6),
WCLK => CLK,
WE => WE
);
REG_reg_0_31_7_7: unisim.vcomponents.RAM32X1D
generic map(
INIT => X"00000000"
)
port map (
A0 => ADRX(0),
A1 => ADRX(1),
A2 => ADRX(2),
A3 => ADRX(3),
A4 => ADRX(4),
D => D_IN(7),
DPO => DY_OUT(7),
DPRA0 => ADRY(0),
DPRA1 => ADRY(1),
DPRA2 => ADRY(2),
DPRA3 => ADRY(3),
DPRA4 => ADRY(4),
SPO => DX_OUT(7),
WCLK => CLK,
WE => WE
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity RAT_RegisterFile_0_0 is
port (
D_IN : in STD_LOGIC_VECTOR ( 7 downto 0 );
DX_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 );
DY_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 );
ADRX : in STD_LOGIC_VECTOR ( 4 downto 0 );
ADRY : in STD_LOGIC_VECTOR ( 4 downto 0 );
WE : in STD_LOGIC;
CLK : in STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of RAT_RegisterFile_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of RAT_RegisterFile_0_0 : entity is "RAT_RegisterFile_0_0,RegisterFile,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of RAT_RegisterFile_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of RAT_RegisterFile_0_0 : entity is "RegisterFile,Vivado 2016.4";
end RAT_RegisterFile_0_0;
architecture STRUCTURE of RAT_RegisterFile_0_0 is
begin
U0: entity work.RAT_RegisterFile_0_0_RegisterFile
port map (
ADRX(4 downto 0) => ADRX(4 downto 0),
ADRY(4 downto 0) => ADRY(4 downto 0),
CLK => CLK,
DX_OUT(7 downto 0) => DX_OUT(7 downto 0),
DY_OUT(7 downto 0) => DY_OUT(7 downto 0),
D_IN(7 downto 0) => D_IN(7 downto 0),
WE => WE
);
end STRUCTURE;
| mit |
alpenwasser/pitaya | firmware/fpga/p_FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/noah-huesser/dec_to_fir_mux_v1_0/src/dec_to_fir_mux.vhd | 2 | 1576 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity dec_to_fir_mux is
port (
DecRate: in std_logic_vector(31 downto 0);
Mux3: out std_logic_vector(1 downto 0);
Mux2: out std_logic_vector(1 downto 0);
Mux1: out std_logic_vector(1 downto 0);
Mux0: out std_logic_vector(1 downto 0)
);
end dec_to_fir_mux;
architecture V1 of dec_to_fir_mux is
begin
-- Persistent signal mappings
p_converter: process(DecRate)
begin
case to_integer(unsigned(DecRate)) is
when 5 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "00";
Mux3 <= "00";
when 25 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "01";
Mux3 <= "00";
when 125 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "00";
Mux3 <= "01";
when 625 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "01";
Mux3 <= "01";
when 1250 =>
Mux0 <= "01";
Mux1 <= "10";
Mux2 <= "00";
Mux3 <= "10";
when 2500 =>
Mux0 <= "01";
Mux1 <= "01";
Mux2 <= "00";
Mux3 <= "10";
when others =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "00";
Mux3 <= "00";
end case;
end process;
end V1;
| mit |
alpenwasser/pitaya | firmware/fpga/p_FIR_sim/FIR_sim.ip_user_files/bd/design_1/ip/design_1_dds_compiler_0_0/sim/design_1_dds_compiler_0_0.vhd | 2 | 8413 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:dds_compiler:6.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY dds_compiler_v6_0_12;
USE dds_compiler_v6_0_12.dds_compiler_v6_0_12;
ENTITY design_1_dds_compiler_0_0 IS
PORT (
aclk : IN STD_LOGIC;
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END design_1_dds_compiler_0_0;
ARCHITECTURE design_1_dds_compiler_0_0_arch OF design_1_dds_compiler_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_dds_compiler_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT dds_compiler_v6_0_12 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_MODE_OF_OPERATION : INTEGER;
C_MODULUS : INTEGER;
C_ACCUMULATOR_WIDTH : INTEGER;
C_CHANNELS : INTEGER;
C_HAS_PHASE_OUT : INTEGER;
C_HAS_PHASEGEN : INTEGER;
C_HAS_SINCOS : INTEGER;
C_LATENCY : INTEGER;
C_MEM_TYPE : INTEGER;
C_NEGATIVE_COSINE : INTEGER;
C_NEGATIVE_SINE : INTEGER;
C_NOISE_SHAPING : INTEGER;
C_OUTPUTS_REQUIRED : INTEGER;
C_OUTPUT_FORM : INTEGER;
C_OUTPUT_WIDTH : INTEGER;
C_PHASE_ANGLE_WIDTH : INTEGER;
C_PHASE_INCREMENT : INTEGER;
C_PHASE_INCREMENT_VALUE : STRING;
C_RESYNC : INTEGER;
C_PHASE_OFFSET : INTEGER;
C_PHASE_OFFSET_VALUE : STRING;
C_OPTIMISE_GOAL : INTEGER;
C_USE_DSP48 : INTEGER;
C_POR_MODE : INTEGER;
C_AMPLITUDE : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_HAS_TLAST : INTEGER;
C_HAS_TREADY : INTEGER;
C_HAS_S_PHASE : INTEGER;
C_S_PHASE_TDATA_WIDTH : INTEGER;
C_S_PHASE_HAS_TUSER : INTEGER;
C_S_PHASE_TUSER_WIDTH : INTEGER;
C_HAS_S_CONFIG : INTEGER;
C_S_CONFIG_SYNC_MODE : INTEGER;
C_S_CONFIG_TDATA_WIDTH : INTEGER;
C_HAS_M_DATA : INTEGER;
C_M_DATA_TDATA_WIDTH : INTEGER;
C_M_DATA_HAS_TUSER : INTEGER;
C_M_DATA_TUSER_WIDTH : INTEGER;
C_HAS_M_PHASE : INTEGER;
C_M_PHASE_TDATA_WIDTH : INTEGER;
C_M_PHASE_HAS_TUSER : INTEGER;
C_M_PHASE_TUSER_WIDTH : INTEGER;
C_DEBUG_INTERFACE : INTEGER;
C_CHAN_WIDTH : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_phase_tvalid : IN STD_LOGIC;
s_axis_phase_tready : OUT STD_LOGIC;
s_axis_phase_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_phase_tlast : IN STD_LOGIC;
s_axis_phase_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_config_tlast : IN STD_LOGIC;
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_data_tlast : OUT STD_LOGIC;
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_phase_tvalid : OUT STD_LOGIC;
m_axis_phase_tready : IN STD_LOGIC;
m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_phase_tlast : OUT STD_LOGIC;
m_axis_phase_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
event_pinc_invalid : OUT STD_LOGIC;
event_poff_invalid : OUT STD_LOGIC;
event_phase_in_invalid : OUT STD_LOGIC;
event_s_phase_tlast_missing : OUT STD_LOGIC;
event_s_phase_tlast_unexpected : OUT STD_LOGIC;
event_s_phase_chanid_incorrect : OUT STD_LOGIC;
event_s_config_tlast_missing : OUT STD_LOGIC;
event_s_config_tlast_unexpected : OUT STD_LOGIC
);
END COMPONENT dds_compiler_v6_0_12;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
BEGIN
U0 : dds_compiler_v6_0_12
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_MODE_OF_OPERATION => 0,
C_MODULUS => 9,
C_ACCUMULATOR_WIDTH => 16,
C_CHANNELS => 1,
C_HAS_PHASE_OUT => 0,
C_HAS_PHASEGEN => 1,
C_HAS_SINCOS => 1,
C_LATENCY => 3,
C_MEM_TYPE => 1,
C_NEGATIVE_COSINE => 0,
C_NEGATIVE_SINE => 0,
C_NOISE_SHAPING => 0,
C_OUTPUTS_REQUIRED => 1,
C_OUTPUT_FORM => 0,
C_OUTPUT_WIDTH => 8,
C_PHASE_ANGLE_WIDTH => 8,
C_PHASE_INCREMENT => 2,
C_PHASE_INCREMENT_VALUE => "11,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0",
C_RESYNC => 0,
C_PHASE_OFFSET => 0,
C_PHASE_OFFSET_VALUE => "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0",
C_OPTIMISE_GOAL => 0,
C_USE_DSP48 => 0,
C_POR_MODE => 0,
C_AMPLITUDE => 0,
C_HAS_ACLKEN => 0,
C_HAS_ARESETN => 0,
C_HAS_TLAST => 0,
C_HAS_TREADY => 0,
C_HAS_S_PHASE => 0,
C_S_PHASE_TDATA_WIDTH => 1,
C_S_PHASE_HAS_TUSER => 0,
C_S_PHASE_TUSER_WIDTH => 1,
C_HAS_S_CONFIG => 0,
C_S_CONFIG_SYNC_MODE => 0,
C_S_CONFIG_TDATA_WIDTH => 1,
C_HAS_M_DATA => 1,
C_M_DATA_TDATA_WIDTH => 8,
C_M_DATA_HAS_TUSER => 0,
C_M_DATA_TUSER_WIDTH => 1,
C_HAS_M_PHASE => 0,
C_M_PHASE_TDATA_WIDTH => 1,
C_M_PHASE_HAS_TUSER => 0,
C_M_PHASE_TUSER_WIDTH => 1,
C_DEBUG_INTERFACE => 0,
C_CHAN_WIDTH => 1
)
PORT MAP (
aclk => aclk,
aclken => '1',
aresetn => '1',
s_axis_phase_tvalid => '0',
s_axis_phase_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_phase_tlast => '0',
s_axis_phase_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_config_tvalid => '0',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_config_tlast => '0',
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '0',
m_axis_data_tdata => m_axis_data_tdata,
m_axis_phase_tready => '0'
);
END design_1_dds_compiler_0_0_arch;
| mit |
alpenwasser/pitaya | firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.ip_user_files/ipstatic/dds_compiler_v6_0/hdl/dds_compiler_v6_0.vhd | 4 | 25746 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BeDk6slWwyJ7dkKWyaZdmI5S1xnQWnB2oiiYkvyYe3ILPohOGwb55RsmeeSbX1QjJu01hxqQuKng
/gQKr+nekw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ASzYKVAZmSO0SmC0FWgRspy8UM6oxvcf3jSUzSQ5aTbQcdQEmkCnyOPWPw5rhfBxgGmpUIes9+yb
Y1HX9gskfNW1iUc9hvj0/7i23Dl3Awuv9PwzU2qkFTur1xa+VTaDhjRdBkmelm1XEmzy0fVWfN3E
JrqrAgqGTQHZ2JkK6Bo=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oJBoHreUf2ZGu1uujJJM+r+7FZbqExapJJyyvy1o9iddxQis4QmRw6/bE0DAY0iOm9OEPedgUYiN
HJiQO008872laIEmtmT/BZsMbhdVL80RK/NlqxNSooHOOtA7Q2ooOW5Qroi6pqh15Of2uGz4EX8r
QzKai9gyZ1nNfMdTAvc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gXcd8sTNtxVLLLKC4rAjsRNsfX1NVlxv5NlbcoCN3RLErB2fm8TB5dri0TbIQGAb+HGHGVOVAHgx
uVooaR3J4n0jcKalCdHupCpw5tdmXAARWsN3+yNMWjktBvDZlREeBk2BplNU4DXuIjpyRlcW28oq
fXURF5uCQelaIUMgDwAyoK4ndypdafocPYsPsbB7ZcLdDX4H5Le9tBCnXO/3QcalHHXgUWKcLkyn
o62h+Ts9twP03kQwoK/zsw/Mj8ubV//CFoyYXoAsGg33zvV6pCpWjHcIR6qmaj3YFStAb9Gwjq47
yV9Y3uGyv5WU5KKhj3xqBA2tQXCqQY863nIZnQ==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZCOLBlM+DOBMBQ8zvcBqrtqtygwYjI0iydlVEAyokc0UPDasfRQj4taurJsghnxG4bETs5xI8oYV
0HnNJr9QlLNdd6mcJgJqN/c90+zI7I0/hnO/qlv0Pup/OiWbYiiAzYaGPmKRDqi7WYyqSO7I4TS2
AG2Q/zR6LKL+UR1LQcmMcJ4RgLFqPmMasX9iUCz5I9lsv9KntADfsOLwcJl5QoT1i4VZKbohe5Qm
MESQHJetAMfbworTVW5vJr8gNUaDSSpP+4845B0JGNCebeUUC8/1KVkOL2aPgIiLRFtWjAGp0OdP
Hgc1IPHx2d0B9ihxkm+YRP31ignQS302EQYvBw==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NrFXeDUSk0IEdKSAJNgkeyX3IOnuNIcPQAo5W4y9LavsF2f6Nt+rduqEQbagw39p3ash8XtbR/w2
nbOm3koCj/8C0OoRET7PqvN4QJy3y4VTXAZe0/S0IrLxQsNhhv6J/qZfD8QvZ356rQBjqyRt3tes
FKIyW/uL9wD45Iy27+yn385eZ31TEAWa3qUWjlZ4QirRNAT1OkORBDIQDHOOlrRwhcFvBqpmP+bt
dB3NdDgt5niwoonBSPDFf2StNdLHNsQCxz9zmE4Hap77op41g4Avc9CdLgPyKBKRlvYKlsU5dB+X
7VzJf8Jl3UhqXRVBX0i7dzEKJTZE1Bhvb5jelg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16928)
`protect data_block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=
`protect end_protected
| mit |
alpenwasser/pitaya | firmware/fpga/cores/dec_to_fir_mux_v1_0/dec_to_fir_mux.vhd | 1 | 1830 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity dec_to_fir_mux is
port (
DecRate: in std_logic_vector(31 downto 0);
Mux3: out std_logic_vector(1 downto 0);
Mux2: out std_logic_vector(1 downto 0);
Mux1: out std_logic_vector(1 downto 0);
Mux0: out std_logic_vector(1 downto 0);
MuxF: out std_logic_vector(1 downto 0)
);
end dec_to_fir_mux;
architecture V1 of dec_to_fir_mux is
begin
-- Persistent signal mappings
p_converter: process(DecRate)
begin
case to_integer(unsigned(DecRate)) is
when 5 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "00";
Mux3 <= "00";
MuxF <= "00";
when 25 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "01";
Mux3 <= "00";
MuxF <= "00";
when 125 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "00";
Mux3 <= "01";
MuxF <= "01";
when 625 =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "01";
Mux3 <= "01";
MuxF <= "01";
when 1250 =>
Mux0 <= "01";
Mux1 <= "10";
Mux2 <= "00";
Mux3 <= "10";
MuxF <= "01";
when 2500 =>
Mux0 <= "01";
Mux1 <= "01";
Mux2 <= "00";
Mux3 <= "10";
MuxF <= "01";
when others =>
Mux0 <= "00";
Mux1 <= "00";
Mux2 <= "00";
Mux3 <= "00";
MuxF <= "00";
end case;
end process;
end V1;
| mit |
MiddleMan5/233 | Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_FlagReg_0_1/sim/RAT_FlagReg_0_1.vhd | 2 | 3343 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:module_ref:FlagReg:1.0
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY RAT_FlagReg_0_1 IS
PORT (
IN_FLAG : IN STD_LOGIC;
LD : IN STD_LOGIC;
SET : IN STD_LOGIC;
CLR : IN STD_LOGIC;
CLK : IN STD_LOGIC;
OUT_FLAG : OUT STD_LOGIC
);
END RAT_FlagReg_0_1;
ARCHITECTURE RAT_FlagReg_0_1_arch OF RAT_FlagReg_0_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_FlagReg_0_1_arch: ARCHITECTURE IS "yes";
COMPONENT FlagReg IS
PORT (
IN_FLAG : IN STD_LOGIC;
LD : IN STD_LOGIC;
SET : IN STD_LOGIC;
CLR : IN STD_LOGIC;
CLK : IN STD_LOGIC;
OUT_FLAG : OUT STD_LOGIC
);
END COMPONENT FlagReg;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK";
BEGIN
U0 : FlagReg
PORT MAP (
IN_FLAG => IN_FLAG,
LD => LD,
SET => SET,
CLR => CLR,
CLK => CLK,
OUT_FLAG => OUT_FLAG
);
END RAT_FlagReg_0_1_arch;
| mit |
Wynjones1/VHDL-Build | example/text_display/text_ram.vhd | 1 | 1577 | library IEEE;
use IEEE.numeric_std.all;
use IEEE.std_logic_1164.all;
use work.display_comp.all;
package text_ram_comp is
type text_ram_in_t is
record
we : std_logic;
wd : character_t;
wx : natural range 0 to TEXT_WIDTH - 1;
wy : natural range 0 to TEXT_HEIGHT - 1;
rx : natural range 0 to TEXT_WIDTH - 1;
ry : natural range 0 to TEXT_HEIGHT - 1;
end record;
type text_ram_out_t is
record
data : character_t;
end record;
end package;
library IEEE;
use IEEE.numeric_std.all;
use IEEE.std_logic_1164.all;
use work.text_ram_comp.all;
use work.display_comp.all;
entity text_ram is
port(clk : in std_logic;
reset : in std_logic;
input : in text_ram_in_t;
output : out text_ram_out_t);
end text_ram;
architecture rtl of text_ram is
type ram_t is array(0 to TEXT_WIDTH * TEXT_HEIGHT - 1) of character_t;
subtype index_t is natural range 0 to TEXT_WIDTH * TEXT_HEIGHT - 1;
signal write_idx : index_t;
signal read_idx : index_t;
signal ram_s : ram_t;
begin
comb : process(input)
begin
write_idx <= input.wy * TEXT_WIDTH + input.wx;
read_idx <= input.ry * TEXT_WIDTH + input.rx;
end process;
seq : process(clk, reset)
begin
if rising_edge(clk) then
if input.we = '1' then
ram_s(write_idx) <= input.wd;
end if;
output.data <= ram_s(read_idx);
end if;
end process;
end architecture;
| mit |
matbur95/ucisw-pro | pro5a/Test1.vhd | 6 | 1855 | -- Vhdl test bench created from schematic C:\Users\lab\Desktop\burniak_cyran\pro\schema_example2.sch - Wed Mar 08 13:41:39 2017
--
-- Notes:
-- 1) This testbench template has been automatically generated using types
-- std_logic and std_logic_vector for the ports of the unit under test.
-- Xilinx recommends that these types always be used for the top-level
-- I/O of a design in order to guarantee that the testbench will bind
-- correctly to the timing (post-route) simulation model.
-- 2) To use this template as your testbench, change the filename to any
-- name of your choice with the extension .vhd, and use the "Source->Add"
-- menu in Project Navigator to import the testbench. Then
-- edit the user defined section below, adding code to generate the
-- stimulus for your design.
--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY UNISIM;
USE UNISIM.Vcomponents.ALL;
ENTITY schema_example2_schema_example2_sch_tb IS
END schema_example2_schema_example2_sch_tb;
ARCHITECTURE behavioral OF schema_example2_schema_example2_sch_tb IS
COMPONENT schema_example2
PORT( Clk_50MHz : IN STD_LOGIC;
VGA_R : OUT STD_LOGIC;
VGA_G : OUT STD_LOGIC;
VGA_B : OUT STD_LOGIC;
VGA_HS : OUT STD_LOGIC;
VGA_VS : OUT STD_LOGIC);
END COMPONENT;
SIGNAL Clk_50MHz : STD_LOGIC;
SIGNAL VGA_R : STD_LOGIC;
SIGNAL VGA_G : STD_LOGIC;
SIGNAL VGA_B : STD_LOGIC;
SIGNAL VGA_HS : STD_LOGIC;
SIGNAL VGA_VS : STD_LOGIC;
BEGIN
UUT: schema_example2 PORT MAP(
Clk_50MHz => Clk_50MHz,
VGA_R => VGA_R,
VGA_G => VGA_G,
VGA_B => VGA_B,
VGA_HS => VGA_HS,
VGA_VS => VGA_VS
);
-- *** Test Bench - User Defined Section ***
tb : PROCESS
BEGIN
WAIT; -- will wait forever
END PROCESS;
-- *** End Test Bench - User Defined Section ***
END;
| mit |
Rookfighter/fft-spartan6 | fft/whole_design_tb.vhd | 1 | 6043 | -- whole_design_tb.vhd
--
-- Created on: 17 Jul 2017
-- Author: Fabian Meyer
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fft_helpers.all;
entity whole_design_tb is
end entity;
architecture behavioral of whole_design_tb is
-- Component Declaration for the Unit Under Test (UUT)
component whole_design
generic(RSTDEF: std_logic := '0');
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
sda: inout std_logic; -- serial data of I2C
scl: inout std_logic); -- serial clock of I2C
end component;
-- Clock period definitions
constant clk_period: time := 10 ns;
constant BYTES: natural := 3;
constant SAMPLES: natural := 16;
constant test_data: complex_arr(0 to 15) := (
to_complex(0.0,0.0),
to_complex(1.0,0.0),
to_complex(2.0,0.0),
to_complex(3.0,0.0),
to_complex(4.0,0.0),
to_complex(5.0,0.0),
to_complex(6.0,0.0),
to_complex(7.0,0.0),
to_complex(8.0,0.0),
to_complex(9.0,0.0),
to_complex(10.0,0.0),
to_complex(11.0,0.0),
to_complex(12.0,0.0),
to_complex(13.0,0.0),
to_complex(14.0,0.0),
to_complex(15.0,0.0)
);
-- Generics
constant RSTDEF: std_logic := '0';
-- Inputs
signal rst: std_logic := RSTDEF;
signal clk: std_logic := '0';
--BiDirs
signal sda: std_logic := '1';
signal scl: std_logic := '1';
begin
-- Instantiate the Unit Under Test (UUT)
uut: whole_design
generic map(RSTDEF => RSTDEF)
port map(rst => rst,
clk => clk,
sda => sda,
scl => scl);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
-- sends a single bit over I2C
procedure send_bit(tosend: std_logic) is
begin
scl <= '0';
wait for clk_period;
sda <= tosend;
-- wait for delay element to take over new value
wait for 2*24*clk_period;
-- allow slave to read
scl <= '1';
wait for 2*clk_period;
end procedure;
-- receive a single bit over I2C
procedure recv_bit is
begin
scl <= '0';
sda <= 'Z';
wait for 2*clk_period;
scl <= '1';
wait for 2*clk_period;
end procedure;
-- sends start / repeated start condition over I2C
procedure send_start is
begin
send_bit('1');
-- rise sda without changing clk
sda <= '0';
wait for 2*25*clk_period;
end procedure;
-- sends stop condition over I2C
procedure send_stop is
begin
send_bit('0');
-- rise sda without changing clk
sda <= '1';
wait for 2*25*clk_period;
end procedure;
-- wait for an ack from slave over I2C
procedure wait_ack is
begin
send_bit('Z');
-- wait additional cycle for slave to release SDA again
scl <= '0';
wait for 2*clk_period;
end procedure;
-- send ack to slave
procedure send_ack is
begin
send_bit('0');
end procedure;
-- send nack to slave
procedure send_nack is
begin
send_bit('1');
end procedure;
procedure send_byte(data: std_logic_vector(7 downto 0)) is
begin
for i in 7 downto 0 loop
send_bit(data(i));
end loop;
wait_ack;
end;
procedure send_sample(data: signed(FIXLEN-1 downto 0)) is
variable byte_start: natural := 0;
variable byte_end: natural := 0;
begin
for i in 0 to BYTES-1 loop
byte_start := FIXLEN - (i * 8) - 1;
byte_end := FIXLEN - (i * 8) - 8;
send_byte(std_logic_vector(data(byte_start downto byte_end)));
end loop;
end;
begin
-- hold reset state for 100 ns.
wait for clk_period*10;
rst <= not RSTDEF;
-- init transmission
send_start;
-- send correct address
send_byte("01000000");
-- send OP code for reading
send_byte("00000001");
-- send samples
for i in 0 to 15 loop
send_sample(test_data(i).r);
end loop;
-- terminate transmission
send_stop;
wait for 10*clk_period;
-- init transmission
send_start;
-- send correct address
send_byte("01000000");
-- send OP code for running FFT
send_byte("00000010");
-- terminate transmission
send_stop;
wait for 50*clk_period;
-- init transmission
send_start;
-- send correct address
send_byte("01000000");
-- send OP code for reading results
send_byte("00000011");
-- repeated start
send_start;
-- send correct address with read bit
send_byte("01000001");
-- receive results
for i in 0 to 15 loop
for j in 0 to BYTES-1 loop
recv_bit; -- data bit 1
recv_bit; -- data bit 2
recv_bit; -- data bit 3
recv_bit; -- data bit 4
recv_bit; -- data bit 5
recv_bit; -- data bit 6
recv_bit; -- data bit 7
recv_bit; -- data bit 8
send_ack;
end loop;
end loop;
send_stop;
wait;
end process;
end;
| mit |
matbur95/ucisw-pro | pro5a/example2.vhd | 6 | 2550 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:08:06 03/08/2017
-- Design Name:
-- Module Name: example2 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity clockmodule is
port(clk50_in : in std_logic;
red_out : out std_logic;
green_out : out std_logic;
blue_out : out std_logic;
hs_out : out std_logic;
vs_out : out std_logic);
end clockmodule;
architecture Behavioral of clockmodule is
signal horizontal_counter : unsigned (9 downto 0);
signal vertical_counter : unsigned (9 downto 0);
begin
process (clk50_in)
begin
if rising_edge(clk50_in) then
if (horizontal_counter >= "0010010000" ) -- 144
and (horizontal_counter < "1100010000" ) -- 784
and (vertical_counter >= "0000100111" ) -- 39
and (vertical_counter < "1000000111" ) -- 519
then
red_out <= horizontal_counter(3)
and vertical_counter(3);
green_out <= horizontal_counter(4)
and vertical_counter(4);
blue_out <= horizontal_counter(5)
and vertical_counter(5);
else
red_out <= '0';
green_out <= '0';
blue_out <= '0';
end if;
if (horizontal_counter > "0000000000" )
and (horizontal_counter < "0001100001" ) -- 96+1
then
hs_out <= '0';
else
hs_out <= '1';
end if;
if (vertical_counter > "0000000000" )
and (vertical_counter < "0000000011" ) -- 2+1
then
vs_out <= '0';
else
vs_out <= '1';
end if;
horizontal_counter <= horizontal_counter+"0000000001";
if (horizontal_counter="1100100000") then
vertical_counter <= vertical_counter+"0000000001";
horizontal_counter <= "0000000000";
end if;
if (vertical_counter="1000001001") then
vertical_counter <= "0000000000";
end if;
end if;
end process;
end Behavioral;
| mit |
matbur95/ucisw-pro | pro4/example2.vhd | 6 | 2550 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:08:06 03/08/2017
-- Design Name:
-- Module Name: example2 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity clockmodule is
port(clk50_in : in std_logic;
red_out : out std_logic;
green_out : out std_logic;
blue_out : out std_logic;
hs_out : out std_logic;
vs_out : out std_logic);
end clockmodule;
architecture Behavioral of clockmodule is
signal horizontal_counter : unsigned (9 downto 0);
signal vertical_counter : unsigned (9 downto 0);
begin
process (clk50_in)
begin
if rising_edge(clk50_in) then
if (horizontal_counter >= "0010010000" ) -- 144
and (horizontal_counter < "1100010000" ) -- 784
and (vertical_counter >= "0000100111" ) -- 39
and (vertical_counter < "1000000111" ) -- 519
then
red_out <= horizontal_counter(3)
and vertical_counter(3);
green_out <= horizontal_counter(4)
and vertical_counter(4);
blue_out <= horizontal_counter(5)
and vertical_counter(5);
else
red_out <= '0';
green_out <= '0';
blue_out <= '0';
end if;
if (horizontal_counter > "0000000000" )
and (horizontal_counter < "0001100001" ) -- 96+1
then
hs_out <= '0';
else
hs_out <= '1';
end if;
if (vertical_counter > "0000000000" )
and (vertical_counter < "0000000011" ) -- 2+1
then
vs_out <= '0';
else
vs_out <= '1';
end if;
horizontal_counter <= horizontal_counter+"0000000001";
if (horizontal_counter="1100100000") then
vertical_counter <= vertical_counter+"0000000001";
horizontal_counter <= "0000000000";
end if;
if (vertical_counter="1000001001") then
vertical_counter <= "0000000000";
end if;
end if;
end process;
end Behavioral;
| mit |
dangpzanco/sistemas-digitais | complemento2.vhd | 1 | 720 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity complemento2 is
port (entrada: in std_logic_vector(7 downto 0);
saida: out std_logic_vector(7 downto 0)
);
end complemento2;
architecture com2 of complemento2 is
signal A, B, F: std_logic_vector (7 downto 0);
signal Flag: std_logic_vector(3 downto 0);
component SUBT is
port (A, B: in std_logic_vector(7 downto 0);
F : out std_logic_vector(7 downto 0);
Flag: out std_logic_vector(3 downto 0)
);
end component;
begin
A <= "00000000";
B <= entrada;
H0: SUBT port map (A, B, F, Flag);
saida <= F when entrada(7) = '1'
else
entrada;
end com2;
| mit |
dangpzanco/sistemas-digitais | FSM_backup.vhd | 1 | 1897 | library ieee;
use ieee.std_logic_1164.all;
entity FSM is
port (
Clk, Rst, Enter : in std_logic;
Operacao: in std_logic_vector(1 downto 0);
Sel: out std_logic_vector(1 downto 0);
Enable_1, Enable_2: out std_logic
);
end FSM;
architecture FSM_beh of FSM is
type states is (S0, S1, S2, S3, S4, S5, S6, S7);
signal EA, PE: states;
signal clock: std_logic;
signal reset: std_logic;
begin
clock <= Clk;
reset <= Rst;
P1: process (clock, reset)
begin
if reset = '0' then
EA <= S0;
elsif clock'event and clock = '1' then
EA <= PE;
end if;
end process;
P2: process (EA, Enter)
begin
case EA is
when S0 => -- Wait
if Enter = '1' then
PE <= S0;
else
PE <= S1;
end if;
Enable_1 <= '0';
Enable_2 <= '0';
when S1 => --Botão pressionado
Enable_1 <= '1';
Enable_2 <= '0';
if Enter = '1' then
PE <= S2;
else
PE <= S1;
end if;
when S2 => --Escolha da operação
Enable_1 <= '0';
Enable_2 <= '0';
if Operacao = "00" then
PE <= S3; -- Fazer SOMA
elsif Operacao = "01" then
PE <= S4; -- Fazer OR
elsif Operacao = "10" then
PE <= S5; -- Fazer XOR
else
PE <= S6; -- Fazer NOT
end if;
when S3 => --SOMA
Sel <= "00";
if Enter = '1' then
PE <= S3;
else
PE <= S7;
end if;
when S4 => --OU
Sel <= "11";
if Enter = '1' then
PE <= S4;
else
PE <= S7;
end if;
when S5 => --Shift_left
Sel <= "01";
Enable_2 <= '1';
PE <= S0;
when S6 => --Shift_right
Sel <= "10";
Enable_2 <= '1';
PE <= S0;
when S7 => --RESULTADO
Enable_2 <= '1';
PE <= S0;
end case;
end process;
end FSM_beh; -- fim da architecture
| mit |
matbur95/ucisw-pro | pro4b/maze.vhd | 4 | 39027 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity MAZE is
port (CLK : in std_logic;
-- EN : in std_logic;
ADDR : in std_logic_vector(13 downto 0);
DATA : out std_logic);
end MAZE;
architecture syn of MAZE is
type rom_type is array (0 to 9599) of std_logic;
constant ROM : rom_type:= (
'0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1'
);
signal rdata : std_logic;
begin
rdata <= ROM(conv_integer(ADDR));
process (CLK)
begin
if (rising_edge(CLK)) then
-- if (EN = '1') then
DATA <= rdata;
-- end if;
end if;
end process;
end syn;
| mit |
matbur95/ucisw-pro | pro4a/maze.vhd | 4 | 39027 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity MAZE is
port (CLK : in std_logic;
-- EN : in std_logic;
ADDR : in std_logic_vector(13 downto 0);
DATA : out std_logic);
end MAZE;
architecture syn of MAZE is
type rom_type is array (0 to 9599) of std_logic;
constant ROM : rom_type:= (
'0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1'
);
signal rdata : std_logic;
begin
rdata <= ROM(conv_integer(ADDR));
process (CLK)
begin
if (rising_edge(CLK)) then
-- if (EN = '1') then
DATA <= rdata;
-- end if;
end if;
end process;
end syn;
| mit |
s-kostyuk/course_project_csch | pilot_processor_signed_mul/operational_unit.vhd | 1 | 2471 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_signed.all;
use IEEE.STD_logic_arith.all;
entity operational_unit is
generic(
N: integer := 4
);
port(
clk,rst : in STD_LOGIC;
y : in STD_LOGIC_VECTOR(12 downto 1);
d1 : in STD_LOGIC_VECTOR(N-1 downto 0);
d2 : in STD_LOGIC_VECTOR(N-1 downto 0);
r:out STD_LOGIC_VECTOR(2*N-1 downto 0);
x:out STD_LOGIC_vector(4 downto 1)
);
end operational_unit;
architecture operational_unit of operational_unit is
signal A,Ain: STD_LOGIC_VECTOR(N-1 downto 0) ;
signal B,Bin: STD_LOGIC_VECTOR(N-1 downto 0) ;
signal CnT, CnTin: std_logic_vector(7 downto 0);
signal C, Cin: STD_LOGIC_VECTOR(N-1 downto 0) ;
signal overflow, carry: std_logic;
signal of_in, cf_in: std_logic;
signal of_sum, cf_sum: std_logic;
signal sum_result: std_logic_vector(N-1 downto 0);
signal TgB, TgBin: std_logic;
component adder is
generic(
N: integer := 4
);
port(A, B: in std_logic_vector(N-1 downto 0);
Cin: in std_logic;
S: out std_logic_vector(N-1 downto 0);
Cout: out std_logic;
overflow: out std_logic);
end component;
begin
process(clk,rst)is
begin
if rst='0' then
a<=(others=>'0');
b<=(others=>'0');
TgB<='0';
overflow<='0';
Carry <= '0';
CnT<=(others=>'0');
elsif rising_edge(clk)then
A<=Ain;B<=Bin ;CnT <= CnTin; C <= Cin; TgB <= TgBin;
Overflow <= of_in;
Carry <= cf_in;
end if;
end process;
-- Ïîäêëþ÷åíèå ñóììàòîðà
SUM : adder port map(A => C, B => A(N-1 downto 0), Cin => '0', Cout => cf_sum, overflow => of_sum, S => sum_result);
ain<= D1 when y(1)='1'
else a;
bin<= D2 when y(2) = '1'
else C(0) & B(N-1 downto 1) when y(7) = '1'
else b;
Cin <= (others=>'0') when y(3)='1'
else sum_result when y(5) = '1'
else carry & C(N-1 downto 1) when y(9) = '1'
else C(N-1) & C(N-1 downto 1) when y(10) = '1'
else C + not A + 1 when y(11) = '1'
else C;
CnTin <= conv_std_logic_vector(N, 8) when y(4) = '1'
else CnT - 1 when y(8) = '1'
else CnT;
TgBin <= B(0) when y(6) = '1'
else TgB;
R <= C & B when y(12) = '1'
else (others => 'Z');
cf_in <= cf_sum when y(5) = '1'
else carry;
of_in <= of_sum when y(5) = '1'
else overflow;
x(1) <= '1' when B(0) = '1' else '0';
x(2) <= '1' when overflow = '1' else '0';
x(3) <= '1' when CnT = 0 else '0';
x(4) <= '1' when TgB = '1' else '0';
end operational_unit; | mit |
Rookfighter/fft-spartan6 | fft/addr_gen_tb.vhd | 1 | 4287 | -- addr_gen_tb.vhd
--
-- Created on: 15 Jul 2017
-- Author: Fabian Meyer
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity addr_gen_tb is
end entity;
architecture behavioral of addr_gen_tb is
-- Component Declaration for the Unit Under Test (UUT)
component addr_gen
generic(RSTDEF: std_logic := '0';
FFTEXP: natural := 4);
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
swrst: in std_logic; -- software reset, RSTDEF active
en: in std_logic; -- enable, high active
lvl: in std_logic_vector(FFTEXP-2 downto 0); -- iteration level of butterflies
bfno: in std_logic_vector(FFTEXP-2 downto 0); -- butterfly number in current level
addra1: out std_logic_vector(FFTEXP-1 downto 0); -- address1 for membank A
addra2: out std_logic_vector(FFTEXP-1 downto 0); -- address2 for membank A
en_wrta: out std_logic; -- write enable for membank A, high active
addrb1: out std_logic_vector(FFTEXP-1 downto 0); -- address1 for membank B
addrb2: out std_logic_vector(FFTEXP-1 downto 0); -- address2 for membank B
en_wrtb: out std_logic; -- write enable for membank B, high active
addrtf: out std_logic_vector(FFTEXP-2 downto 0)); -- twiddle factor address
end component;
-- Clock period definitions
constant clk_period: time := 10 ns;
-- Generics
constant RSTDEF: std_logic := '0';
constant FFTEXP: natural := 3; -- 8-point FFT
-- Inputs
signal rst: std_logic := '0';
signal clk: std_logic := '0';
signal swrst: std_logic := '0';
signal en: std_logic := '0';
signal lvl: std_logic_vector(FFTEXP-2 downto 0) := (others => '0');
signal bfno: std_logic_vector(FFTEXP-2 downto 0) := (others => '0');
-- Outputs
signal addra1: std_logic_vector(FFTEXP-1 downto 0) := (others => '0');
signal addra2: std_logic_vector(FFTEXP-1 downto 0) := (others => '0');
signal en_wrta: std_logic := '0';
signal addrb1: std_logic_vector(FFTEXP-1 downto 0) := (others => '0');
signal addrb2: std_logic_vector(FFTEXP-1 downto 0) := (others => '0');
signal en_wrtb: std_logic := '0';
signal addrtf: std_logic_vector(FFTEXP-2 downto 0) := (others => '0');
begin
-- Instantiate the Unit Under Test (UUT)
uut: addr_gen
generic map(RSTDEF => RSTDEF,
FFTEXP => FFTEXP)
port map(rst => rst,
clk => clk,
swrst => swrst,
en => en,
lvl => lvl,
bfno => bfno,
addra1 => addra1,
addra2 => addra2,
en_wrta => en_wrta,
addrb1 => addrb1,
addrb2 => addrb2,
en_wrtb => en_wrtb,
addrtf => addrtf);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
procedure inc_step is
variable tmp: std_logic_vector(FFTEXP-1 downto 0) := (others => '0');
begin
tmp := std_logic_vector(unsigned('0' & bfno) + 1);
bfno <= tmp(FFTEXP-2 downto 0);
-- check if butterflies had overflow
-- then we reach next level of FFT
-- remark: bfno is always one bit too long
if tmp(FFTEXP-1) = '1' then
lvl <= std_logic_vector(unsigned(lvl) + 1);
end if;
wait for clk_period;
end procedure;
begin
-- hold reset state for 100 ns.
wait for clk_period*10;
rst <= '1';
swrst <= '1';
en <= '1';
wait for clk_period;
-- do 11 steps after initial for full 8-point FFT
for i in 0 to 10 loop
inc_step;
end loop;
wait;
end process;
end;
| mit |
dqydj/VGAtonic | ColorTest/CPLD_ColorTest.vhd | 1 | 7674 | ------------------------------------------------------------------------------------------------
-- VGAtonic Color Bar Test --
-- --
-- This code demonstrates VGA and NTSC from the same source clock, a 3.5795454 MHz --
-- colorburst signal for NTSC. Using a PLL, we multiply that source by 7 to get 25.0568 --
-- MHz - a 0.47% error from the VGA standard 25.175 MHz clock (Doing it in the reverse --
-- direction - dividing 25.175 MHz by 7 - gives a rainbow pattern for a single phase... --
-- no good) --
-- --
-- License: MIT (see root directory). --
------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity video is
Port ( CLK : in STD_LOGIC;
-- Output to PLL
CLK_OUT : out STD_LOGIC;
-- Input from a switch (go between NTSC/VGA)
MODE : in STD_LOGIC;
-- VGA Signals
PIXEL : out unsigned(7 downto 0) := "00000000";
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
-- NTSC Signals
COLORBURST : out std_logic := '0';
SYNC : out std_logic := '1';
LUMA : out unsigned(3 downto 0) := "0000";
CLK_COUNTER : inout unsigned(10 downto 0) := (others => '0');
ROW_COUNTER : inout unsigned(9 downto 0) := (others => '0')
);
end video;
architecture Behavioral of video is
-- This ring counter pulls double duty.
-- First, it divides our PLL output by 7 for the feedback (to get 25 and change MHz out of 3.5795454
-- - division here becomes multiplication)
-- Second, we have 14 phases of 3.5795454 at the same time - all the positions in the ring counter,
-- and all the 'nots'.
signal PHASE_SHIFTER : unsigned(6 downto 0) := "1111111";
begin
-- For this PLL, position (4) worked best achieving lock - you should experiment
CLK_OUT <= not PHASE_SHIFTER(4);
process (CLK, PHASE_SHIFTER, MODE)
begin
-- Got a ring counter to divide our clock into two for ~ 3.5795454 MHz colorbursts
-- Note that 'EVENT means a double edged flip flop is necessary.
if (CLK'EVENT) then
PHASE_SHIFTER <= unsigned(PHASE_SHIFTER (5 downto 0)) & not PHASE_SHIFTER(6);
end if;
-- Video generation code.
if (rising_edge(CLK)) then
if (MODE = '1') then -- NTSC Mode = '1'. Technically, NTSC-J, fine - but show me a recent TV that cares.
-- Zero out VGA signal while driving NTSC
PIXEL <= "00000000";
HSYNC <= '0';
VSYNC <= '0';
if ( (ROW_COUNTER = "0000000100") or (ROW_COUNTER = "0000000101") or (ROW_COUNTER = "0000000110")) then
-- Sync is reversed on a VSYNC line
if (CLK_COUNTER = "11000110111") then
CLK_COUNTER <= "00000000000";
-- Add another line to row counter
ROW_COUNTER <= row_counter + 1;
-- Kick off our line
SYNC <= '0';
COLORBURST <= '0';
else
CLK_COUNTER <= CLK_COUNTER + 1;
COLORBURST <= '0';
end if;
-- Front porch 0 - 38 cycles
if (clk_counter = 38) then
SYNC <= '1';
COLORBURST <= '0';
end if;
-- Sync end after 155 cycles
if (clk_counter = 156) then
SYNC <= '0';
COLORBURST <= '0';
end if;
else -- Normal, non-VSync lines with a normal reverse sync
if (clk_counter = "11000110111") then
clk_counter <= "00000000000";
if (row_counter = "0100000110") then
row_counter <= "0000000000";
else
-- Add another line to row counter
row_counter <= row_counter + 1;
end if;
-- Kick off our line
SYNC <= '1';
LUMA <= "0000";
COLORBURST <= '0';
else
clk_counter <= clk_counter + 1;
end if;
-- Front porch 0 - 38
if (clk_counter = 38) then
SYNC <= '0';
end if;
-- Sync end after 155
if (clk_counter = 156) then
SYNC <= '1';
end if;
-- After 273, real picture drawing can begin
-- Can only draw picture with row counter above 19
if (row_counter > 19) then
--Color burst - 182 to 245
if (CLK_COUNTER >= 182 and CLK_COUNTER < 245) then
COLORBURST <= PHASE_SHIFTER(0);
elsif (clk_counter >= 244 and CLK_COUNTER < 273) then
-- Voltage Ramp ?
LUMA <= "0000";
COLORBURST <= '0';
end if;
if (CLK_COUNTER >= 300 and CLK_COUNTER < 1590) then
-- Luma is the brightness of the color being sent to the screen.
-- On one of my screens (camera reverse monitor), I could see all
-- 16 steps - but the TVs didn't show the difference in the LSBs.
LUMA <= ROW_COUNTER(6 downto 3);
-- All I'm doing here is assigning colors randomly to these 4 digits of the
-- clock counter. This is your chrominance.
CASE CLK_COUNTER(9 downto 6) IS
WHEN "0000" => COLORBURST <= PHASE_SHIFTER(0);
WHEN "0001" => COLORBURST <= PHASE_SHIFTER(1);
WHEN "0010" => COLORBURST <= PHASE_SHIFTER(2);
WHEN "0011" => COLORBURST <= PHASE_SHIFTER(3);
WHEN "0100" => COLORBURST <= PHASE_SHIFTER(4);
WHEN "0101" => COLORBURST <= PHASE_SHIFTER(5);
WHEN "0110" => COLORBURST <= PHASE_SHIFTER(6);
WHEN "0111" => COLORBURST <= not PHASE_SHIFTER(0);
WHEN "1000" => COLORBURST <= not PHASE_SHIFTER(1);
WHEN "1001" => COLORBURST <= not PHASE_SHIFTER(2);
WHEN "1010" => COLORBURST <= not PHASE_SHIFTER(3);
WHEN "1011" => COLORBURST <= not PHASE_SHIFTER(4);
WHEN "1100" => COLORBURST <= not PHASE_SHIFTER(5);
WHEN "1101" => COLORBURST <= not PHASE_SHIFTER(6);
WHEN OTHERS => COLORBURST <= '0';
END CASE;
elsif clk_counter > 1590 then
LUMA <= "0000";
COLORBURST <= '0';
end if;
end if; -- End of row counter above 19
end if; -- end our 'if not lines 1-9
else -- mode = '0', so do VGA
-- Zero out control signals for NTSC
LUMA <= "0000";
COLORBURST <= '0';
SYNC <= '0';
-- Now clock counter is used to count VGA rows.
if (CLK_COUNTER = "01100100000") then
CLK_COUNTER <= "00000000000";
if (ROW_COUNTER = "1000001100") then
ROW_COUNTER <= "0000000000";
else
ROW_COUNTER <= ROW_COUNTER + 1;
end if;
else
CLK_COUNTER <= CLK_COUNTER + 1;
end if;
-- VGA sync timing
if (CLK_COUNTER >= 656 and CLK_COUNTER < 752) then
HSync <= '0';
else
HSync <= '1';
end if;
if (ROW_COUNTER = 490 or ROW_COUNTER = 491) then
VSync <= '0';
else
VSync <= '1';
end if;
-- Wow, VGA is much easier than NTSC with the color test patterns, eh?
if (ROW_COUNTER < 480 and CLK_COUNTER < 640) then
-- color
PIXEL <= ROW_COUNTER (7 downto 4) & CLK_COUNTER (7 downto 4);
else
-- color
PIXEL <= "00000000";
end if;
end if; -- End MODE Check
end if; -- end clock rising edge
end process;
end Behavioral;
| mit |
rccoder/CU-MicroProgram | code/Splitcode_tb.vhd | 1 | 2616 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:46:59 06/16/2015
-- Design Name:
-- Module Name: C:/project10/Splitcode_tb.vhd
-- Project Name: project10
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Splitcode
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Splitcode_tb IS
END Splitcode_tb;
ARCHITECTURE behavior OF Splitcode_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Splitcode
PORT(
clock : IN std_logic;
u_op : IN std_logic_vector(0 to 23);
control : OUT std_logic_vector(0 to 17);
mode_sel : OUT std_logic;
next_add : OUT std_logic_vector(4 downto 0)
);
END COMPONENT;
--Inputs
signal clock : std_logic := '0';
signal u_op : std_logic_vector(0 to 23) := (others => '0');
--Outputs
signal control : std_logic_vector(0 to 17);
signal mode_sel : std_logic;
signal next_add : std_logic_vector(4 downto 0);
-- Clock period definitions
constant clock_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Splitcode PORT MAP (
clock => clock,
u_op => u_op,
control => control,
mode_sel => mode_sel,
next_add => next_add
);
-- Clock process definitions
clock_process :process
begin
clock <= '0';
wait for clock_period/2;
clock <= '1';
wait for clock_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
wait for clock_period;
u_op<="0000100000000000001UUUUU";
wait for clock_period;
u_op<="110000000000000000000001";
wait for clock_period;
u_op<="001100000000000000000010";
wait for clock_period;
u_op<="000000000000000000000000";
-- insert stimulus here
wait;
end process;
END;
| mit |
bangonkali/quartus-sockit | soc_system/synthesis/submodules/alt_vipvfr131_common_general_fifo.vhd | 2 | 12783 | -- Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your
-- use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any
-- output files any of the foregoing (including device programming or
-- simulation files), and any associated documentation or information are
-- expressly subject to the terms and conditions of the Altera Program
-- License Subscription Agreement or other applicable license agreement,
-- including, without limitation, that your use is for the sole purpose
-- of programming logic devices manufactured by Altera and sold by Altera
-- or its authorized distributors. Please refer to the applicable
-- agreement for further details.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.alt_vipvfr131_common_package.all;
entity alt_vipvfr131_common_general_fifo is
generic
(
WIDTH : integer := 8;
DEPTH : integer := 4;
CLOCKS_ARE_SAME : boolean := TRUE;
DEVICE_FAMILY : string;
RDREQ_TO_Q_LATENCY : integer := 1
);
port
(
-- clocks, enables and reset
rdclock : in std_logic;
rdena : in std_logic;
wrclock : in std_logic;
wrena : in std_logic;
reset : in std_logic;
-- information signals from the fifo (write side)
wrusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0);
full : out std_logic;
almost_full : out std_logic;
-- information signals from the fifo (read side)
rdusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0);
empty : out std_logic;
almost_empty : out std_logic;
-- getting data into the fifo
wrreq : in std_logic;
data : in std_logic_vector(WIDTH - 1 downto 0);
-- ...and back out again
rdreq : in std_logic;
q : out std_logic_vector(WIDTH - 1 downto 0)
);
end entity;
architecture rtl of alt_vipvfr131_common_general_fifo is
-- ASSUMPTIONS --
-- note that these constants are really prerequisites
-- they are stating things that the code requires to be true
-- if any of the other modules should change and make
-- these untrue then the code may well fail
constant RAM_FIFO_RDREQ_TO_Q_LATENCY : integer := 3;
constant LOGIC_FIFO_RDREQ_TO_Q_LATENCY : integer := 1;
-- the code assumes that if this many clock cycles have elapsed
-- between a write and a read to the same location in the ram
-- then the new data will be seen
-- must be at least 1 or the logic won't work
-- the code also assumes that the delay associated with getting
-- numbers across clock domains is significantly more than this
constant RAM_READ_AFTER_WRITE_LATENCY : integer := 3;
-- wide enough to express the maximum number of words in the whole fifo
constant USEDW_WIDTH : integer := wide_enough_for(DEPTH);
-- true when the fifo requested is small enough that if it is single-clocked,
-- a logic only implementation is a good idea
constant SMALL_FIFO : boolean := ((WIDTH * DEPTH) <= 32) or (DEPTH < 4);
-- if a lower RDREQ_TO_Q_LATENCY is requested than the ram ordinarily
-- provides then an output logic fifo is required to plug the gap
-- this constant defines the required depth of this fifo
-- the plus one is required because the fifo must be one element larger
-- than the difference in latency it's trying to eliminate, because
-- there is a one cycle read after write latency on the logic fifo
-- N.B. if the ram fifo is not in use then these constants are ignored
constant OUTPUT_LOGIC_FIFO_DEPTH : integer := RAM_FIFO_RDREQ_TO_Q_LATENCY + 1 - RDREQ_TO_Q_LATENCY;
constant OUTPUT_LOGIC_FIFO_IN_USE : boolean := OUTPUT_LOGIC_FIFO_DEPTH > 1;
-- if the requested RDREQ_TO_Q_LATENCY is higher than that provided by the
-- fifo components we intend to use to build the fifo then the general fifo
-- must insert some delaying on the input signal to take this into account
-- (and must model this delay in its own usedw calculation)
function calculate_rdreq_to_q_latency_inc return integer is
variable natural_rdreq_to_q_latency : integer;
begin
if CLOCKS_ARE_SAME and SMALL_FIFO then
natural_rdreq_to_q_latency := LOGIC_FIFO_RDREQ_TO_Q_LATENCY;
else
natural_rdreq_to_q_latency := RAM_FIFO_RDREQ_TO_Q_LATENCY;
end if;
if RDREQ_TO_Q_LATENCY > natural_rdreq_to_q_latency then
return RDREQ_TO_Q_LATENCY - natural_rdreq_to_q_latency;
else
return 0;
end if;
end function;
constant RDREQ_TO_Q_LATENCY_INC : integer := calculate_rdreq_to_q_latency_inc;
-- this holds the delayed rdreq
signal rdreq_delay : std_logic;
-- the general fifo needs to model the read to write and write to read latencies
-- of the fifo as a whole, to update its usedw and so on correctly
-- this can be quite complex as it depends on the combination of individual fifo
-- components used
function calculate_fifo_read_to_write_delay return integer is
begin
return RDREQ_TO_Q_LATENCY_INC;
end function;
constant FIFO_READ_TO_WRITE_DELAY : integer := calculate_fifo_read_to_write_delay;
function calculate_fifo_write_to_read_delay return integer is
begin
if CLOCKS_ARE_SAME then
if SMALL_FIFO then
-- logic fifo only, very simple!
return 0;
elsif OUTPUT_LOGIC_FIFO_IN_USE then
-- if an output logic fifo is in use then we need enough time from write to
-- read to allow for a) data has been written into the ram, b) data gets from
-- the ram to the output logic fifo, c) the output logic fifo fills
-- minus one because the fifo can get data in one less than q latency, due to showahead
return RAM_READ_AFTER_WRITE_LATENCY + RAM_FIFO_RDREQ_TO_Q_LATENCY - 1 + OUTPUT_LOGIC_FIFO_DEPTH;
else
-- if no output logic fifo is in use, then we just have to make sure that we
-- don't rdreq from the ram before the data has updated in the ram
return RAM_READ_AFTER_WRITE_LATENCY;
end if;
else
-- in the dual clock case, we assume that the delay associated with crossing
-- clock domains will always outweigh the read after write latency of the ram,
-- so we only have to concern ourselves with additional delay incurred by the
-- output logic fifo
if OUTPUT_LOGIC_FIFO_IN_USE then
-- minus one because the fifo can get data in one less than q latency, due to showahead
return RAM_FIFO_RDREQ_TO_Q_LATENCY - 1 + OUTPUT_LOGIC_FIFO_DEPTH;
else
return 0;
end if;
end if;
end function;
constant FIFO_WRITE_TO_READ_DELAY : integer := calculate_fifo_write_to_read_delay;
begin
-- instantiate a standard usedw calculator to do the usedw, empty etc. updating
-- for the whole fifo - this may be the same as the usedw calculations for the
-- components which make up this fifo (in which case any decent synthesis tool
-- will optimise away the redundancy) or may be different
usedw_calculator : alt_vipvfr131_common_fifo_usedw_calculator
generic map
(
WIDTH => USEDW_WIDTH,
DEPTH => DEPTH,
CLOCKS_ARE_SAME => CLOCKS_ARE_SAME,
READ_TO_WRITE_DELAY => FIFO_READ_TO_WRITE_DELAY,
WRITE_TO_READ_DELAY => FIFO_WRITE_TO_READ_DELAY
)
port map
(
rdclock => rdclock,
rdena => rdena,
wrclock => wrclock,
wrena => wrena,
reset => reset,
wrreq => wrreq,
rdreq => rdreq,
wrusedw => wrusedw,
full => full,
almost_full => almost_full,
rdusedw => rdusedw,
empty => empty,
almost_empty => almost_empty
);
-- delay incoming rdreq signal as necessary
rdreq_delayer : alt_vipvfr131_common_one_bit_delay
generic map
(
DELAY => RDREQ_TO_Q_LATENCY_INC
)
port map
(
clock => rdclock,
ena => rdena,
reset => reset,
data => rdreq,
q => rdreq_delay
);
single_clock_small_gen :
if CLOCKS_ARE_SAME and SMALL_FIFO generate
begin
-- use the logic fifo alone for really small single clock fifos
logic_fifo : alt_vipvfr131_common_logic_fifo
generic map
(
WIDTH => WIDTH,
DEPTH => DEPTH
)
port map
(
clock => rdclock,
rdena => rdena,
wrena => wrena,
reset => reset,
wrreq => wrreq,
data => data,
rdreq => rdreq_delay,
q => q
);
end generate;
-- use a ram fifo for larger fifos or dual clock fifos
dual_clock_or_large_gen :
if not CLOCKS_ARE_SAME or not SMALL_FIFO generate
-- signals for ram fifo
signal ram_fifo_q : std_logic_vector(WIDTH - 1 downto 0);
signal ram_fifo_empty : std_logic;
signal ram_fifo_rdreq : std_logic;
begin
-- this ram fifo can hold most of the data
ram_fifo : alt_vipvfr131_common_ram_fifo
generic map
(
WIDTH => WIDTH,
DEPTH => DEPTH,
CLOCKS_ARE_SAME => CLOCKS_ARE_SAME,
DEVICE_FAMILY => DEVICE_FAMILY
)
port map
(
rdclock => rdclock,
wrclock => wrclock,
reset => reset,
empty => ram_fifo_empty,
wrreq => wrreq,
wrena => wrena,
data => data,
rdreq => ram_fifo_rdreq,
rdena => rdena,
q => ram_fifo_q
);
-- the RDREQ_TO_Q_LATENCY of the ram fifo is three
-- if the user has requested a lower RDREQ_TO_Q_LATENCY,
-- we need to instantiate an output logic fifo to smooth
-- things out
output_logic_fifo_gen :
if OUTPUT_LOGIC_FIFO_IN_USE generate
-- signals for output logic fifo ports
signal logic_fifo_data : std_logic_vector(WIDTH - 1 downto 0);
signal logic_fifo_full : std_logic;
signal logic_fifo_wrreq : std_logic;
signal logic_fifo_rdreq : std_logic;
signal logic_fifo_wrusedw : std_logic_vector(wide_enough_for(OUTPUT_LOGIC_FIFO_DEPTH) - 1 downto 0);
signal data_in_transit : unsigned(wide_enough_for(OUTPUT_LOGIC_FIFO_DEPTH) - 1 downto 0);
begin
-- feed the ram fifo output into the logic fifo input
logic_fifo_data <= ram_fifo_q;
-- instantiate logic fifo
output_logic_fifo : alt_vipvfr131_common_logic_fifo
generic map
(
WIDTH => WIDTH,
DEPTH => OUTPUT_LOGIC_FIFO_DEPTH
)
port map
(
clock => rdclock,
rdena => rdena,
wrena => rdena, -- because this is entirely on the read side
reset => reset,
full => logic_fifo_full,
wrreq => logic_fifo_wrreq,
wrusedw => logic_fifo_wrusedw,
data => logic_fifo_data,
rdreq => logic_fifo_rdreq,
q => q
);
-- in the situation where the user is requesting lower RDREQ_TO_Q_LATENCY
-- than the ram fifo can provide, but more than the one cycle latency that
-- the logic fifo provides, we need to delay the logic fifo rdreq
logic_fifo_rdreq_delayer : alt_vipvfr131_common_one_bit_delay
generic map
(
DELAY => RDREQ_TO_Q_LATENCY - 1
)
port map
(
clock => rdclock,
ena => rdena,
reset => reset,
data => rdreq,
q => logic_fifo_rdreq
);
-- a shift register is used to delay the rdreq signal going into the ram
-- to make a wrreq signal for the logic fifo
-- this is required because of the high RDREQ_TO_Q_LATENCY of the ram fifo
ram_fifo_rdreq_delayer : alt_vipvfr131_common_one_bit_delay
generic map
(
DELAY => RAM_FIFO_RDREQ_TO_Q_LATENCY - 1 -- minus one because showahead
)
port map
(
clock => rdclock,
ena => rdena,
reset => reset,
data => ram_fifo_rdreq,
q => logic_fifo_wrreq
);
-- keep a count of how many words have been requested from the ram
-- but not yet input into the logic fifo
-- this is required because of the high RDREQ_TO_Q_LATENCY of the ram fifo
update_data_in_transit : process (rdclock, reset)
begin
if reset = '1' then
data_in_transit <= (others => '0');
elsif rdclock'EVENT and rdclock = '1' then
if rdena = '1' then
if ram_fifo_rdreq = '1' and logic_fifo_wrreq = '0' then
-- requested but didn't receive, increase
data_in_transit <= data_in_transit + 1;
elsif ram_fifo_rdreq = '0' and logic_fifo_wrreq = '1' then
-- didn't request but did receive, decrease
data_in_transit <= data_in_transit - 1;
end if;
end if;
end if;
end process;
-- calculate when the ram fifo should read (a combination of when the user
-- requests reads and when the output logic fifo is not full)
ram_fifo_rdreq <= '1' when (rdreq_delay = '1' or (unsigned(logic_fifo_wrusedw) + data_in_transit) < OUTPUT_LOGIC_FIFO_DEPTH) and ram_fifo_empty = '0' else '0';
end generate;
-- alternatively just make sure the ram fifo is connected directly
no_output_logic_fifo_gen :
if not OUTPUT_LOGIC_FIFO_IN_USE generate
begin
q <= ram_fifo_q;
ram_fifo_rdreq <= rdreq_delay;
end generate;
end generate;
end architecture rtl;
| mit |
qynvi/rtl-vga | fgi/fgi.vhd | 1 | 3074 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
library lpm;
use lpm.lpm_components.all;
entity fgi is
generic(
Ha: integer := 96; -- Hpulse
Hb: integer := 144; -- Hpulse+HBP
Hc: integer := 784; -- Hpulse+HBP+Hactive
Hd: integer := 800; -- Hpulse+HBP+Hactive+HFP
Va: integer := 2; -- Vpulse
Vb: integer := 35; -- Vpulse+VBP
Vc: integer := 515; -- Vpulse+VBP+Vactive
Vd: integer := 525); -- Vpulse+VBP+Vactive+VFP
port(
clk: in std_logic;
r_switch,g_switch,b_switch: in std_logic;
pixel_clk: buffer std_logic;
Hsync,Vsync: buffer std_logic;
R,G,B: out std_logic_vector(9 downto 0);
nblank,nsync: out std_logic);
end fgi;
architecture vga of fgi is
signal Hactive,Vactive,dena: std_logic;
signal address: std_logic_vector(8 downto 0);
signal intensity: std_logic_vector(9 downto 0);
begin
-- control generator
-----------------------------------------------------------------
nblank <= '1';
nsync <= '0';
process (clk)
begin
if (clk'event and clk='1') then
pixel_clk <= not pixel_clk;
end if;
end process;
process (pixel_clk)
variable Hcount: integer range 0 to Hd;
begin
if (pixel_clk'event and pixel_clk='1') then
Hcount := Hcount + 1;
if (Hcount=Ha) then
Hsync <= '1';
elsif (Hcount=Hb) then
Hactive <= '1';
elsif (Hcount=Hc) then
Hactive <= '0';
elsif (Hcount=Hd) then
Hsync <= '0';
Hcount := 0;
end if;
end if;
end process;
process (Hsync)
variable Vcount: integer range 0 to Vd;
begin
if (Hsync'event and Hsync='0') then
Vcount := Vcount + 1;
if (Vcount=Va) then
Vsync <= '1';
elsif (Vcount=Vb) then
Vactive <= '1';
elsif (Vcount=Vc) then
Vactive <= '0';
elsif (Vcount=Vd) then
Vsync <= '0';
Vcount := 0;
end if;
end if;
end process;
dena <= (Hactive and Vactive);
---------------------------------------------------------------------------
-- image generator
---------------------------------------------------------------------------
myrom: lpm_rom
generic map (
lpm_widthad => 9,
lpm_outdata => "UNREGISTERED",
lpm_address_control => "REGISTERED",
---------------------------------------------------------
lpm_file => "img.mif", -- data file containing image data
---------------------------------------------------------
lpm_width => 10)
port map (
inclock=>not pixel_clk, address=>address, q=>intensity);
process (Vsync, Hsync)
variable line_counter: integer range 0 to Vd;
begin
if (Vsync = '0') then
line_counter := 0;
elsif (Hsync'event and Hsync='1') then
if (Vactive='1') then
line_counter := line_counter + 1;
end if;
end if;
address <= conv_std_logic_vector(line_counter, 9);
end process;
R<=intensity when r_switch='1' and dena='1' else (others=>'0');
G<=intensity when g_switch='1' and dena='1' else (others=>'0');
B<=intensity when b_switch='1' and dena='1' else (others=>'0');
end vga;
| mit |
kiwih/goFB | examples/goFB_only/vhdl/BottlingPlant/vhdl/IOManager.vhd | 2 | 10570 | -- This file has been automatically generated by go-iec61499-vhdl and should not be edited by hand
-- Converter written by Hammond Pearce and available at github.com/kiwih/go-iec61499-vhdl
-- This file represents the Basic Function Block for IOManager
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity IOManager is
port(
--for clock and reset signal
clk : in std_logic;
reset : in std_logic;
enable : in std_logic;
sync : in std_logic;
--input events
DoorReleaseCanister : in std_logic;
ConveyorChanged : in std_logic;
InjectorPositionChanged : in std_logic;
InjectorControlsChanged : in std_logic;
FillContentsChanged : in std_logic;
StartVacuumTimer : in std_logic;
GoRejectArm : in std_logic;
CanisterCountChanged : in std_logic;
InjectDone : in std_logic;
--output events
InjectorArmFinishMovement : out std_logic;
EmergencyStopChanged : out std_logic;
CanisterPressureChanged : out std_logic;
FillContentsAvailableChanged : out std_logic;
LasersChanged : out std_logic;
DoorOverride : out std_logic;
VacuumTimerElapsed : out std_logic;
--input variables
ConveyorSpeed_I : in unsigned(7 downto 0); --type was BYTE
InjectorPosition_I : in unsigned(7 downto 0); --type was BYTE
InjectorContentsValveOpen_I : in std_logic; --type was BOOL
InjectorVacuumRun_I : in std_logic; --type was BOOL
InjectorPressurePumpRun_I : in std_logic; --type was BOOL
FillContents_I : in std_logic; --type was BOOL
CanisterCount_I : in unsigned(7 downto 0); --type was BYTE
--output variables
EmergencyStop_O : out std_logic; --type was BOOL
CanisterPressure_O : out unsigned(7 downto 0); --type was BYTE
FillContentsAvailable_O : out unsigned(7 downto 0); --type was BYTE
DoorSiteLaser_O : out std_logic; --type was BOOL
InjectSiteLaser_O : out std_logic; --type was BOOL
RejectSiteLaser_O : out std_logic; --type was BOOL
RejectBinLaser_O : out std_logic; --type was BOOL
AcceptBinLaser_O : out std_logic; --type was BOOL
--special emitted internal vars for I/O
UART_TX : out unsigned(7 downto 0); --type was BYTE
UART_TX_READY : in std_logic; --type was BOOL
UART_TX_SEND : out std_logic; --type was BOOL
--for done signal
done : out std_logic
);
end entity;
architecture rtl of IOManager is
-- Build an enumerated type for the state machine
type state_type is (STATE_Start);
-- Register to hold the current state
signal state : state_type := STATE_Start;
-- signals to store variable sampled on enable
signal ConveyorSpeed : unsigned(7 downto 0) := (others => '0'); --register for input
signal InjectorPosition : unsigned(7 downto 0) := (others => '0'); --register for input
signal InjectorContentsValveOpen : std_logic := '0'; --register for input
signal InjectorVacuumRun : std_logic := '0'; --register for input
signal InjectorPressurePumpRun : std_logic := '0'; --register for input
signal FillContents : std_logic := '0'; --register for input
signal CanisterCount : unsigned(7 downto 0) := (others => '0'); --register for input
-- signals to rename outputs
signal EmergencyStop : std_logic := '0';
signal CanisterPressure : unsigned(7 downto 0) := (others => '0');
signal FillContentsAvailable : unsigned(7 downto 0) := (others => '0');
signal DoorSiteLaser : std_logic := '0';
signal InjectSiteLaser : std_logic := '0';
signal RejectSiteLaser : std_logic := '0';
signal RejectBinLaser : std_logic := '0';
signal AcceptBinLaser : std_logic := '0';
-- signals for enabling algorithms
signal IOAlgorithm_alg_en : std_logic := '0';
signal IOAlgorithm_alg_done : std_logic := '1';
-- signal for algorithm completion
signal AlgorithmsStart : std_logic := '0';
signal AlgorithmsDone : std_logic;
--internal variables
signal EmergencyStopped : std_logic; --type was BOOL
begin
-- Registers for data variables (only updated on relevant events)
process (clk)
begin
if rising_edge(clk) then
if sync = '1' then
if ConveyorChanged = '1' then
ConveyorSpeed <= ConveyorSpeed_I;
end if;
if InjectorPositionChanged = '1' then
InjectorPosition <= InjectorPosition_I;
end if;
if InjectorControlsChanged = '1' then
InjectorContentsValveOpen <= InjectorContentsValveOpen_I;
InjectorVacuumRun <= InjectorVacuumRun_I;
InjectorPressurePumpRun <= InjectorPressurePumpRun_I;
end if;
if FillContentsChanged = '1' then
FillContents <= FillContents_I;
end if;
if CanisterCountChanged = '1' then
CanisterCount <= CanisterCount_I;
end if;
end if;
end if;
end process;
--output var renaming, no output registers as inputs are stored where they are processed
EmergencyStop_O <= EmergencyStop;
CanisterPressure_O <= CanisterPressure;
FillContentsAvailable_O <= FillContentsAvailable;
DoorSiteLaser_O <= DoorSiteLaser;
InjectSiteLaser_O <= InjectSiteLaser;
RejectSiteLaser_O <= RejectSiteLaser;
RejectBinLaser_O <= RejectBinLaser;
AcceptBinLaser_O <= AcceptBinLaser;
-- Logic to advance to the next state
process (clk, reset)
begin
if reset = '1' then
state <= STATE_Start;
AlgorithmsStart <= '1';
elsif (rising_edge(clk)) then
if AlgorithmsStart = '1' then --algorithms should be triggered only once via this pulse signal
AlgorithmsStart <= '0';
elsif enable = '1' then
--default values
state <= state;
AlgorithmsStart <= '0';
--next state logic
if AlgorithmsStart = '0' and AlgorithmsDone = '1' then
case state is
when STATE_Start =>
if true then
state <= STATE_Start;
AlgorithmsStart <= '1';
end if;
end case;
end if;
end if;
end if;
end process;
-- Event outputs and internal algorithm triggers depend solely on the current state
process (state)
begin
--default values
--events
InjectorArmFinishMovement <= '0';
EmergencyStopChanged <= '0';
CanisterPressureChanged <= '0';
FillContentsAvailableChanged <= '0';
LasersChanged <= '0';
DoorOverride <= '0';
VacuumTimerElapsed <= '0';
--algorithms
IOAlgorithm_alg_en <= '0';
case state is
when STATE_Start =>
IOAlgorithm_alg_en <= '1';
EmergencyStopChanged <= '1';
end case;
end process;
-- Algorithms process
process(clk)
begin
if rising_edge(clk) then
if AlgorithmsStart = '1' then
if IOAlgorithm_alg_en = '1' then -- Algorithm IOAlgorithm
IOAlgorithm_alg_done <= '0';
end if;
end if;
if IOAlgorithm_alg_done = '0' then -- Algorithm IOAlgorithm
--begin algorithm raw text
EmergencyStopped <= '1';
static int conveyorSpeed = 0;
static int bottlePositions[NUM_BOTTLES] = {0};
static int bottlesActive[NUM_BOTTLES] = {0};
static int nextBottle = 0;
//reset all the things
me->EmergencyStop = 0;
me->CanisterPressure = 255;
me->FillContentsAvailable = 255;
me->DoorSiteLaser = 0;
me->InjectSiteLaser = 0;
me->RejectSiteLaser = 0;
me->RejectBinLaser = 0;
me->AcceptBinLaser = 0;
//printf("=====new tick\n");
//continue progress
if(conveyorSpeed) {
for(i = 0; i < NUM_BOTTLES; i++) {
if(bottlesActive[i]) {
bottlePositions[i] += conveyorSpeed;
printf("IO: Canister %i moves to %i\n", i, bottlePositions[i]);
if(bottlePositions[i] == 5) {
printf("IO: Canister %i at 5, triggering InjectSiteLaser\n", i);
me->_output.event.LasersChanged = 1;
me->InjectSiteLaser = 1;
}
if(bottlePositions[i] == 10) {
printf("IO: Canister %i at 10, triggering RejectSiteLaser\n", i);
me->_output.event.LasersChanged = 1;
me->RejectSiteLaser = 1;
}
if(bottlePositions[i] == 20) {
printf("IO: Canister %i at 20, falls off conveyor, triggering AcceptBinLaser\n", i);
me->_output.event.LasersChanged = 1;
me->AcceptBinLaser = 1;
bottlesActive[i] = 0;
bottlePositions[i] = 0;
}
if(me->_input.event.GoRejectArm && (bottlePositions[i] == 10 || bottlePositions[i] == 11 || bottlePositions[i] == 12)) {
printf("IO: Go Reject Arm. Canister %i knocked from conveyor.\n", i);
//progress = 0;
me->_output.event.LasersChanged = 1;
me->RejectBinLaser = 1;
bottlesActive[i] = 0;
bottlePositions[i] = 0;
}
}
}
}
if(tickNum == 25) {
printf("Progress at 25, halting\n");
while(1);
}
if(me->_input.event.InjectDone) {
printf("IO: Inject done\n");
}
if(emergencyStopped == 1) {
printf("IO: Releasing emergency stop\n");
me->_output.event.EmergencyStopChanged = 1;
me->EmergencyStop = 0;
emergencyStopped++;
} else {
if(me->_input.event.DoorReleaseCanister) {
printf("IO: Door released. Adding canister %i\n", nextBottle);
me->_output.event.LasersChanged = 1;
me->DoorSiteLaser = 1;
bottlesActive[nextBottle] = 1;
nextBottle++;
nextBottle = nextBottle % NUM_BOTTLES;
}
if(me->_input.event.InjectorPositionChanged) {
printf("IO: Injector position changed. Setting move finished.\n");
me->_output.event.InjectorArmFinishMovement = 1;
}
if(me->_input.event.ConveyorChanged) {
conveyorSpeed = me->ConveyorSpeed;
printf("IO: Setting conveyor movement to %i\n", conveyorSpeed);
}
if(me->_input.event.InjectorControlsChanged) {
printf("IO: Injector controls changed. Now they are Vac: %1i Val: %1i Pmp: %1i\n", me->InjectorVacuumRun, me->InjectorContentsValveOpen, me->InjectorPressurePumpRun);
if(me->InjectorVacuumRun) {
printf("IO: Due to vacuum, changing canister pressure to 5.\n");
me->CanisterPressure = 5;
me->_output.event.CanisterPressureChanged = 1;
}
if(me->InjectorContentsValveOpen) {
printf("IO: Contents valve now open. Pressure changes slightly, sucking in contents.\n");
me->CanisterPressure = 20;
me->_output.event.CanisterPressureChanged = 1;
}
if(me->InjectorPressurePumpRun) {
printf("IO: Due to pressure pump, changing canister pressure to 250.\n");
me->CanisterPressure = 250;
me->_output.event.CanisterPressureChanged = 1;
}
}
if(me->_input.event.FillContentsChanged) {
printf("IO: Fill contents changed.\n");
}
if(me->_input.event.StartVacuumTimer) {
printf("IO: Start vacuum timer.\n");//Elapsing timer.\n");
//me->_output.event.VacuumTimerElapsed = 1;
}
if(me->_input.event.CanisterCountChanged) {
printf("IO: Canister count changed. New value: %i\n", me->CanisterCount);
}
}
IOAlgorithm_alg_done <= '1';
--end algorithm raw text
end if;
end if;
end process;
--Done signal
AlgorithmsDone <= (not AlgorithmsStart) and IOAlgorithm_alg_done;
Done <= AlgorithmsDone;
end rtl;
| mit |
JaDogg/__py_playground | reference/grammars-v4/vhdl/examples/numeric_bit.vhd | 6 | 32869 | -- -----------------------------------------------------------------------------
--
-- Copyright 1995 by IEEE. All rights reserved.
--
-- This source file is considered by the IEEE to be an essential part of the use
-- of the standard 1076.3 and as such may be distributed without change, except
-- as permitted by the standard. This source file may not be sold or distributed
-- for profit. This package may be modified to include additional data required
-- by tools, but must in no way change the external interfaces or simulation
-- behaviour of the description. It is permissible to add comments and/or
-- attributes to the package declarations, but not to change or delete any
-- original lines of the approved package declaration. The package body may be
-- changed only in accordance with the terms of clauses 7.1 and 7.2 of the
-- standard.
--
-- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT)
--
-- Library : This package shall be compiled into a library symbolically
-- : named IEEE.
--
-- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3
--
-- Purpose : This package defines numeric types and arithmetic functions
-- : for use with synthesis tools. Two numeric types are defined:
-- : -- > UNSIGNED: represents an UNSIGNED number in vector form
-- : -- > SIGNED: represents a SIGNED number in vector form
-- : The base element type is type BIT.
-- : The leftmost bit is treated as the most significant bit.
-- : Signed vectors are represented in two's complement form.
-- : This package contains overloaded arithmetic operators on
-- : the SIGNED and UNSIGNED types. The package also contains
-- : useful type conversions functions, clock detection
-- : functions, and other utility functions.
-- :
-- : If any argument to a function is a null array, a null array is
-- : returned (exceptions, if any, are noted individually).
--
-- Limitation :
--
-- Note : No declarations or definitions shall be included in,
-- : or excluded from this package. The "package declaration"
-- : defines the types, subtypes and declarations of
-- : NUMERIC_BIT. The NUMERIC_BIT package body shall be
-- : considered the formal definition of the semantics of
-- : this package. Tool developers may choose to implement
-- : the package body in the most efficient manner available
-- : to them.
-- :
-- -----------------------------------------------------------------------------
-- Version : 2.4
-- Date : 12 April 1995
-- -----------------------------------------------------------------------------
package NUMERIC_BIT is
constant CopyRightNotice: STRING
:= "Copyright 1995 IEEE. All rights reserved.";
--============================================================================
-- Numeric array type definitions
--============================================================================
type UNSIGNED is array (NATURAL range <> ) of BIT;
type SIGNED is array (NATURAL range <> ) of BIT;
--============================================================================
-- Arithmetic Operators:
--============================================================================
-- Id: A.1
function "abs" (ARG: SIGNED) return SIGNED;
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0).
-- Result: Returns the absolute value of a SIGNED vector ARG.
-- Id: A.2
function "-" (ARG: SIGNED) return SIGNED;
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0).
-- Result: Returns the value of the unary minus operation on a
-- SIGNED vector ARG.
--============================================================================
-- Id: A.3
function "+" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
-- Result: Adds two UNSIGNED vectors that may be of different lengths.
-- Id: A.4
function "+" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
-- Result: Adds two SIGNED vectors that may be of different lengths.
-- Id: A.5
function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0).
-- Result: Adds an UNSIGNED vector, L, with a non-negative INTEGER, R.
-- Id: A.6
function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(R'LENGTH-1 downto 0).
-- Result: Adds a non-negative INTEGER, L, with an UNSIGNED vector, R.
-- Id: A.7
function "+" (L: INTEGER; R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(R'LENGTH-1 downto 0).
-- Result: Adds an INTEGER, L(may be positive or negative), to a SIGNED
-- vector, R.
-- Id: A.8
function "+" (L: SIGNED; R: INTEGER) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0).
-- Result: Adds a SIGNED vector, L, to an INTEGER, R.
--============================================================================
-- Id: A.9
function "-" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
-- Result: Subtracts two UNSIGNED vectors that may be of different lengths.
-- Id: A.10
function "-" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
-- Result: Subtracts a SIGNED vector, R, from another SIGNED vector, L,
-- that may possibly be of different lengths.
-- Id: A.11
function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0).
-- Result: Subtracts a non-negative INTEGER, R, from an UNSIGNED vector, L.
-- Id: A.12
function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(R'LENGTH-1 downto 0).
-- Result: Subtracts an UNSIGNED vector, R, from a non-negative INTEGER, L.
-- Id: A.13
function "-" (L: SIGNED; R: INTEGER) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0).
-- Result: Subtracts an INTEGER, R, from a SIGNED vector, L.
-- Id: A.14
function "-" (L: INTEGER; R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(R'LENGTH-1 downto 0).
-- Result: Subtracts a SIGNED vector, R, from an INTEGER, L.
--============================================================================
-- Id: A.15
function "*" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0).
-- Result: Performs the multiplication operation on two UNSIGNED vectors
-- that may possibly be of different lengths.
-- Id: A.16
function "*" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED((L'LENGTH+R'LENGTH-1) downto 0)
-- Result: Multiplies two SIGNED vectors that may possibly be of
-- different lengths.
-- Id: A.17
function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED((L'LENGTH+L'LENGTH-1) downto 0).
-- Result: Multiplies an UNSIGNED vector, L, with a non-negative
-- INTEGER, R. R is converted to an UNSIGNED vector of
-- size L'LENGTH before multiplication.
-- Id: A.18
function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED((R'LENGTH+R'LENGTH-1) downto 0).
-- Result: Multiplies an UNSIGNED vector, R, with a non-negative
-- INTEGER, L. L is converted to an UNSIGNED vector of
-- size R'LENGTH before multiplication.
-- Id: A.19
function "*" (L: SIGNED; R: INTEGER) return SIGNED;
-- Result subtype: SIGNED((L'LENGTH+L'LENGTH-1) downto 0)
-- Result: Multiplies a SIGNED vector, L, with an INTEGER, R. R is
-- converted to a SIGNED vector of size L'LENGTH before
-- multiplication.
-- Id: A.20
function "*" (L: INTEGER; R: SIGNED) return SIGNED;
-- Result subtype: SIGNED((R'LENGTH+R'LENGTH-1) downto 0)
-- Result: Multiplies a SIGNED vector, R, with an INTEGER, L. L is
-- converted to a SIGNED vector of size R'LENGTH before
-- multiplication.
--============================================================================
--
-- NOTE: If second argument is zero for "/" operator, a severity level
-- of ERROR is issued.
-- Id: A.21
function "/" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R.
-- Id: A.22
function "/" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Divides an SIGNED vector, L, by another SIGNED vector, R.
-- Id: A.23
function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Divides an UNSIGNED vector, L, by a non-negative INTEGER, R.
-- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH.
-- Id: A.24
function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(R'LENGTH-1 downto 0)
-- Result: Divides a non-negative INTEGER, L, by an UNSIGNED vector, R.
-- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH.
-- Id: A.25
function "/" (L: SIGNED; R: INTEGER) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Divides a SIGNED vector, L, by an INTEGER, R.
-- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH.
-- Id: A.26
function "/" (L: INTEGER; R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(R'LENGTH-1 downto 0)
-- Result: Divides an INTEGER, L, by a SIGNED vector, R.
-- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH.
--============================================================================
--
-- NOTE: If second argument is zero for "rem" operator, a severity level
-- of ERROR is issued.
-- Id: A.27
function "rem" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L rem R" where L and R are UNSIGNED vectors.
-- Id: A.28
function "rem" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L rem R" where L and R are SIGNED vectors.
-- Id: A.29
function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Computes "L rem R" where L is an UNSIGNED vector and R is a
-- non-negative INTEGER.
-- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH.
-- Id: A.30
function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L rem R" where R is an UNSIGNED vector and L is a
-- non-negative INTEGER.
-- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH.
-- Id: A.31
function "rem" (L: SIGNED; R: INTEGER) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Computes "L rem R" where L is SIGNED vector and R is an INTEGER.
-- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH.
-- Id: A.32
function "rem" (L: INTEGER; R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L rem R" where R is SIGNED vector and L is an INTEGER.
-- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH.
--============================================================================
--
-- NOTE: If second argument is zero for "mod" operator, a severity level
-- of ERROR is issued.
-- Id: A.33
function "mod" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L mod R" where L and R are UNSIGNED vectors.
-- Id: A.34
function "mod" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L mod R" where L and R are SIGNED vectors.
-- Id: A.35
function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Computes "L mod R" where L is an UNSIGNED vector and R
-- is a non-negative INTEGER.
-- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH.
-- Id: A.36
function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L mod R" where R is an UNSIGNED vector and L
-- is a non-negative INTEGER.
-- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH.
-- Id: A.37
function "mod" (L: SIGNED; R: INTEGER) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Computes "L mod R" where L is a SIGNED vector and
-- R is an INTEGER.
-- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH.
-- Id: A.38
function "mod" (L: INTEGER; R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(R'LENGTH-1 downto 0)
-- Result: Computes "L mod R" where L is an INTEGER and
-- R is a SIGNED vector.
-- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH.
--============================================================================
-- Comparison Operators
--============================================================================
-- Id: C.1
function ">" (L, R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L > R" where L and R are UNSIGNED vectors possibly
-- of different lengths.
-- Id: C.2
function ">" (L, R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L > R" where L and R are SIGNED vectors possibly
-- of different lengths.
-- Id: C.3
function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L > R" where L is a non-negative INTEGER and
-- R is an UNSIGNED vector.
-- Id: C.4
function ">" (L: INTEGER; R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L > R" where L is a INTEGER and
-- R is a SIGNED vector.
-- Id: C.5
function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L > R" where L is an UNSIGNED vector and
-- R is a non-negative INTEGER.
-- Id: C.6
function ">" (L: SIGNED; R: INTEGER) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L > R" where L is a SIGNED vector and
-- R is a INTEGER.
--============================================================================
-- Id: C.7
function "<" (L, R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L < R" where L and R are UNSIGNED vectors possibly
-- of different lengths.
-- Id: C.8
function "<" (L, R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L < R" where L and R are SIGNED vectors possibly
-- of different lengths.
-- Id: C.9
function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L < R" where L is a non-negative INTEGER and
-- R is an UNSIGNED vector.
-- Id: C.10
function "<" (L: INTEGER; R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L < R" where L is an INTEGER and
-- R is a SIGNED vector.
-- Id: C.11
function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L < R" where L is an UNSIGNED vector and
-- R is a non-negative INTEGER.
-- Id: C.12
function "<" (L: SIGNED; R: INTEGER) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L < R" where L is a SIGNED vector and
-- R is an INTEGER.
--============================================================================
-- Id: C.13
function "<=" (L, R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L <= R" where L and R are UNSIGNED vectors possibly
-- of different lengths.
-- Id: C.14
function "<=" (L, R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L <= R" where L and R are SIGNED vectors possibly
-- of different lengths.
-- Id: C.15
function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L <= R" where L is a non-negative INTEGER and
-- R is an UNSIGNED vector.
-- Id: C.16
function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L <= R" where L is an INTEGER and
-- R is a SIGNED vector.
-- Id: C.17
function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L <= R" where L is an UNSIGNED vector and
-- R is a non-negative INTEGER.
-- Id: C.18
function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L <= R" where L is a SIGNED vector and
-- R is an INTEGER.
--============================================================================
-- Id: C.19
function ">=" (L, R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L >= R" where L and R are UNSIGNED vectors possibly
-- of different lengths.
-- Id: C.20
function ">=" (L, R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L >= R" where L and R are SIGNED vectors possibly
-- of different lengths.
-- Id: C.21
function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L >= R" where L is a non-negative INTEGER and
-- R is an UNSIGNED vector.
-- Id: C.22
function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L >= R" where L is an INTEGER and
-- R is a SIGNED vector.
-- Id: C.23
function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L >= R" where L is an UNSIGNED vector and
-- R is a non-negative INTEGER.
-- Id: C.24
function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L >= R" where L is a SIGNED vector and
-- R is an INTEGER.
--============================================================================
-- Id: C.25
function "=" (L, R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L = R" where L and R are UNSIGNED vectors possibly
-- of different lengths.
-- Id: C.26
function "=" (L, R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L = R" where L and R are SIGNED vectors possibly
-- of different lengths.
-- Id: C.27
function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L = R" where L is a non-negative INTEGER and
-- R is an UNSIGNED vector.
-- Id: C.28
function "=" (L: INTEGER; R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L = R" where L is an INTEGER and
-- R is a SIGNED vector.
-- Id: C.29
function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L = R" where L is an UNSIGNED vector and
-- R is a non-negative INTEGER.
-- Id: C.30
function "=" (L: SIGNED; R: INTEGER) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L = R" where L is a SIGNED vector and
-- R is an INTEGER.
--============================================================================
-- Id: C.31
function "/=" (L, R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L /= R" where L and R are UNSIGNED vectors possibly
-- of different lengths.
-- Id: C.32
function "/=" (L, R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L /= R" where L and R are SIGNED vectors possibly
-- of different lengths.
-- Id: C.33
function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L /= R" where L is a non-negative INTEGER and
-- R is an UNSIGNED vector.
-- Id: C.34
function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L /= R" where L is an INTEGER and
-- R is a SIGNED vector.
-- Id: C.35
function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L /= R" where L is an UNSIGNED vector and
-- R is a non-negative INTEGER.
-- Id: C.36
function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Computes "L /= R" where L is a SIGNED vector and
-- R is an INTEGER.
--============================================================================
-- Shift and Rotate Functions
--============================================================================
-- Id: S.1
function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a shift-left on an UNSIGNED vector COUNT times.
-- The vacated positions are filled with Bit '0'.
-- The COUNT leftmost bits are lost.
-- Id: S.2
function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a shift-right on an UNSIGNED vector COUNT times.
-- The vacated positions are filled with Bit '0'.
-- The COUNT rightmost bits are lost.
-- Id: S.3
function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED;
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a shift-left on a SIGNED vector COUNT times.
-- The vacated positions are filled with Bit '0'.
-- The COUNT leftmost bits, except ARG'LEFT, are lost.
-- Id: S.4
function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED;
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a shift-right on a SIGNED vector COUNT times.
-- The vacated positions are filled with the leftmost bit, ARG'LEFT.
-- The COUNT rightmost bits are lost.
--============================================================================
-- Id: S.5
function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a rotate-left of an UNSIGNED vector COUNT times.
-- Id: S.6
function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a rotate-right of an UNSIGNED vector COUNT times.
-- Id: S.7
function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED;
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a logical rotate-left of a SIGNED vector COUNT times.
-- Id: S.8
function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED;
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: Performs a logical rotate-right of a SIGNED vector COUNT times.
--============================================================================
------------------------------------------------------------------------------
-- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.9
function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: SHIFT_LEFT(ARG, COUNT)
------------------------------------------------------------------------------
-- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.10
function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: SHIFT_LEFT(ARG, COUNT)
------------------------------------------------------------------------------
-- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.11
function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: SHIFT_RIGHT(ARG, COUNT)
------------------------------------------------------------------------------
-- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.12
function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT))
------------------------------------------------------------------------------
-- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.13
function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_LEFT(ARG, COUNT)
------------------------------------------------------------------------------
-- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.14
function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_LEFT(ARG, COUNT)
------------------------------------------------------------------------------
-- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.15
function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_RIGHT(ARG, COUNT)
------------------------------------------------------------------------------
-- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.16
function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_RIGHT(ARG, COUNT)
--============================================================================
-- RESIZE Functions
--============================================================================
-- Id: R.1
function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED;
-- Result subtype: SIGNED(NEW_SIZE-1 downto 0)
-- Result: Resizes the SIGNED vector ARG to the specified size.
-- To create a larger vector, the new [leftmost] bit positions
-- are filled with the sign bit (ARG'LEFT). When truncating,
-- the sign bit is retained along with the rightmost part.
-- Id: R.2
function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(NEW_SIZE-1 downto 0)
-- Result: Resizes the UNSIGNED vector ARG to the specified size.
-- To create a larger vector, the new [leftmost] bit positions
-- are filled with '0'. When truncating, the leftmost bits
-- are dropped.
--============================================================================
-- Conversion Functions
--============================================================================
-- Id: D.1
function TO_INTEGER (ARG: UNSIGNED) return NATURAL;
-- Result subtype: NATURAL. Value cannot be negative since parameter is an
-- UNSIGNED vector.
-- Result: Converts the UNSIGNED vector to an INTEGER.
-- Id: D.2
function TO_INTEGER (ARG: SIGNED) return INTEGER;
-- Result subtype: INTEGER
-- Result: Converts a SIGNED vector to an INTEGER.
-- Id: D.3
function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED;
-- Result subtype: UNSIGNED(SIZE-1 downto 0)
-- Result: Converts a non-negative INTEGER to an UNSIGNED vector with
-- the specified size.
-- Id: D.4
function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED;
-- Result subtype: SIGNED(SIZE-1 downto 0)
-- Result: Converts an INTEGER to a SIGNED vector of the specified size.
--============================================================================
-- Logical Operators
--============================================================================
-- Id: L.1
function "not" (L: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Termwise inversion
-- Id: L.2
function "and" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Vector AND operation
-- Id: L.3
function "or" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Vector OR operation
-- Id: L.4
function "nand" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Vector NAND operation
-- Id: L.5
function "nor" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Vector NOR operation
-- Id: L.6
function "xor" (L, R: UNSIGNED) return UNSIGNED;
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Vector XOR operation
------------------------------------------------------------------------------
-- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: L.7
function "xnor" (L, R: UNSIGNED) return UNSIGNED; --V93
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Vector XNOR operation
-- Id: L.8
function "not" (L: SIGNED) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Termwise inversion
-- Id: L.9
function "and" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Vector AND operation
-- Id: L.10
function "or" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Vector OR operation
-- Id: L.11
function "nand" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Vector NAND operation
-- Id: L.12
function "nor" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Vector NOR operation
-- Id: L.13
function "xor" (L, R: SIGNED) return SIGNED;
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Vector XOR operation
------------------------------------------------------------------------------
-- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: L.14
function "xnor" (L, R: SIGNED) return SIGNED; --V93
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Vector XNOR operation
--============================================================================
-- Edge Detection Functions
--============================================================================
-- Id: E.1
function RISING_EDGE (signal S: BIT) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Returns TRUE if an event is detected on signal S and the
-- value changed from a '0' to a '1'.
-- Id: E.2
function FALLING_EDGE (signal S: BIT) return BOOLEAN;
-- Result subtype: BOOLEAN
-- Result: Returns TRUE if an event is detected on signal S and the
-- value changed from a '1' to a '0'.
end NUMERIC_BIT;
| mit |
kiwih/goFB | goFB/templates/vhdl/top.vhd | 1 | 3152 | {{define "top"}}-- This file has been automatically generated by goFB and should not be edited by hand
-- Compiler written by Hammond Pearce and available at github.com/kiwih/goFB
-- VHDL support is EXPERIMENTAL ONLY
{{$block := index .Blocks .BlockIndex}}{{$blocks := .Blocks}}{{$specialIO := $block.GetSpecialIO .Blocks}}
-- This file represents the top level file for the IEC61499 network with {{$block.Name}} as the top level block
-- This is the file that you should embed into your vhdl
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity iec61499_network_top is
port(
--for clock and reset signal
clk : in std_logic;
reset : in std_logic;
{{if $block.BasicFB}}{{if $specialIO.InternalVars}}
--special emitted internal vars for I/O
{{range $index, $var := $specialIO.InternalVars}}{{$var.Name}} : {{if $var.IsTOPIO_IN}}in{{else}}out{{end}} {{getVhdlType $var.Type}}; --type was {{$var.Type}}
{{end}}{{end}}{{else if $block.CompositeFB}}{{if $specialIO.InternalVars}}
--special emitted internal variables for child I/O
{{range $index, $var := $specialIO.InternalVars}}{{$var.Name}} : {{if $var.IsTOPIO_IN}}in{{else}}out{{end}} {{getVhdlType $var.Type}}; --type was {{$var.Type}}
{{end}}{{end}}{{end}}
--signals in case external components want to measure time
debug_enable: out std_logic;
debug_sync: out std_logic;
debug_done: out std_logic
);
end entity;
architecture rtl of iec61499_network_top is
-- Build an enumerated type for the state machine
type state_type is (STATE_sync, STATE_wait_sync_done, STATE_run, STATE_wait_run_done);
-- Register to hold the current state
signal state : state_type := STATE_sync;
signal enable : std_logic := '0';
signal sync : std_logic := '0';
signal done : std_logic := '0';
begin
--port map for top level block
top_block: entity work.{{$block.Name}} port map(
clk => clk,
reset => reset,
enable => enable,
sync => sync,
{{if $block.BasicFB}}{{if $specialIO.InternalVars}}
--special emitted internal vars for I/O
{{range $index, $var := $specialIO.InternalVars}}{{$var.Name}} => {{$var.Name}}, --going {{if $var.IsTOPIO_IN}}in{{else}}out{{end}}
{{end}}{{end}}{{else if $block.CompositeFB}}{{if $specialIO.InternalVars}}
--special emitted internal variables for child I/O
{{range $index, $var := $specialIO.InternalVars}}{{$var.Name}} => {{$var.Name}}, --going {{if $var.IsTOPIO_IN}}in{{else}}out{{end}}
{{end}}{{end}}{{end}}
done => done
);
process (clk, reset)
begin
if reset = '1' then
state <= STATE_sync;
elsif (rising_edge(clk)) then
--default values
state <= state;
enable <= '0';
sync <= '0';
case state is
when STATE_sync =>
sync <= '1';
state <= STATE_wait_sync_done;
when STATE_wait_sync_done => --TODO sync_done signal
state <= STATE_run;
when STATE_run =>
enable <= '1';
state <= STATE_wait_run_done;
when STATE_wait_run_done =>
if done='1' then
state <= STATE_sync;
end if;
end case;
end if;
end process;
debug_done <= done;
debug_enable <= enable;
debug_sync <= sync;
end rtl;
{{end}}
| mit |
benreynwar/rfgnocchi | blocks/rotator_cc.vhd | 1 | 2297 | library ieee;
use ieee.std_logic_1164.all;
entity rotator_cc is
port (
clk: in std_logic;
reset: in std_logic;
i_data_tdata: in std_logic_vector(31 downto 0);
i_data_tvalid: in std_logic;
i_data_tlast: in std_logic;
i_data_tready: out std_logic;
i_config_tdata: in std_logic_vector(31 downto 0);
i_config_tvalid: in std_logic;
i_config_tready: out std_logic;
o_tdata: out std_logic_vector(31 downto 0);
o_tvalid: out std_logic;
o_tlast: out std_logic;
o_tready: in std_logic
);
end rotator_cc;
architecture arch of rotator_cc is
signal int_data: std_logic_vector(31 downto 0);
signal int_valid: std_logic;
signal int_ready: std_logic;
signal resetn: std_logic;
signal long_tdata: std_logic_vector(79 downto 0);
signal long_tvalid: std_logic;
signal long_tready: std_logic;
signal long_tlast: std_logic;
begin
resetn <= not reset;
the_nco: entity work.nco
port map (
aclk => clk,
aresetn => resetn,
s_axis_config_tdata => i_config_tdata,
s_axis_config_tvalid => i_config_tvalid,
s_axis_config_tready => i_config_tready,
m_axis_data_tdata => int_data,
m_axis_data_tvalid => int_valid,
m_axis_data_tready => int_ready
);
the_mult: entity work.complex_multiply
port map (
aclk => clk,
s_axis_a_tdata => i_data_tdata,
s_axis_a_tvalid => i_data_tvalid,
s_axis_a_tlast => i_data_tlast,
s_axis_a_tready => i_data_tready,
s_axis_b_tdata => int_data,
s_axis_b_tvalid => int_valid,
s_axis_b_tready => int_ready,
m_axis_dout_tdata => long_tdata,
m_axis_dout_tvalid => long_tvalid,
m_axis_dout_tlast => long_tlast,
m_axis_dout_tready => long_tready
);
chopper: entity work.axi_round_and_clip_complex
generic map (
WIDTH_IN => 40,
WIDTH_OUT => 16,
CLIP_BITS => 9
)
port map (
clk => clk,
reset => reset,
i_tdata => long_tdata,
i_tvalid => long_tvalid,
i_tlast => long_tlast,
i_tready => long_tready,
o_tdata => o_tdata,
o_tvalid => o_tvalid,
o_tlast => o_tlast,
o_tready => o_tready
);
end arch;
| mit |
JaDogg/__py_playground | reference/grammars-v4/vhdl/examples/arith.vhd | 6 | 72193 | --------------------------------------------------------------------------
-- --
-- Copyright (c) 1990,1991,1992 by Synopsys, Inc. All rights reserved. --
-- --
-- This source file may be used and distributed without restriction --
-- provided that this copyright statement is not removed from the file --
-- and that any derivative work contains this copyright notice. --
-- --
-- Package name: STD_LOGIC_ARITH --
-- --
-- Purpose: --
-- A set of arithemtic, conversion, and comparison functions --
-- for SIGNED, UNSIGNED, SMALL_INT, INTEGER, --
-- STD_ULOGIC, STD_LOGIC, and STD_LOGIC_VECTOR. --
-- --
--------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package std_logic_arith is
type UNSIGNED is array (NATURAL range <>) of STD_LOGIC;
type SIGNED is array (NATURAL range <>) of STD_LOGIC;
subtype SMALL_INT is INTEGER range 0 to 1;
----------------
-- add operators
----------------
function "+"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "+"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: INTEGER) return UNSIGNED;
function "+"(L: INTEGER; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: INTEGER) return SIGNED;
function "+"(L: INTEGER; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: STD_ULOGIC) return SIGNED;
function "+"(L: STD_ULOGIC; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "+"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "+"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "+"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR;
---------------------
-- subtract operators
---------------------
function "-"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "-"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: INTEGER) return UNSIGNED;
function "-"(L: INTEGER; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: INTEGER) return SIGNED;
function "-"(L: INTEGER; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: STD_ULOGIC) return SIGNED;
function "-"(L: STD_ULOGIC; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "-"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "-"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "-"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR;
------------------
-- unary operators
------------------
function "+"(L: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED) return SIGNED;
function "-"(L: SIGNED) return SIGNED;
function "ABS"(L: SIGNED) return SIGNED;
function "+"(L: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED) return STD_LOGIC_VECTOR;
function "ABS"(L: SIGNED) return STD_LOGIC_VECTOR;
---------------------------
-- multiplication operators
---------------------------
function "*"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "*"(L: SIGNED; R: SIGNED) return SIGNED;
function "*"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "*"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "*"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "*"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "*"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "*"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
-----------------------
-- less_than comparison
-----------------------
function "<"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: SIGNED) return BOOLEAN;
function "<"(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "<"(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "<"(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: INTEGER) return BOOLEAN;
function "<"(L: INTEGER; R: SIGNED) return BOOLEAN;
--------------------------------
-- less_than_or_equal comparison
--------------------------------
function "<="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "<="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "<="(L: INTEGER; R: SIGNED) return BOOLEAN;
--------------------------
-- greater_than comparison
--------------------------
function ">"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: SIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function ">"(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: INTEGER) return BOOLEAN;
function ">"(L: INTEGER; R: SIGNED) return BOOLEAN;
-----------------------------------
-- greater_than_or_equal comparison
-----------------------------------
function ">="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: SIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function ">="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: INTEGER) return BOOLEAN;
function ">="(L: INTEGER; R: SIGNED) return BOOLEAN;
-------------------
-- equal comparison
-------------------
function "="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "="(L: INTEGER; R: SIGNED) return BOOLEAN;
-----------------------
-- not equal comparison
-----------------------
function "/="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "/="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "/="(L: INTEGER; R: SIGNED) return BOOLEAN;
------------------
-- shift operators
------------------
function SHL(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED;
function SHL(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED;
function SHR(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED;
function SHR(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED;
-----------------------
-- conversion operators
-----------------------
function CONV_INTEGER(ARG: INTEGER) return INTEGER;
function CONV_INTEGER(ARG: UNSIGNED) return INTEGER;
function CONV_INTEGER(ARG: SIGNED) return INTEGER;
function CONV_INTEGER(ARG: STD_ULOGIC) return SMALL_INT;
function CONV_UNSIGNED(ARG: INTEGER; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: UNSIGNED; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: SIGNED; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return UNSIGNED;
function CONV_SIGNED(ARG: INTEGER; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: UNSIGNED; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: SIGNED; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return SIGNED;
function CONV_STD_LOGIC_VECTOR(ARG: INTEGER; SIZE: INTEGER) return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: UNSIGNED; SIZE: INTEGER) return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: SIGNED; SIZE: INTEGER) return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: STD_ULOGIC; SIZE: INTEGER) return STD_LOGIC_VECTOR;
----------------------------------------------
-- zero extend STD_LOGIC_VECTOR (ARG) to SIZE,
-- SIZE < 0 is same as SIZE = 0
-- returns STD_LOGIC_VECTOR(SIZE-1 downto 0)
----------------------------------------------
function EXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER) return STD_LOGIC_VECTOR;
----------------------------------------------
-- sign extend STD_LOGIC_VECTOR (ARG) to SIZE,
-- SIZE < 0 is same as SIZE = 0
-- return STD_LOGIC_VECTOR(SIZE-1 downto 0)
----------------------------------------------
function SXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER) return STD_LOGIC_VECTOR;
end Std_logic_arith;
library IEEE;
use IEEE.std_logic_1164.all;
package body std_logic_arith is
function max(L, R: INTEGER) return INTEGER is
begin
if L > R then
return L;
else
return R;
end if;
end;
function min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
-- synopsys synthesis_off
type tbl_type is array (STD_ULOGIC) of STD_ULOGIC;
constant tbl_BINARY : tbl_type :=
('X', 'X', '0', '1', 'X', 'X', '0', '1', 'X');
-- synopsys synthesis_on
-- synopsys synthesis_off
type tbl_mvl9_boolean is array (STD_ULOGIC) of boolean;
constant IS_X : tbl_mvl9_boolean :=
(true, true, false, false, true, true, false, false, true);
-- synopsys synthesis_on
function MAKE_BINARY(A : STD_ULOGIC) return STD_ULOGIC is
-- synopsys built_in SYN_FEED_THRU
begin
-- synopsys synthesis_off
if (IS_X(A)) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
return ('X');
end if;
return tbl_BINARY(A);
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : UNSIGNED) return UNSIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : UNSIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : UNSIGNED) return SIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : SIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : SIGNED) return UNSIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : UNSIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : SIGNED) return SIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : SIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : STD_LOGIC_VECTOR (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : UNSIGNED) return STD_LOGIC_VECTOR is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : STD_LOGIC_VECTOR (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : SIGNED) return STD_LOGIC_VECTOR is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : STD_LOGIC_VECTOR (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
-- Type propagation function which returns a signed type with the
-- size of the left arg.
function LEFT_SIGNED_ARG(A,B: SIGNED) return SIGNED is
variable Z: SIGNED (A'left downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns an unsigned type with the
-- size of the left arg.
function LEFT_UNSIGNED_ARG(A,B: UNSIGNED) return UNSIGNED is
variable Z: UNSIGNED (A'left downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns a signed type with the
-- size of the result of a signed multiplication
function MULT_SIGNED_ARG(A,B: SIGNED) return SIGNED is
variable Z: SIGNED ((A'length+B'length-1) downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns an unsigned type with the
-- size of the result of a unsigned multiplication
function MULT_UNSIGNED_ARG(A,B: UNSIGNED) return UNSIGNED is
variable Z: UNSIGNED ((A'length+B'length-1) downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
function mult(A,B: SIGNED) return SIGNED is
variable BA: SIGNED((A'length+B'length-1) downto 0);
variable PA: SIGNED((A'length+B'length-1) downto 0);
variable AA: SIGNED(A'length downto 0);
variable neg: STD_ULOGIC;
constant one : UNSIGNED(1 downto 0) := "01";
-- pragma map_to_operator MULT_TC_OP
-- pragma type_function MULT_SIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
PA := (others => 'X');
return(PA);
end if;
PA := (others => '0');
neg := B(B'left) xor A(A'left);
BA := CONV_SIGNED(('0' & ABS(B)),(A'length+B'length));
AA := '0' & ABS(A);
for i in 0 to A'length-1 loop
if AA(i) = '1' then
PA := PA+BA;
end if;
BA := SHL(BA,one);
end loop;
if (neg= '1') then
return(-PA);
else
return(PA);
end if;
end;
function mult(A,B: UNSIGNED) return UNSIGNED is
variable BA: UNSIGNED((A'length+B'length-1) downto 0);
variable PA: UNSIGNED((A'length+B'length-1) downto 0);
constant one : UNSIGNED(1 downto 0) := "01";
-- pragma map_to_operator MULT_UNS_OP
-- pragma type_function MULT_UNSIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
PA := (others => 'X');
return(PA);
end if;
PA := (others => '0');
BA := CONV_UNSIGNED(B,(A'length+B'length));
for i in 0 to A'length-1 loop
if A(i) = '1' then
PA := PA+BA;
end if;
BA := SHL(BA,one);
end loop;
return(PA);
end;
-- subtract two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function minus(A, B: SIGNED) return SIGNED is
variable carry: STD_ULOGIC;
variable BV: STD_ULOGIC_VECTOR (A'left downto 0);
variable sum: SIGNED (A'left downto 0);
-- pragma map_to_operator SUB_TC_OP
-- pragma type_function LEFT_SIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '1';
BV := not STD_ULOGIC_VECTOR(B);
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
-- add two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function plus(A, B: SIGNED) return SIGNED is
variable carry: STD_ULOGIC;
variable BV, sum: SIGNED (A'left downto 0);
-- pragma map_to_operator ADD_TC_OP
-- pragma type_function LEFT_SIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '0';
BV := B;
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
-- subtract two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_minus(A, B: UNSIGNED) return UNSIGNED is
variable carry: STD_ULOGIC;
variable BV: STD_ULOGIC_VECTOR (A'left downto 0);
variable sum: UNSIGNED (A'left downto 0);
-- pragma map_to_operator SUB_UNS_OP
-- pragma type_function LEFT_UNSIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '1';
BV := not STD_ULOGIC_VECTOR(B);
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
-- add two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_plus(A, B: UNSIGNED) return UNSIGNED is
variable carry: STD_ULOGIC;
variable BV, sum: UNSIGNED (A'left downto 0);
-- pragma map_to_operator ADD_UNS_OP
-- pragma type_function LEFT_UNSIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '0';
BV := B;
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
function "*"(L: SIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to mult
begin
return mult(CONV_SIGNED(L, L'length),
CONV_SIGNED(R, R'length)); -- pragma label mult
end;
function "*"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to mult
begin
return mult(CONV_UNSIGNED(L, L'length),
CONV_UNSIGNED(R, R'length)); -- pragma label mult
end;
function "*"(L: UNSIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
begin
return mult(CONV_SIGNED(L, L'length+1),
CONV_SIGNED(R, R'length)); -- pragma label mult
end;
function "*"(L: SIGNED; R: UNSIGNED) return SIGNED is
-- pragma label_applies_to plus
begin
return mult(CONV_SIGNED(L, L'length),
CONV_SIGNED(R, R'length+1)); -- pragma label mult
end;
function "*"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to mult
begin
return STD_LOGIC_VECTOR (mult(CONV_SIGNED(L, L'length),
CONV_SIGNED(R, R'length))); -- pragma label mult
end;
function "*"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to mult
begin
return STD_LOGIC_VECTOR (mult(CONV_UNSIGNED(L, L'length),
CONV_UNSIGNED(R, R'length))); -- pragma label mult
end;
function "*"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
begin
return STD_LOGIC_VECTOR (mult(CONV_SIGNED(L, L'length+1),
CONV_SIGNED(R, R'length))); -- pragma label mult
end;
function "*"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
begin
return STD_LOGIC_VECTOR (mult(CONV_SIGNED(L, L'length),
CONV_SIGNED(R, R'length+1))); -- pragma label mult
end;
function "+"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label plus
end;
function "+"(L: SIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length, R'length);
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length + 1, R'length);
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: SIGNED; R: UNSIGNED) return SIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length, R'length + 1);
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: INTEGER) return UNSIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := L'length + 1;
begin
return CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1);
end;
function "+"(L: INTEGER; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := R'length + 1;
begin
return CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1);
end;
function "+"(L: SIGNED; R: INTEGER) return SIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := L'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: INTEGER; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := R'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := L'length;
begin
return unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)) ; -- pragma label plus
end;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := R'length;
begin
return unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label plus
end;
function "+"(L: SIGNED; R: STD_ULOGIC) return SIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := L'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: STD_ULOGIC; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
constant length: INTEGER := R'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length))); -- pragma label plus
end;
function "+"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length + 1, R'length);
begin
return STD_LOGIC_VECTOR (plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label plus
end;
function "+"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := max(L'length, R'length + 1);
begin
return STD_LOGIC_VECTOR (plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := L'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1));
end;
function "+"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := R'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1));
end;
function "+"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label plus
end;
function "+"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length))) ; -- pragma label plus
end;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length))); -- pragma label plus
end;
function "+"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label plus
end;
function "+"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label plus
end;
function "-"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_minus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label minus
end;
function "-"(L: SIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length, R'length);
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length + 1, R'length);
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: SIGNED; R: UNSIGNED) return SIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length, R'length + 1);
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: INTEGER) return UNSIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := L'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1);
end;
function "-"(L: INTEGER; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := R'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1);
end;
function "-"(L: SIGNED; R: INTEGER) return SIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := L'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: INTEGER; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := R'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := L'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1);
end;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := R'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1);
end;
function "-"(L: SIGNED; R: STD_ULOGIC) return SIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := L'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: STD_ULOGIC; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
constant length: INTEGER := R'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (unsigned_minus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length))); -- pragma label minus
end;
function "-"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length + 1, R'length);
begin
return STD_LOGIC_VECTOR (minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label minus
end;
function "-"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := max(L'length, R'length + 1);
begin
return STD_LOGIC_VECTOR (minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := L'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1));
end;
function "-"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := R'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1));
end;
function "-"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label minus
end;
function "-"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := L'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1));
end;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := R'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length),
CONV_SIGNED(R, length)),
length-1));
end;
function "-"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label minus
end;
function "-"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length))); -- pragma label minus
end;
function "+"(L: UNSIGNED) return UNSIGNED is
begin
return L;
end;
function "+"(L: SIGNED) return SIGNED is
begin
return L;
end;
function "-"(L: SIGNED) return SIGNED is
-- pragma label_applies_to minus
begin
return 0 - L; -- pragma label minus
end;
function "ABS"(L: SIGNED) return SIGNED is
begin
if (L(L'left) = '0' or L(L'left) = 'L') then
return L;
else
return 0 - L;
end if;
end;
function "+"(L: UNSIGNED) return STD_LOGIC_VECTOR is
begin
return STD_LOGIC_VECTOR (L);
end;
function "+"(L: SIGNED) return STD_LOGIC_VECTOR is
begin
return STD_LOGIC_VECTOR (L);
end;
function "-"(L: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
variable tmp: SIGNED(L'length-1 downto 0);
begin
tmp := 0 - L; -- pragma label minus
return STD_LOGIC_VECTOR (tmp);
end;
function "ABS"(L: SIGNED) return STD_LOGIC_VECTOR is
variable tmp: SIGNED(L'length-1 downto 0);
begin
if (L(L'left) = '0' or L(L'left) = 'L') then
return STD_LOGIC_VECTOR (L);
else
tmp := 0 - L;
return STD_LOGIC_VECTOR (tmp);
end if;
end;
-- Type propagation function which returns the type BOOLEAN
function UNSIGNED_RETURN_BOOLEAN(A,B: UNSIGNED) return BOOLEAN is
variable Z: BOOLEAN;
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns the type BOOLEAN
function SIGNED_RETURN_BOOLEAN(A,B: SIGNED) return BOOLEAN is
variable Z: BOOLEAN;
-- pragma return_port_name Z
begin
return(Z);
end;
-- compare two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function is_less(A, B: SIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LT_TC_OP
-- pragma type_function SIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
if A(sign) /= B(sign) then
result := A(sign) = '1';
else
result := FALSE;
for i in 0 to sign-1 loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
end if;
return result;
end;
-- compare two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function is_less_or_equal(A, B: SIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LEQ_TC_OP
-- pragma type_function SIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
if A(sign) /= B(sign) then
result := A(sign) = '1';
else
result := TRUE;
for i in 0 to sign-1 loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
end if;
return result;
end;
-- compare two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_is_less(A, B: UNSIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LT_UNS_OP
-- pragma type_function UNSIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
result := FALSE;
for i in 0 to sign loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
return result;
end;
-- compare two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_is_less_or_equal(A, B: UNSIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LEQ_UNS_OP
-- pragma type_function UNSIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
result := TRUE;
for i in 0 to sign loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
return result;
end;
function "<"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label lt
end;
function "<"(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := max(L'length, R'length);
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := L'length + 1;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := R'length + 1;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := L'length;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to lt
constant length: INTEGER := R'length;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less_or_equal(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label leq
end;
function "<="(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := max(L'length, R'length);
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := L'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := R'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := L'length;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to leq
constant length: INTEGER := R'length;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function ">"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less(CONV_UNSIGNED(R, length),
CONV_UNSIGNED(L, length)); -- pragma label gt
end;
function ">"(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := max(L'length, R'length);
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := L'length + 1;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := R'length + 1;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := L'length;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to gt
constant length: INTEGER := R'length;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less_or_equal(CONV_UNSIGNED(R, length),
CONV_UNSIGNED(L, length)); -- pragma label geq
end;
function ">="(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := max(L'length, R'length);
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := L'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := R'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := L'length;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to geq
constant length: INTEGER := R'length;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
-- for internal use only. Assumes SIGNED arguments of equal length.
function bitwise_eql(L: STD_ULOGIC_VECTOR; R: STD_ULOGIC_VECTOR)
return BOOLEAN is
-- pragma built_in SYN_EQL
begin
for i in L'range loop
if L(i) /= R(i) then
return FALSE;
end if;
end loop;
return TRUE;
end;
-- for internal use only. Assumes SIGNED arguments of equal length.
function bitwise_neq(L: STD_ULOGIC_VECTOR; R: STD_ULOGIC_VECTOR)
return BOOLEAN is
-- pragma built_in SYN_NEQ
begin
for i in L'range loop
if L(i) /= R(i) then
return TRUE;
end if;
end loop;
return FALSE;
end;
function "="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_UNSIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_UNSIGNED(R, length) ) );
end;
function "="(L: SIGNED; R: SIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length + 1, R'length);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length, R'length + 1);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
constant length: INTEGER := L'length + 1;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
constant length: INTEGER := R'length + 1;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: SIGNED; R: INTEGER) return BOOLEAN is
constant length: INTEGER := L'length;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: INTEGER; R: SIGNED) return BOOLEAN is
constant length: INTEGER := R'length;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_UNSIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_UNSIGNED(R, length) ) );
end;
function "/="(L: SIGNED; R: SIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length + 1, R'length);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
constant length: INTEGER := max(L'length, R'length + 1);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
constant length: INTEGER := L'length + 1;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
constant length: INTEGER := R'length + 1;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: SIGNED; R: INTEGER) return BOOLEAN is
constant length: INTEGER := L'length;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: INTEGER; R: SIGNED) return BOOLEAN is
constant length: INTEGER := R'length;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function SHL(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED is
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is UNSIGNED (result_msb downto 0);
variable result, temp: rtype;
begin
control := MAKE_BINARY(COUNT);
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := ARG;
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => '0');
if 2**i <= result_msb then
temp(result_msb downto 2**i) :=
result(result_msb - 2**i downto 0);
end if;
result := temp;
end if;
end loop;
return result;
end;
function SHL(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED is
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is SIGNED (result_msb downto 0);
variable result, temp: rtype;
begin
control := MAKE_BINARY(COUNT);
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := ARG;
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => '0');
if 2**i <= result_msb then
temp(result_msb downto 2**i) :=
result(result_msb - 2**i downto 0);
end if;
result := temp;
end if;
end loop;
return result;
end;
function SHR(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED is
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is UNSIGNED (result_msb downto 0);
variable result, temp: rtype;
begin
control := MAKE_BINARY(COUNT);
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := ARG;
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => '0');
if 2**i <= result_msb then
temp(result_msb - 2**i downto 0) :=
result(result_msb downto 2**i);
end if;
result := temp;
end if;
end loop;
return result;
end;
function SHR(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED is
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is SIGNED (result_msb downto 0);
variable result, temp: rtype;
variable sign_bit: STD_ULOGIC;
begin
control := MAKE_BINARY(COUNT);
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := ARG;
sign_bit := ARG(ARG'left);
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => sign_bit);
if 2**i <= result_msb then
temp(result_msb - 2**i downto 0) :=
result(result_msb downto 2**i);
end if;
result := temp;
end if;
end loop;
return result;
end;
function CONV_INTEGER(ARG: INTEGER) return INTEGER is
begin
return ARG;
end;
function CONV_INTEGER(ARG: UNSIGNED) return INTEGER is
variable result: INTEGER;
variable tmp: STD_ULOGIC;
-- synopsys built_in SYN_UNSIGNED_TO_INTEGER
begin
-- synopsys synthesis_off
assert ARG'length <= 31
report "ARG is too large in CONV_INTEGER"
severity FAILURE;
result := 0;
for i in ARG'range loop
result := result * 2;
tmp := tbl_BINARY(ARG(i));
if tmp = '1' then
result := result + 1;
elsif tmp = 'X' then
assert false
report "CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0."
severity WARNING;
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_INTEGER(ARG: SIGNED) return INTEGER is
variable result: INTEGER;
variable tmp: STD_ULOGIC;
-- synopsys built_in SYN_SIGNED_TO_INTEGER
begin
-- synopsys synthesis_off
assert ARG'length <= 32
report "ARG is too large in CONV_INTEGER"
severity FAILURE;
result := 0;
for i in ARG'range loop
if i /= ARG'left then
result := result * 2;
tmp := tbl_BINARY(ARG(i));
if tmp = '1' then
result := result + 1;
elsif tmp = 'X' then
assert false
report "CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0."
severity WARNING;
end if;
end if;
end loop;
tmp := MAKE_BINARY(ARG(ARG'left));
if tmp = '1' then
if ARG'length = 32 then
result := (result - 2**30) - 2**30;
else
result := result - (2 ** (ARG'length-1));
end if;
end if;
return result;
-- synopsys synthesis_on
end;
function CONV_INTEGER(ARG: STD_ULOGIC) return SMALL_INT is
variable tmp: STD_ULOGIC;
-- synopsys built_in SYN_FEED_THRU
begin
-- synopsys synthesis_off
tmp := tbl_BINARY(ARG);
if tmp = '1' then
return 1;
elsif tmp = 'X' then
assert false
report "CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0."
severity WARNING;
return 0;
else
return 0;
end if;
-- synopsys synthesis_on
end;
-- convert an integer to a unsigned STD_ULOGIC_VECTOR
function CONV_UNSIGNED(ARG: INTEGER; SIZE: INTEGER) return UNSIGNED is
variable result: UNSIGNED(SIZE-1 downto 0);
variable temp: integer;
-- synopsys built_in SYN_INTEGER_TO_UNSIGNED
begin
-- synopsys synthesis_off
temp := ARG;
for i in 0 to SIZE-1 loop
if (temp mod 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
if temp > 0 then
temp := temp / 2;
else
temp := (temp - 1) / 2; -- simulate ASR
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_UNSIGNED(ARG: UNSIGNED; SIZE: INTEGER) return UNSIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is UNSIGNED (SIZE-1 downto 0);
variable new_bounds: UNSIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_UNSIGNED(ARG: SIGNED; SIZE: INTEGER) return UNSIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is UNSIGNED (SIZE-1 downto 0);
variable new_bounds: UNSIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_UNSIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return UNSIGNED is
subtype rtype is UNSIGNED (SIZE-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
begin
-- synopsys synthesis_off
result := rtype'(others => '0');
result(0) := MAKE_BINARY(ARG);
if (result(0) = 'X') then
result := rtype'(others => 'X');
end if;
return result;
-- synopsys synthesis_on
end;
-- convert an integer to a 2's complement STD_ULOGIC_VECTOR
function CONV_SIGNED(ARG: INTEGER; SIZE: INTEGER) return SIGNED is
variable result: SIGNED (SIZE-1 downto 0);
variable temp: integer;
-- synopsys built_in SYN_INTEGER_TO_SIGNED
begin
-- synopsys synthesis_off
temp := ARG;
for i in 0 to SIZE-1 loop
if (temp mod 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
if temp > 0 then
temp := temp / 2;
else
temp := (temp - 1) / 2; -- simulate ASR
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_SIGNED(ARG: UNSIGNED; SIZE: INTEGER) return SIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is SIGNED (SIZE-1 downto 0);
variable new_bounds : SIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_SIGNED(ARG: SIGNED; SIZE: INTEGER) return SIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is SIGNED (SIZE-1 downto 0);
variable new_bounds : SIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_SIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return SIGNED is
subtype rtype is SIGNED (SIZE-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
begin
-- synopsys synthesis_off
result := rtype'(others => '0');
result(0) := MAKE_BINARY(ARG);
if (result(0) = 'X') then
result := rtype'(others => 'X');
end if;
return result;
-- synopsys synthesis_on
end;
-- convert an integer to an STD_LOGIC_VECTOR
function CONV_STD_LOGIC_VECTOR(ARG: INTEGER; SIZE: INTEGER) return STD_LOGIC_VECTOR is
variable result: STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable temp: integer;
-- synopsys built_in SYN_INTEGER_TO_SIGNED
begin
-- synopsys synthesis_off
temp := ARG;
for i in 0 to SIZE-1 loop
if (temp mod 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
if temp > 0 then
temp := temp / 2;
else
temp := (temp - 1) / 2; -- simulate ASR
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_STD_LOGIC_VECTOR(ARG: UNSIGNED; SIZE: INTEGER) return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds : STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_STD_LOGIC_VECTOR(ARG: SIGNED; SIZE: INTEGER) return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds : STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_STD_LOGIC_VECTOR(ARG: STD_ULOGIC; SIZE: INTEGER) return STD_LOGIC_VECTOR is
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
begin
-- synopsys synthesis_off
result := rtype'(others => '0');
result(0) := MAKE_BINARY(ARG);
if (result(0) = 'X') then
result := rtype'(others => 'X');
end if;
return result;
-- synopsys synthesis_on
end;
function EXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER)
return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds: STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function SXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER) return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds : STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
end std_logic_arith;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/or_with_enable_f.vhd | 15 | 11958 | -------------------------------------------------------------------------------
-- $Id: or_with_enable_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_with_enable_f
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_with_enable_f.vhd
--
-- Description: Y <= or_reduce(OR_bits) and Enable
--
-- i.e., OR together the OR_bits and AND the result with Enable.
--
-- The implementation uses a single LUT if possible.
-- Otherwise, if C_FAMILY supports the carry chain concept,
-- it uses a minimal number of LUTs on a carry chain.
-- The native LUT size of C_FAMILY is taken into account.
--
-------------------------------------------------------------------------------
-- Structure: Common use module
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 05/06/06 -- First version
-- ~~~~~~
-- FLO 05/25/06
-- ^^^^^^
-- -Using native_lut_size function from family_support.
-- -Moved C_FAMILY to end of generics.
-- -Minor cleanup.
-- ~~~~~~
-- FLO 11/17/07
-- ^^^^^^
-- -Work around because XST doesn't yet support or_reduce with null argument.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--
entity or_with_enable_f is
generic (
C_OR_WIDTH : natural;
C_FAMILY : string := "nofamily"
);
port (
OR_bits : in std_logic_vector(0 to C_OR_WIDTH-1);
Enable : in std_logic;
Y : out std_logic
);
end or_with_enable_f;
library proc_common_v4_0;
use proc_common_v4_0.family_support.all;
-- Makes visible the function 'supported' and related types,
-- including enumeration literals for the unisim primitives (e.g.
-- the "u_" prefixed identifiers such as u_MUXCY, u_LUT4, etc.).
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
--
architecture implementation of or_with_enable_f is
----------------------------------------------------------------------------
-- Here is determined the largest LUT width supported by the target family.
-- If no LUT is supported, the width is set to a very large number, which,
-- as things are structured, will cause an inferred implementation
-- to be used.
----------------------------------------------------------------------------
constant LUT_SIZE : integer := native_lut_size(fam_as_string => C_FAMILY,
no_lut_return_val => integer'high
);
----------------------------------------------------------------------------
-- Here is determined which structural or inferred implementation to use.
----------------------------------------------------------------------------
constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, u_MUXCY) and
OR_bits'length + 1 > LUT_SIZE;
-- Structural implementation not needed if the number of logic
-- inputs, i.e., the Enable plus the number of bits to be ORed,
-- will fit into a single LUT.
constant USE_INFERRED : boolean := not USE_STRUCTURAL_A;
----------------------------------------------------------------------------
-- Reduction OR function.
----------------------------------------------------------------------------
function or_reduce (v : std_logic_vector) return std_logic is
variable r : std_logic := '0';
begin
for i in v'range loop
r := r or v(i);
end loop;
return r;
end;
----------------------------------------------------------------------------
-- Signal to recast OR_bits into a local array whose index bounds and
-- direction are known.
----------------------------------------------------------------------------
signal OB : std_logic_vector(0 to OR_bits'length-1);
----------------------------------------------------------------------------
-- Unisim components declared locally for maximum avoidance of default
-- binding and vcomponents version issues.
----------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin
OB <= OR_bits;
----------------------------------------------------------------------------
-- Inferred implementation.
----------------------------------------------------------------------------
INFERRED_GEN : if USE_INFERRED generate
begin
Y <= Enable and or_reduce(OB);
end generate INFERRED_GEN;
----------------------------------------------------------------------------
-- Structural implementation.
----------------------------------------------------------------------------
STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate
constant NUM_PURE_OR_LUTS : positive := (OB'length / LUT_SIZE);
signal cy : std_logic_vector(0 to NUM_PURE_OR_LUTS);
signal final_lut : std_logic;
begin
--
cy(0) <= '0';
--
PURE_OR_GEN : for i in 0 to NUM_PURE_OR_LUTS-1 generate
signal lut : std_logic;
begin
lut <= not or_reduce(OB(i*LUT_SIZE to (i+1)*LUT_SIZE-1));
--
I_MUXCY : component MUXCY
port map (O =>cy(i+1),
CI=>cy(i),
DI=>'1',
S =>lut);
end generate;
--
XST_WA_GEN : if (OB'length mod LUT_SIZE) = 0 generate begin
final_lut <= Enable;
end generate;
--
ORIG_GEN : if (OB'length mod LUT_SIZE) /= 0 generate begin
final_lut <= Enable
and not or_reduce(OB(NUM_PURE_OR_LUTS*LUT_SIZE to OB'right));
end generate;
--
I_MUXCY_FINAL : component MUXCY
port map (O =>Y,
CI=>cy(NUM_PURE_OR_LUTS),
DI=>Enable,
S =>final_lut);
--
end generate STRUCTURAL_A_GEN;
end implementation;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/or_with_enable_f.vhd | 15 | 11958 | -------------------------------------------------------------------------------
-- $Id: or_with_enable_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_with_enable_f
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_with_enable_f.vhd
--
-- Description: Y <= or_reduce(OR_bits) and Enable
--
-- i.e., OR together the OR_bits and AND the result with Enable.
--
-- The implementation uses a single LUT if possible.
-- Otherwise, if C_FAMILY supports the carry chain concept,
-- it uses a minimal number of LUTs on a carry chain.
-- The native LUT size of C_FAMILY is taken into account.
--
-------------------------------------------------------------------------------
-- Structure: Common use module
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 05/06/06 -- First version
-- ~~~~~~
-- FLO 05/25/06
-- ^^^^^^
-- -Using native_lut_size function from family_support.
-- -Moved C_FAMILY to end of generics.
-- -Minor cleanup.
-- ~~~~~~
-- FLO 11/17/07
-- ^^^^^^
-- -Work around because XST doesn't yet support or_reduce with null argument.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--
entity or_with_enable_f is
generic (
C_OR_WIDTH : natural;
C_FAMILY : string := "nofamily"
);
port (
OR_bits : in std_logic_vector(0 to C_OR_WIDTH-1);
Enable : in std_logic;
Y : out std_logic
);
end or_with_enable_f;
library proc_common_v4_0;
use proc_common_v4_0.family_support.all;
-- Makes visible the function 'supported' and related types,
-- including enumeration literals for the unisim primitives (e.g.
-- the "u_" prefixed identifiers such as u_MUXCY, u_LUT4, etc.).
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
--
architecture implementation of or_with_enable_f is
----------------------------------------------------------------------------
-- Here is determined the largest LUT width supported by the target family.
-- If no LUT is supported, the width is set to a very large number, which,
-- as things are structured, will cause an inferred implementation
-- to be used.
----------------------------------------------------------------------------
constant LUT_SIZE : integer := native_lut_size(fam_as_string => C_FAMILY,
no_lut_return_val => integer'high
);
----------------------------------------------------------------------------
-- Here is determined which structural or inferred implementation to use.
----------------------------------------------------------------------------
constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, u_MUXCY) and
OR_bits'length + 1 > LUT_SIZE;
-- Structural implementation not needed if the number of logic
-- inputs, i.e., the Enable plus the number of bits to be ORed,
-- will fit into a single LUT.
constant USE_INFERRED : boolean := not USE_STRUCTURAL_A;
----------------------------------------------------------------------------
-- Reduction OR function.
----------------------------------------------------------------------------
function or_reduce (v : std_logic_vector) return std_logic is
variable r : std_logic := '0';
begin
for i in v'range loop
r := r or v(i);
end loop;
return r;
end;
----------------------------------------------------------------------------
-- Signal to recast OR_bits into a local array whose index bounds and
-- direction are known.
----------------------------------------------------------------------------
signal OB : std_logic_vector(0 to OR_bits'length-1);
----------------------------------------------------------------------------
-- Unisim components declared locally for maximum avoidance of default
-- binding and vcomponents version issues.
----------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin
OB <= OR_bits;
----------------------------------------------------------------------------
-- Inferred implementation.
----------------------------------------------------------------------------
INFERRED_GEN : if USE_INFERRED generate
begin
Y <= Enable and or_reduce(OB);
end generate INFERRED_GEN;
----------------------------------------------------------------------------
-- Structural implementation.
----------------------------------------------------------------------------
STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate
constant NUM_PURE_OR_LUTS : positive := (OB'length / LUT_SIZE);
signal cy : std_logic_vector(0 to NUM_PURE_OR_LUTS);
signal final_lut : std_logic;
begin
--
cy(0) <= '0';
--
PURE_OR_GEN : for i in 0 to NUM_PURE_OR_LUTS-1 generate
signal lut : std_logic;
begin
lut <= not or_reduce(OB(i*LUT_SIZE to (i+1)*LUT_SIZE-1));
--
I_MUXCY : component MUXCY
port map (O =>cy(i+1),
CI=>cy(i),
DI=>'1',
S =>lut);
end generate;
--
XST_WA_GEN : if (OB'length mod LUT_SIZE) = 0 generate begin
final_lut <= Enable;
end generate;
--
ORIG_GEN : if (OB'length mod LUT_SIZE) /= 0 generate begin
final_lut <= Enable
and not or_reduce(OB(NUM_PURE_OR_LUTS*LUT_SIZE to OB'right));
end generate;
--
I_MUXCY_FINAL : component MUXCY
port map (O =>Y,
CI=>cy(NUM_PURE_OR_LUTS),
DI=>Enable,
S =>final_lut);
--
end generate STRUCTURAL_A_GEN;
end implementation;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/qspi_fifo_ifmodule.vhd | 1 | 19704 | -------------------------------------------------------------------------------
-- $Id: qspi_fifo_ifmodule.vhd
-------------------------------------------------------------------------------
-- qspi_fifo_ifmodule.vhd - Entity and architecture
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: qspi_fifo_ifmodule.vhd
-- Version: v3.0
-- Description: Quad Serial Peripheral Interface (QSPI) Module for interfacing
-- with a 32-bit axi Bus. FIFO Interface module
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_spi.
--
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- comp_defs.vhd -- (helper lib)
-------------------------------------------------------------------------------
-- Author: SK
-- ~~~~~~
-- - First version of axi_quad_spi.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.RESET_ACTIVE;
use proc_common_v4_0.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_NUM_TRANSFER_BITS -- SPI Serial transfer width.
-- Can be 8, 16 or 32 bit wide
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- SLAVE ATTACHMENT INTERFACE
-- Bus2IP_RcFIFO_RdCE -- Bus2IP receive FIFO read CE
-- Bus2IP_TxFIFO_WrCE -- Bus2IP transmit FIFO write CE
-- Rd_ce_reduce_ack_gen -- commong logid to generate the write ACK
-- Wr_ce_reduce_ack_gen -- commong logid to generate the write ACK
-- IP2Bus_RX_FIFO_Data -- Data to send on the bus
-- Transmit_ip2bus_error -- Transmit FIFO error signal
-- Receive_ip2bus_error -- Receive FIFO error signal
-- FIFO INTERFACE
-- Data_From_TxFIFO -- Data from transmit FIFO
-- Tx_FIFO_Data_WithZero -- Components to put zeros on input
-- to Shift Register when FIFO is empty
-- Data_From_Rc_FIFO -- Receive FIFO data output
-- Rc_FIFO_Empty -- Receive FIFO empty
-- Rc_FIFO_Full -- Receive FIFO full
-- Rc_FIFO_Full_strobe -- 1 cycle wide receive FIFO full strobe
-- Tx_FIFO_Empty -- Transmit FIFO empty
-- Tx_FIFO_Empty_strobe -- 1 cycle wide transmit FIFO full strobe
-- Tx_FIFO_Full -- Transmit FIFO full
-- Tx_FIFO_Occpncy_MSB -- Transmit FIFO occupancy register
-- MSB bit
-- Tx_FIFO_less_half -- Transmit FIFO less than half empty
-- SPI MODULE INTERFACE
-- DRR_Overrun -- DRR Overrun bit
-- SPIXfer_done -- SPI transfer done flag
-- DTR_Underrun_strobe -- DTR Underrun Strobe bit
-- DTR_underrun -- DTR underrun generation signal
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity qspi_fifo_ifmodule is
generic
(
C_NUM_TRANSFER_BITS : integer
----------------------------
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
-- Slave attachment ports
Bus2IP_RcFIFO_RdCE : in std_logic;
Bus2IP_TxFIFO_WrCE : in std_logic;
Rd_ce_reduce_ack_gen : in std_logic;
-- FIFO ports
Data_From_TxFIFO : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
Data_From_Rc_FIFO : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
Tx_FIFO_Data_WithZero: out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
IP2Bus_RX_FIFO_Data : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
---------------------
Rc_FIFO_Full : in std_logic;
Rc_FIFO_Full_strobe : out std_logic;
---------------------
Tx_FIFO_Empty : in std_logic;
Tx_FIFO_Empty_strobe : out std_logic;
---------------------
Rc_FIFO_Empty : in std_logic;
Receive_ip2bus_error : out std_logic;
Tx_FIFO_Full : in std_logic;
Transmit_ip2bus_error: out std_logic;
---------------------
Tx_FIFO_Occpncy_MSB : in std_logic;
Tx_FIFO_less_half : out std_logic;
---------------------
DTR_underrun : in std_logic;
DTR_Underrun_strobe : out std_logic;
---------------------
SPIXfer_done : in std_logic;
rready : in std_logic
--DRR_Overrun_reg : out std_logic
---------------------
);
end qspi_fifo_ifmodule;
-------------------------------------------------------------------------------
-- Architecture
---------------
architecture imp of qspi_fifo_ifmodule is
---------------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- Signal Declarations
----------------------
-- signal drr_Overrun_i : std_logic;
signal rc_FIFO_Full_d1 : std_logic;
signal dtr_Underrun_strobe_i : std_logic;
signal tx_FIFO_Empty_d1 : std_logic;
signal tx_FIFO_Occpncy_MSB_d1 : std_logic;
signal dtr_underrun_d1 : std_logic;
signal RST_TxFIFO_ptr_int : std_logic;
signal DRR_Overrun_reg_int : std_logic;
---------------------------------------------
begin
-----
-- Combinatorial operations
-------------------------------------------------------------------------------
-- DRR_Overrun_reg <= DRR_Overrun_reg_int;
-------------------------------------------------------------------------------
-- SPI_RECEIVE_FIFO_RD_GENERATE : Read of SPI receive FIFO
----------------------------------
SPI_RECEIVE_FIFO_RD_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
-----
begin
-----
IP2Bus_RX_FIFO_Data(i) <= Data_From_Rc_FIFO(i) and
(
(Rd_ce_reduce_ack_gen or rready) and
Bus2IP_RcFIFO_RdCE
);
end generate SPI_RECEIVE_FIFO_RD_GENERATE;
-------------------------------------------------------------------------------
-- PUT_ZEROS_IN_SR_GENERATE : Put zeros on input to SR when FIFO is empty.
-- Requested by software designers
------------------------------
PUT_ZEROS_IN_SR_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
begin
-----
Tx_FIFO_Data_WithZero(i) <= Data_From_TxFIFO(i) and (not Tx_FIFO_Empty);
end generate PUT_ZEROS_IN_SR_GENERATE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- RX_ERROR_ACK_REG_PROCESS : Strobe error when receive FIFO is empty.
-------------------------------- This signal will be OR'ed to generate IP2Bus_Error signal.
RX_ERROR_ACK_REG_PROCESS:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Receive_ip2bus_error <= '0';
else
Receive_ip2bus_error <= Rc_FIFO_Empty and Bus2IP_RcFIFO_RdCE;
end if;
end if;
end process RX_ERROR_ACK_REG_PROCESS;
-------------------------------------------------------------------------------
-- TX_ERROR_ACK_REG_PROCESS : Strobe error when transmit FIFO is full
-------------------------------- This signal will be OR'ed to generate IP2Bus_Error signal.
TX_ERROR_ACK_REG_PROCESS:process(Bus2IP_Clk) is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Transmit_ip2bus_error <= '0';
else
Transmit_ip2bus_error <= Tx_FIFO_Full and Bus2IP_TxFIFO_WrCE;
end if;
end if;
end process TX_ERROR_ACK_REG_PROCESS;
-------------------------------------------------------------------------------
-- **********************************************************
-- Below logic will generate the inputs to the Interrupt bits
-- **********************************************************
-------------------------------------------------------------------------------
-- I_DRR_OVERRUN_REG_PROCESS:DRR overrun strobe-1 cycle strobe will be generated
-----------------------------
DRR_OVERRUN_REG_PROCESS:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
DRR_Overrun_reg_int <= '0';
else
DRR_Overrun_reg_int <= not(DRR_Overrun_reg_int or Soft_Reset_op) and
Rc_FIFO_Full and
SPIXfer_done;
end if;
end if;
end process DRR_OVERRUN_REG_PROCESS;
-------------------------------------------------------------------------------
-- RX_FIFO_STROBE_REG_PROCESS : Strobe when receive FIFO is full
----------------------------------
RX_FIFO_STROBE_REG_PROCESS:process(Bus2IP_Clk) is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
rc_FIFO_Full_d1 <= '0';
else
rc_FIFO_Full_d1 <= Rc_FIFO_Full;
end if;
end if;
end process RX_FIFO_STROBE_REG_PROCESS;
-----------------------------------------
Rc_FIFO_Full_strobe <= (not rc_FIFO_Full_d1) and Rc_FIFO_Full;
-- TX_FIFO_STROBE_REG_PROCESS : Strobe when transmit FIFO is empty
----------------------------------
TX_FIFO_STROBE_REG_PROCESS:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
tx_FIFO_Empty_d1 <= '1';
else
tx_FIFO_Empty_d1 <= Tx_FIFO_Empty;
end if;
end if;
end process TX_FIFO_STROBE_REG_PROCESS;
-----------------------------------------
Tx_FIFO_Empty_strobe <= (not tx_FIFO_Empty_d1) and Tx_FIFO_Empty;
-------------------------------------------------------------------------------
-- DTR_UNDERRUN_REG_PROCESS_P : Strobe to interrupt for transmit data underrun
-- which happens only in slave mode
-----------------------------
DTR_UNDERRUN_REG_PROCESS_P:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
dtr_underrun_d1 <= '0';
else
dtr_underrun_d1 <= DTR_underrun;
end if;
end if;
end process DTR_UNDERRUN_REG_PROCESS_P;
---------------------------------------
DTR_Underrun_strobe <= DTR_underrun and (not dtr_underrun_d1);
-------------------------------------------------------------------------------
-- TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P : Strobe for when transmit FIFO is
-- less than half full
-------------------------------------------
TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
tx_FIFO_Occpncy_MSB_d1 <= '0';
else
tx_FIFO_Occpncy_MSB_d1 <= Tx_FIFO_Occpncy_MSB;
end if;
end if;
end process TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P;
--------------------------------------------------
Tx_FIFO_less_half <= tx_FIFO_Occpncy_MSB_d1 and (not Tx_FIFO_Occpncy_MSB);
--------------------------------------------------------------------------
end imp;
--------------------------------------------------------------------------------
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/family_support.vhd | 12 | 329235 | --------------------------------------------------------------------------------
-- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $
--------------------------------------------------------------------------------
-- family_support.vhd - package
--------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
--------------------------------------------------------------------------------
-- Filename: family_support.vhd
--
-- Description:
--
-- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS
--
-- This package allows to determine whether a given primitive
-- or set of primitives is available in an FPGA family of interest.
--
-- The key element is the function, 'supported', which is
-- available in four variants (overloads). Here are examples
-- of each:
--
-- supported(virtex2, u_RAMB16_S2)
--
-- supported("Virtex2", u_RAMB16_S2)
--
-- supported(spartan3, (u_MUXCY, u_XORCY, u_FD))
--
-- supported("spartan3", (u_MUXCY, u_XORCY, u_FD))
--
-- The 'supported' function returns true if and only
-- if all of the primitives being tested, as given in the
-- second argument, are available in the FPGA family that
-- is given in the first argument.
--
-- The first argument can be either one of the FPGA family
-- names from the enumeration type, 'families_type', or a
-- (case insensitive) string giving the same information.
-- The family name 'nofamily' is special and supports
-- none of the primitives.
--
-- The second argument is either a primitive or a list of
-- primitives. The set of primitive names that can be
-- tested is defined by the declaration of the
-- enumeration type, 'primitives_type'. The names are
-- the UNISIM-library names for the primitives, prefixed
-- by "u_". (The prefix avoids introducing a name that
-- conflicts with the component declaration for the primitive.)
--
-- The array type, 'primitive_array_type' is the basis for
-- forming lists of primitives. Typically, a fixed list
-- of primitves is expressed as a VHDL aggregate, a
-- comma separated list of primitives enclosed in
-- parentheses. (See the last two examples, above.)
--
-- The 'supported' function can be used as a guard
-- condition for a piece of code that depends on primitives
-- (primitive availability guard). Here is an example:
--
--
-- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate
-- begin
-- ... Here, an implementation that depends on
-- ... MUXCY and XORCY.
-- end generate;
--
--
-- It can also be used in an assertion statement
-- to give warnings about problems that can arise from
-- attempting to implement into a family that does not
-- support all of the required primitives:
--
--
-- assert supported(C_FAMILY, <primtive list>)
-- report "This module cannot be implemnted " &
-- "into family, " & C_FAMILY &
-- ", because one or more of the primitives, " &
-- "<primitive_list>" & ", is not supported."
-- severity error;
--
--
-- A NOTE ON USAGE
--
-- It is probably best to take an exception to the coding
-- guidelines and make the names that are needed
-- from this package visible to a VHDL compilation unit by
--
-- library <libname>;
-- use <libname>.family_support.all;
--
-- rather than by calling out individual names in use clauses.
-- (VHDL tools do not have a common interpretation at present
-- on whether
--
-- use <libname>.family_support.primitives_type"
--
-- makes the enumeration literals visible.)
--
-- ADDITIONAL FEATURES
--
-- - A function, native_lut_size, is available to allow
-- the caller to query the largest sized LUT available in a given
-- FPGA family.
--
-- - A function, equalIgnoringCase, is available to compare strings
-- with case insensitivity. While this can be used to establish
-- whether the target family is some particular family, such
-- usage is discouraged and should be limited to legacy
-- situations or the rare situations where primitive
-- availability guards will not suffice.
--
--------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 2005Mar24 - First Version
--
-- FLO 11/30/05
-- ^^^^^^
-- Virtex5 added.
-- ~~~~~~
-- TK 03/17/06 Corrected a Spartan3e issue in myimage
-- ~~~~~~
-- FLO 04/26/06
-- ^^^^^^
-- Added the native_lut_size function.
-- ~~~~~~
-- FLO 08/10/06
-- ^^^^^^
-- Added support for families virtex, spartan2 and spartan2e.
-- ~~~~~~
-- FLO 08/25/06
-- ^^^^^^
-- Enhanced the warning in function str2fam. Now when a string that is
-- passed in the call as a parameter does not correspond to a supported fpga
-- family, the string value of the passed string is mentioned in the warning
-- and it is explicitly stated that the returned value is 'nofamily'.
-- ~~~~~~
-- FLO 08/26/06
-- ^^^^^^
-- - Updated the virtex5 primitive set to a more recent list and
-- removed primitives (TEMAC, PCIE, etc.) that are not present
-- in all virtex5 family members.
-- - Added function equalIgnoringCase and an admonition to use it
-- as little as possible.
-- - Made some improvements to descriptions inside comments.
-- ~~~~~~
-- FLO 08/28/06
-- ^^^^^^
-- Added support for families spartan3a and spartan3an. These are initially
-- taken to have the same primitives as spartan3e.
-- ~~~~~~
-- FLO 10/28/06
-- ^^^^^^
-- Changed function str2fam so that it no longer depends on the VHDL
-- attribute, 'VAL. This is an XST workaround.
-- ~~~~~~
-- FLO 03/08/07
-- ^^^^^^
-- Updated spartan3a and sparan3an.
-- Added spartan3adsp.
-- ~~~~~~
-- FLO 08/31/07
-- ^^^^^^
-- A performance XST workaround was implemented to address slowness
-- associated with primitive availability guards. The workaround changes
-- the way that the fam_has_prim constant is initialized (aggregate
-- rather than a system of function and procedure calls).
-- ~~~~~~
-- FLO 04/11/08
-- ^^^^^^
-- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp
-- ~~~~~~
-- FLO 04/14/08
-- ^^^^^^
-- Removed family: aspartan3an
-- ~~~~~~
-- FLO 06/25/08
-- ^^^^^^
-- Added these families: qvirtex4, qrvirtex4
-- ~~~~~~
-- FLO 07/26/08
-- ^^^^^^
-- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead
-- of BSCAN_SPARTAN3E.
-- ~~~~~~
-- FLO 09/02/06
-- ^^^^^^
-- Added an initial approximation of primitives for spartan6 and virtex6.
-- ~~~~~~
-- FLO 09/04/28
-- ^^^^^^
-- -Removed primitive u_BSCAN_SPARTAN3A from spartan6.
-- -Added the 5 and 6 LUTs to spartan6.
-- ~~~~~~
-- FLO 02/09/10 (back to MM/DD/YY)
-- ^^^^^^
-- -Removed primitive u_BSCAN_VIRTEX5 from virtex6.
-- -Added families spartan6l, qspartan6, aspartan6 and virtex6l.
-- ~~~~~~
-- FLO 04/26/10 (MM/DD/YY)
-- ^^^^^^
-- -Added families qspartan6l, qvirtex5 and qvirtex6.
-- ~~~~~~
-- FLO 06/21/10 (MM/DD/YY)
-- ^^^^^^
-- -Added family qrvirtex5.
-- ~~~~~~
--
-- DET 9/7/2010 For 12.4
-- ~~~~~~
-- -- Per CR573867
-- - Added the function get_root_family() as part of the derivative part
-- support improvements.
-- - Added the Virtex7 and Kintex7 device families
-- ^^^^^^
-- ~~~~~~
-- FLO 10/28/10 (MM/DD/YY)
-- ^^^^^^
-- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828)
-- ~~~~~~
-- FLO 12/15/10 (MM/DD/YY)
-- ^^^^^^
-- -Changed virtex6cx to be equal to virtex6 (instead of virtex5)
-- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file
-- -Added artix7 from the primitives in the Rodin unisim.btl file
-- ~~~~~~
--
-- DET 3/2/2011 EDk 13.2
-- ~~~~~~
-- -- Per CR595477
-- - Added zynq support in the get_root_family function.
-- ^^^^^^
--
-- DET 03/18/2011
-- ^^^^^^
-- Per CR602290
-- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a.
-- - This change was lost from 13.1 O.40d to 13.2 branch.
-- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info)
-- ~~~~~~
--
-- DET 4/4/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR604652
-- - Added kintex7l and virtex7l
-- ^^^^^^
--
--------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinational signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports:- Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
--------------------------------------------------------------------------------
package family_support is
type families_type is
(
nofamily
, kintex8
, kintex7
, kintex7l
, qkintex7
, qkintex7l
, virtex8
, virtex7
, virtex7l
, qvirtex7
, qvirtex7l
, artix8
, artix7
, aartix7
, artix7l
, qartix7
, qartix7l
, zynq
, azynq
, qzynq
);
type primitives_type is range 0 to 865;
constant u_AND2: primitives_type := 0;
constant u_AND2B1L: primitives_type := u_AND2 + 1;
constant u_AND3: primitives_type := u_AND2B1L + 1;
constant u_AND4: primitives_type := u_AND3 + 1;
constant u_AUTOBUF: primitives_type := u_AND4 + 1;
constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1;
constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1;
constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1;
constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1;
constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1;
constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1;
constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1;
constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1;
constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1;
constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1;
constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1;
constant u_BUFCF: primitives_type := u_BUF + 1;
constant u_BUFE: primitives_type := u_BUFCF + 1;
constant u_BUFG: primitives_type := u_BUFE + 1;
constant u_BUFGCE: primitives_type := u_BUFG + 1;
constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1;
constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1;
constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1;
constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1;
constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1;
constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1;
constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1;
constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1;
constant u_BUFH: primitives_type := u_BUFGP + 1;
constant u_BUFHCE: primitives_type := u_BUFH + 1;
constant u_BUFIO: primitives_type := u_BUFHCE + 1;
constant u_BUFIO2: primitives_type := u_BUFIO + 1;
constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1;
constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1;
constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1;
constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1;
constant u_BUFPLL: primitives_type := u_BUFIODQS + 1;
constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1;
constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1;
constant u_BUFT: primitives_type := u_BUFR + 1;
constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1;
constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1;
constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1;
constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1;
constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1;
constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1;
constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1;
constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1;
constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1;
constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1;
constant u_CFGLUT5: primitives_type := u_CARRY4 + 1;
constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1;
constant u_CLKDLLE: primitives_type := u_CLKDLL + 1;
constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1;
constant u_CRC32: primitives_type := u_CLKDLLHF + 1;
constant u_CRC64: primitives_type := u_CRC32 + 1;
constant u_DCIRESET: primitives_type := u_CRC64 + 1;
constant u_DCM: primitives_type := u_DCIRESET + 1;
constant u_DCM_ADV: primitives_type := u_DCM + 1;
constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1;
constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1;
constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1;
constant u_DNA_PORT: primitives_type := u_DCM_PS + 1;
constant u_DSP48: primitives_type := u_DNA_PORT + 1;
constant u_DSP48A: primitives_type := u_DSP48 + 1;
constant u_DSP48A1: primitives_type := u_DSP48A + 1;
constant u_DSP48E: primitives_type := u_DSP48A1 + 1;
constant u_DSP48E1: primitives_type := u_DSP48E + 1;
constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1;
constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1;
constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1;
constant u_EMAC: primitives_type := u_EFUSE_USR + 1;
constant u_FD: primitives_type := u_EMAC + 1;
constant u_FD_1: primitives_type := u_FD + 1;
constant u_FDC: primitives_type := u_FD_1 + 1;
constant u_FDC_1: primitives_type := u_FDC + 1;
constant u_FDCE: primitives_type := u_FDC_1 + 1;
constant u_FDCE_1: primitives_type := u_FDCE + 1;
constant u_FDCP: primitives_type := u_FDCE_1 + 1;
constant u_FDCP_1: primitives_type := u_FDCP + 1;
constant u_FDCPE: primitives_type := u_FDCP_1 + 1;
constant u_FDCPE_1: primitives_type := u_FDCPE + 1;
constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1;
constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1;
constant u_FDE: primitives_type := u_FDDRRSE + 1;
constant u_FDE_1: primitives_type := u_FDE + 1;
constant u_FDP: primitives_type := u_FDE_1 + 1;
constant u_FDP_1: primitives_type := u_FDP + 1;
constant u_FDPE: primitives_type := u_FDP_1 + 1;
constant u_FDPE_1: primitives_type := u_FDPE + 1;
constant u_FDR: primitives_type := u_FDPE_1 + 1;
constant u_FDR_1: primitives_type := u_FDR + 1;
constant u_FDRE: primitives_type := u_FDR_1 + 1;
constant u_FDRE_1: primitives_type := u_FDRE + 1;
constant u_FDRS: primitives_type := u_FDRE_1 + 1;
constant u_FDRS_1: primitives_type := u_FDRS + 1;
constant u_FDRSE: primitives_type := u_FDRS_1 + 1;
constant u_FDRSE_1: primitives_type := u_FDRSE + 1;
constant u_FDS: primitives_type := u_FDRSE_1 + 1;
constant u_FDS_1: primitives_type := u_FDS + 1;
constant u_FDSE: primitives_type := u_FDS_1 + 1;
constant u_FDSE_1: primitives_type := u_FDSE + 1;
constant u_FIFO16: primitives_type := u_FDSE_1 + 1;
constant u_FIFO18: primitives_type := u_FIFO16 + 1;
constant u_FIFO18_36: primitives_type := u_FIFO18 + 1;
constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1;
constant u_FIFO36: primitives_type := u_FIFO18E1 + 1;
constant u_FIFO36_72: primitives_type := u_FIFO36 + 1;
constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1;
constant u_FMAP: primitives_type := u_FIFO36E1 + 1;
constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1;
constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1;
constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1;
constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1;
constant u_GT10_10GE_4: primitives_type := u_GND + 1;
constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1;
constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1;
constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1;
constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1;
constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1;
constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1;
constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1;
constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1;
constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1;
constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1;
constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1;
constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1;
constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1;
constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1;
constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1;
constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1;
constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1;
constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1;
constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1;
constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1;
constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1;
constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1;
constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1;
constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1;
constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1;
constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1;
constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1;
constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1;
constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1;
constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1;
constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1;
constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1;
constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1;
constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1;
constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1;
constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1;
constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1;
constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1;
constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1;
constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1;
constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1;
constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1;
constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1;
constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1;
constant u_IBUF: primitives_type := u_GTXE1 + 1;
constant u_IBUF_AGP: primitives_type := u_IBUF + 1;
constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1;
constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1;
constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1;
constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1;
constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1;
constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1;
constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1;
constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1;
constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1;
constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1;
constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1;
constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1;
constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1;
constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1;
constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1;
constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1;
constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1;
constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1;
constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1;
constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1;
constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1;
constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1;
constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1;
constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1;
constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1;
constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1;
constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1;
constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1;
constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1;
constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1;
constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1;
constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1;
constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1;
constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1;
constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1;
constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1;
constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1;
constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1;
constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1;
constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1;
constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1;
constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1;
constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1;
constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1;
constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1;
constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1;
constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1;
constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1;
constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1;
constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1;
constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1;
constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1;
constant u_IDDR2: primitives_type := u_IDDR + 1;
constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1;
constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1;
constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1;
constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1;
constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1;
constant u_INV: primitives_type := u_IFDDRRSE + 1;
constant u_IOBUF: primitives_type := u_INV + 1;
constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1;
constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1;
constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1;
constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1;
constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1;
constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1;
constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1;
constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1;
constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1;
constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1;
constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1;
constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1;
constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1;
constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1;
constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1;
constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1;
constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1;
constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1;
constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1;
constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1;
constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1;
constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1;
constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1;
constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1;
constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1;
constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1;
constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1;
constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1;
constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1;
constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1;
constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1;
constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1;
constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1;
constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1;
constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1;
constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1;
constant u_IODELAY2: primitives_type := u_IODELAY + 1;
constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1;
constant u_IODRP2: primitives_type := u_IODELAYE1 + 1;
constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1;
constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1;
constant u_ISERDES2: primitives_type := u_ISERDES + 1;
constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1;
constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1;
constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1;
constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1;
constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1;
constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1;
constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1;
constant u_LD: primitives_type := u_KEY_CLEAR + 1;
constant u_LD_1: primitives_type := u_LD + 1;
constant u_LDC: primitives_type := u_LD_1 + 1;
constant u_LDC_1: primitives_type := u_LDC + 1;
constant u_LDCE: primitives_type := u_LDC_1 + 1;
constant u_LDCE_1: primitives_type := u_LDCE + 1;
constant u_LDCP: primitives_type := u_LDCE_1 + 1;
constant u_LDCP_1: primitives_type := u_LDCP + 1;
constant u_LDCPE: primitives_type := u_LDCP_1 + 1;
constant u_LDCPE_1: primitives_type := u_LDCPE + 1;
constant u_LDE: primitives_type := u_LDCPE_1 + 1;
constant u_LDE_1: primitives_type := u_LDE + 1;
constant u_LDP: primitives_type := u_LDE_1 + 1;
constant u_LDP_1: primitives_type := u_LDP + 1;
constant u_LDPE: primitives_type := u_LDP_1 + 1;
constant u_LDPE_1: primitives_type := u_LDPE + 1;
constant u_LUT1: primitives_type := u_LDPE_1 + 1;
constant u_LUT1_D: primitives_type := u_LUT1 + 1;
constant u_LUT1_L: primitives_type := u_LUT1_D + 1;
constant u_LUT2: primitives_type := u_LUT1_L + 1;
constant u_LUT2_D: primitives_type := u_LUT2 + 1;
constant u_LUT2_L: primitives_type := u_LUT2_D + 1;
constant u_LUT3: primitives_type := u_LUT2_L + 1;
constant u_LUT3_D: primitives_type := u_LUT3 + 1;
constant u_LUT3_L: primitives_type := u_LUT3_D + 1;
constant u_LUT4: primitives_type := u_LUT3_L + 1;
constant u_LUT4_D: primitives_type := u_LUT4 + 1;
constant u_LUT4_L: primitives_type := u_LUT4_D + 1;
constant u_LUT5: primitives_type := u_LUT4_L + 1;
constant u_LUT5_D: primitives_type := u_LUT5 + 1;
constant u_LUT5_L: primitives_type := u_LUT5_D + 1;
constant u_LUT6: primitives_type := u_LUT5_L + 1;
constant u_LUT6_D: primitives_type := u_LUT6 + 1;
constant u_LUT6_L: primitives_type := u_LUT6_D + 1;
constant u_MCB: primitives_type := u_LUT6_L + 1;
constant u_MMCM_ADV: primitives_type := u_MCB + 1;
constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1;
constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1;
constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1;
constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1;
constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1;
constant u_MUXCY: primitives_type := u_MULT_AND + 1;
constant u_MUXCY_D: primitives_type := u_MUXCY + 1;
constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1;
constant u_MUXF5: primitives_type := u_MUXCY_L + 1;
constant u_MUXF5_D: primitives_type := u_MUXF5 + 1;
constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1;
constant u_MUXF6: primitives_type := u_MUXF5_L + 1;
constant u_MUXF6_D: primitives_type := u_MUXF6 + 1;
constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1;
constant u_MUXF7: primitives_type := u_MUXF6_L + 1;
constant u_MUXF7_D: primitives_type := u_MUXF7 + 1;
constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1;
constant u_MUXF8: primitives_type := u_MUXF7_L + 1;
constant u_MUXF8_D: primitives_type := u_MUXF8 + 1;
constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1;
constant u_NAND2: primitives_type := u_MUXF8_L + 1;
constant u_NAND3: primitives_type := u_NAND2 + 1;
constant u_NAND4: primitives_type := u_NAND3 + 1;
constant u_NOR2: primitives_type := u_NAND4 + 1;
constant u_NOR3: primitives_type := u_NOR2 + 1;
constant u_NOR4: primitives_type := u_NOR3 + 1;
constant u_OBUF: primitives_type := u_NOR4 + 1;
constant u_OBUF_AGP: primitives_type := u_OBUF + 1;
constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1;
constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1;
constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1;
constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1;
constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1;
constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1;
constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1;
constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1;
constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1;
constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1;
constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1;
constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1;
constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1;
constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1;
constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1;
constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1;
constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1;
constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1;
constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1;
constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1;
constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1;
constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1;
constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1;
constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1;
constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1;
constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1;
constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1;
constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1;
constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1;
constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1;
constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1;
constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1;
constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1;
constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1;
constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1;
constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1;
constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1;
constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1;
constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1;
constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1;
constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1;
constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1;
constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1;
constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1;
constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1;
constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1;
constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1;
constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1;
constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1;
constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1;
constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1;
constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1;
constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1;
constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1;
constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1;
constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1;
constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1;
constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1;
constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1;
constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1;
constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1;
constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1;
constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1;
constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1;
constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1;
constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1;
constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1;
constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1;
constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1;
constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1;
constant u_ODDR2: primitives_type := u_ODDR + 1;
constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1;
constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1;
constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1;
constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1;
constant u_OR2: primitives_type := u_OFDDRTRSE + 1;
constant u_OR2L: primitives_type := u_OR2 + 1;
constant u_OR3: primitives_type := u_OR2L + 1;
constant u_OR4: primitives_type := u_OR3 + 1;
constant u_ORCY: primitives_type := u_OR4 + 1;
constant u_OSERDES: primitives_type := u_ORCY + 1;
constant u_OSERDES2: primitives_type := u_OSERDES + 1;
constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1;
constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1;
constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1;
constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1;
constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1;
constant u_PMCD: primitives_type := u_PLL_BASE + 1;
constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1;
constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1;
constant u_PPC405_ADV: primitives_type := u_PPC405 + 1;
constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1;
constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1;
constant u_PULLUP: primitives_type := u_PULLDOWN + 1;
constant u_RAM128X1D: primitives_type := u_PULLUP + 1;
constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1;
constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1;
constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1;
constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1;
constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1;
constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1;
constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1;
constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1;
constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1;
constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1;
constant u_RAM32M: primitives_type := u_RAM256X1S + 1;
constant u_RAM32X1D: primitives_type := u_RAM32M + 1;
constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1;
constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1;
constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1;
constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1;
constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1;
constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1;
constant u_RAM64M: primitives_type := u_RAM32X8S + 1;
constant u_RAM64X1D: primitives_type := u_RAM64M + 1;
constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1;
constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1;
constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1;
constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1;
constant u_RAMB16: primitives_type := u_RAM64X2S + 1;
constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1;
constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1;
constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1;
constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1;
constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1;
constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1;
constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1;
constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1;
constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1;
constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1;
constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1;
constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1;
constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1;
constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1;
constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1;
constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1;
constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1;
constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1;
constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1;
constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1;
constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1;
constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1;
constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1;
constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1;
constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1;
constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1;
constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1;
constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1;
constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1;
constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1;
constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1;
constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1;
constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1;
constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1;
constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1;
constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1;
constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1;
constant u_RAMB18E1: primitives_type := u_RAMB18 + 1;
constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1;
constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1;
constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1;
constant u_RAMB36E1: primitives_type := u_RAMB36 + 1;
constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1;
constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1;
constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1;
constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1;
constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1;
constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1;
constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1;
constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1;
constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1;
constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1;
constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1;
constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1;
constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1;
constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1;
constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1;
constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1;
constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1;
constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1;
constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1;
constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1;
constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1;
constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1;
constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1;
constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1;
constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1;
constant u_ROM16X1: primitives_type := u_ROM128X1 + 1;
constant u_ROM256X1: primitives_type := u_ROM16X1 + 1;
constant u_ROM32X1: primitives_type := u_ROM256X1 + 1;
constant u_ROM64X1: primitives_type := u_ROM32X1 + 1;
constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1;
constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1;
constant u_SRL16: primitives_type := u_SPI_ACCESS + 1;
constant u_SRL16_1: primitives_type := u_SRL16 + 1;
constant u_SRL16E: primitives_type := u_SRL16_1 + 1;
constant u_SRL16E_1: primitives_type := u_SRL16E + 1;
constant u_SRLC16: primitives_type := u_SRL16E_1 + 1;
constant u_SRLC16_1: primitives_type := u_SRLC16 + 1;
constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1;
constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1;
constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1;
constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1;
constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1;
constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1;
constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1;
constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1;
constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1;
constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1;
constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1;
constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1;
constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1;
constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1;
constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1;
constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1;
constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1;
constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1;
constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1;
constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1;
constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1;
constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1;
constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1;
constant u_TOCBUF: primitives_type := u_TOC + 1;
constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1;
constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1;
constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1;
constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1;
constant u_XNOR2: primitives_type := u_VCC + 1;
constant u_XNOR3: primitives_type := u_XNOR2 + 1;
constant u_XNOR4: primitives_type := u_XNOR3 + 1;
constant u_XOR2: primitives_type := u_XNOR4 + 1;
constant u_XOR3: primitives_type := u_XOR2 + 1;
constant u_XOR4: primitives_type := u_XOR3 + 1;
constant u_XORCY: primitives_type := u_XOR4 + 1;
constant u_XORCY_D: primitives_type := u_XORCY + 1;
constant u_XORCY_L: primitives_type := u_XORCY_D + 1;
-- Primitives added for artix7, kintex6, virtex7, and zynq
constant u_AND2B1: primitives_type := u_XORCY_L + 1;
constant u_AND2B2: primitives_type := u_AND2B1 + 1;
constant u_AND3B1: primitives_type := u_AND2B2 + 1;
constant u_AND3B2: primitives_type := u_AND3B1 + 1;
constant u_AND3B3: primitives_type := u_AND3B2 + 1;
constant u_AND4B1: primitives_type := u_AND3B3 + 1;
constant u_AND4B2: primitives_type := u_AND4B1 + 1;
constant u_AND4B3: primitives_type := u_AND4B2 + 1;
constant u_AND4B4: primitives_type := u_AND4B3 + 1;
constant u_AND5: primitives_type := u_AND4B4 + 1;
constant u_AND5B1: primitives_type := u_AND5 + 1;
constant u_AND5B2: primitives_type := u_AND5B1 + 1;
constant u_AND5B3: primitives_type := u_AND5B2 + 1;
constant u_AND5B4: primitives_type := u_AND5B3 + 1;
constant u_AND5B5: primitives_type := u_AND5B4 + 1;
constant u_BSCANE2: primitives_type := u_AND5B5 + 1;
constant u_BUFMR: primitives_type := u_BSCANE2 + 1;
constant u_BUFMRCE: primitives_type := u_BUFMR + 1;
constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1;
constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1;
constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1;
constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1;
constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1;
constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1;
constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1;
constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1;
constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1;
constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1;
constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1;
constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1;
constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1;
constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1;
constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1;
constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1;
constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1;
constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1;
constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1;
constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1;
constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1;
constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1;
constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1;
constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1;
constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1;
constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1;
constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1;
constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1;
constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1;
constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1;
constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1;
constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1;
constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1;
constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1;
constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1;
constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1;
constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1;
constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1;
constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1;
constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1;
constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1;
constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1;
constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1;
constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1;
constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1;
constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1;
constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1;
constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1;
constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1;
constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1;
constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1;
constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1;
constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1;
constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1;
constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1;
constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1;
constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1;
constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1;
constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1;
constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1;
constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1;
constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1;
constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1;
constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1;
constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1;
constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1;
constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1;
constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1;
constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1;
constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1;
constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1;
constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1;
constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1;
constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1;
constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1;
constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1;
constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1;
constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1;
constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1;
constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1;
constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1;
constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1;
constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1;
constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1;
constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1;
constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1;
constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1;
constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1;
constant u_NAND2B2: primitives_type := u_NAND2B1 + 1;
constant u_NAND3B1: primitives_type := u_NAND2B2 + 1;
constant u_NAND3B2: primitives_type := u_NAND3B1 + 1;
constant u_NAND3B3: primitives_type := u_NAND3B2 + 1;
constant u_NAND4B1: primitives_type := u_NAND3B3 + 1;
constant u_NAND4B2: primitives_type := u_NAND4B1 + 1;
constant u_NAND4B3: primitives_type := u_NAND4B2 + 1;
constant u_NAND4B4: primitives_type := u_NAND4B3 + 1;
constant u_NAND5: primitives_type := u_NAND4B4 + 1;
constant u_NAND5B1: primitives_type := u_NAND5 + 1;
constant u_NAND5B2: primitives_type := u_NAND5B1 + 1;
constant u_NAND5B3: primitives_type := u_NAND5B2 + 1;
constant u_NAND5B4: primitives_type := u_NAND5B3 + 1;
constant u_NAND5B5: primitives_type := u_NAND5B4 + 1;
constant u_NOR2B1: primitives_type := u_NAND5B5 + 1;
constant u_NOR2B2: primitives_type := u_NOR2B1 + 1;
constant u_NOR3B1: primitives_type := u_NOR2B2 + 1;
constant u_NOR3B2: primitives_type := u_NOR3B1 + 1;
constant u_NOR3B3: primitives_type := u_NOR3B2 + 1;
constant u_NOR4B1: primitives_type := u_NOR3B3 + 1;
constant u_NOR4B2: primitives_type := u_NOR4B1 + 1;
constant u_NOR4B3: primitives_type := u_NOR4B2 + 1;
constant u_NOR4B4: primitives_type := u_NOR4B3 + 1;
constant u_NOR5: primitives_type := u_NOR4B4 + 1;
constant u_NOR5B1: primitives_type := u_NOR5 + 1;
constant u_NOR5B2: primitives_type := u_NOR5B1 + 1;
constant u_NOR5B3: primitives_type := u_NOR5B2 + 1;
constant u_NOR5B4: primitives_type := u_NOR5B3 + 1;
constant u_NOR5B5: primitives_type := u_NOR5B4 + 1;
constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1;
constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1;
constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1;
constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1;
constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1;
constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1;
constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1;
constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1;
constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1;
constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1;
constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1;
constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1;
constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1;
constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1;
constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1;
constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1;
constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1;
constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1;
constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1;
constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1;
constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1;
constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1;
constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1;
constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1;
constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1;
constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1;
constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1;
constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1;
constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1;
constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1;
constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1;
constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1;
constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1;
constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1;
constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1;
constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1;
constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1;
constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1;
constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1;
constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1;
constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1;
constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1;
constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1;
constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1;
constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1;
constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1;
constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1;
constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1;
constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1;
constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1;
constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1;
constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1;
constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1;
constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1;
constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1;
constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1;
constant u_OR2B1: primitives_type := u_ODELAYE2 + 1;
constant u_OR2B2: primitives_type := u_OR2B1 + 1;
constant u_OR3B1: primitives_type := u_OR2B2 + 1;
constant u_OR3B2: primitives_type := u_OR3B1 + 1;
constant u_OR3B3: primitives_type := u_OR3B2 + 1;
constant u_OR4B1: primitives_type := u_OR3B3 + 1;
constant u_OR4B2: primitives_type := u_OR4B1 + 1;
constant u_OR4B3: primitives_type := u_OR4B2 + 1;
constant u_OR4B4: primitives_type := u_OR4B3 + 1;
constant u_OR5: primitives_type := u_OR4B4 + 1;
constant u_OR5B1: primitives_type := u_OR5 + 1;
constant u_OR5B2: primitives_type := u_OR5B1 + 1;
constant u_OR5B3: primitives_type := u_OR5B2 + 1;
constant u_OR5B4: primitives_type := u_OR5B3 + 1;
constant u_OR5B5: primitives_type := u_OR5B4 + 1;
constant u_OSERDESE2: primitives_type := u_OR5B5 + 1;
constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1;
constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1;
constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1;
constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1;
constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1;
constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1;
constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1;
constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1;
constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1;
constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1;
constant u_PSS: primitives_type := u_PLLE2_BASE + 1;
constant u_RAMD32: primitives_type := u_PSS + 1;
constant u_RAMD64E: primitives_type := u_RAMD32 + 1;
constant u_RAMS32: primitives_type := u_RAMD64E + 1;
constant u_RAMS64E: primitives_type := u_RAMS32 + 1;
constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1;
constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1;
constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1;
constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1;
constant u_XNOR5: primitives_type := u_XADC + 1;
constant u_XOR5: primitives_type := u_XNOR5 + 1;
constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1;
-- Primitives added for OLYMPUS support
constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1;
constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1;
constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1;
constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1;
constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1;
constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1;
constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1;
constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1;
constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1;
constant u_MCAP : primitives_type := u_JTAG_SIME3 +1;
constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1;
constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1;
constant u_CARRY8 : primitives_type := u_SYSMONE1 +1;
constant u_DSP48E2 : primitives_type := u_CARRY8 +1;
constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1;
constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1;
constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1;
constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1;
constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1;
constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1;
constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1;
constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1;
constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1;
constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1;
constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1;
constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1;
constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1;
constant u_RAM512X1S : primitives_type := u_RAM256X1D +1;
constant u_RAM32M16 : primitives_type := u_RAM512X1S +1;
constant u_RAM64M8 : primitives_type := u_RAM32M16 +1;
constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1;
constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1;
constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1;
constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1;
constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1;
constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1;
constant u_GTY : primitives_type := u_GTPE3_COMMON +1;
constant u_GTZE2_OCTAL : primitives_type := u_GTY +1;
constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1;
constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1;
constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1;
constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1;
constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1;
constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1;
constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1;
constant u_TXPLL : primitives_type := u_OSERDESE3 +1;
constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1;
constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1;
constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1;
constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1;
constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1;
constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1;
constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1;
constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1;
constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1;
constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1;
constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1;
constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1;
constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1;
constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1;
constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1;
constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1;
constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1;
constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1;
constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1;
constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1;
constant u_IDDRE1 : primitives_type := u_ODDRE1 +1;
type primitive_array_type is array (natural range <>) of primitives_type;
----------------------------------------------------------------------------
-- Returns true if primitive is available in family.
--
-- Examples:
--
-- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2
-- primitive is available in the
-- virtex2 family.
--
-- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4
-- primitive is not available in the
-- spartan3 family.
----------------------------------------------------------------------------
function supported( family : families_type;
primitive : primitives_type
) return boolean;
----------------------------------------------------------------------------
-- This is an overload of function 'supported' (see above). It allows a list
-- of primitives to be tested.
--
-- Returns true if all of primitives in the list are available in family.
--
-- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD))
-- is
-- equivalent to: supported(spartan3, u_MUXCY) and
-- supported(spartan3, u_XORCY) and
-- supported(spartan3, u_FD);
----------------------------------------------------------------------------
function supported( family : families_type;
primitives : primitive_array_type
) return boolean;
----------------------------------------------------------------------------
-- Below, are overloads of function 'supported' that allow the family
-- parameter to be passed as a string. These correspond to the above two
-- functions otherwise.
----------------------------------------------------------------------------
function supported( fam_as_str : string;
primitive : primitives_type
) return boolean;
function supported( fam_as_str : string;
primitives : primitive_array_type
) return boolean;
----------------------------------------------------------------------------
-- Conversions from/to STRING to/from families_type.
-- These are convenience functions that are not normally needed when
-- using the 'supported' functions.
----------------------------------------------------------------------------
function str2fam( fam_as_string : string ) return families_type;
function fam2str( fam : families_type ) return string;
----------------------------------------------------------------------------
-- Function: native_lut_size
--
-- Returns the largest LUT size available in FPGA family, fam.
-- If no LUT is available in fam, then returns zero by default, unless
-- the call specifies a no_lut_return_val, in which case this value
-- is returned.
--
-- The function is available in two overload versions, one for each
-- way of passing the fam argument.
----------------------------------------------------------------------------
function native_lut_size( fam : families_type;
no_lut_return_val : natural := 0
) return natural;
function native_lut_size( fam_as_string : string;
no_lut_return_val : natural := 0
) return natural;
----------------------------------------------------------------------------
-- Function: equalIgnoringCase
--
-- Compare one string against another for equality with case insensitivity.
-- Can be used to test see if a family, C_FAMILY, is equal to some
-- family. However such usage is discouraged. Use instead availability
-- primitive guards based on the function, 'supported', wherever possible.
----------------------------------------------------------------------------
function equalIgnoringCase( str1, str2 : string ) return boolean;
----------------------------------------------------------------------------
-- Function: get_root_family
--
-- This function takes in the string for the desired FPGA family type and
-- returns the root FPGA family type. This is used for derivative part
-- aliasing to the root family.
----------------------------------------------------------------------------
function get_root_family( family_in : string ) return string;
end package family_support;
package body family_support is
type prim_status_type is (
n -- no
, y -- yes
, u -- unknown, not used. However, we use
-- an enumeration to allow for
-- possible future enhancement.
);
type fam_prim_status is array (primitives_type) of prim_status_type;
type fam_has_prim_type is array (families_type) of fam_prim_status;
-- Performance workaround (XST procedure and function handling).
-- The fam_has_prim constant is initialized by an aggregate rather than by the
-- following function. A version of this file with this function not
-- commented was employed in building the aggregate. So, what is below still
-- defines the family-primitive matirix.
--# ----------------------------------------------------------------------------
--# -- This function is used to populate the matrix of family/primitive values.
--# ----------------------------------------------------------------------------
--# ---(
--# function prim_population return fam_has_prim_type is
--# variable pp : fam_has_prim_type := (others => (others => n));
--#
--# procedure set_to( stat : prim_status_type
--# ; fam : families_type
--# ; prim_list : primitive_array_type
--# ) is
--# begin
--# for i in prim_list'range loop
--# pp(fam)(prim_list(i)) := stat;
--# end loop;
--# end set_to;
--#
--# begin
--# set_to(y, virtex, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX
--# , u_CLKDLL
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_IBUFG_AGP
--# , u_IBUFG_CTT
--# , u_IBUFG_GTL
--# , u_IBUFG_GTLP
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_IV
--# , u_IBUFG_LVCMOS2
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI33_5
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_SSTL2_I
--# , u_IBUFG_SSTL2_II
--# , u_IBUFG_SSTL3_I
--# , u_IBUFG_SSTL3_II
--# , u_IBUF_AGP
--# , u_IBUF_CTT
--# , u_IBUF_GTL
--# , u_IBUF_GTLP
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_IV
--# , u_IBUF_LVCMOS2
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI33_5
--# , u_IBUF_PCI66_3
--# , u_IBUF_SSTL2_I
--# , u_IBUF_SSTL2_II
--# , u_IBUF_SSTL3_I
--# , u_IBUF_SSTL3_II
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF_AGP
--# , u_IOBUF_CTT
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_GTL
--# , u_IOBUF_GTLP
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_IV
--# , u_IOBUF_LVCMOS2
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI33_5
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_SSTL2_I
--# , u_IOBUF_SSTL2_II
--# , u_IOBUF_SSTL3_I
--# , u_IOBUF_SSTL3_II
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OBUFT_AGP
--# , u_OBUFT_CTT
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_GTL
--# , u_OBUFT_GTLP
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_IV
--# , u_OBUFT_LVCMOS2
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI33_5
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_SSTL2_I
--# , u_OBUFT_SSTL2_II
--# , u_OBUFT_SSTL3_I
--# , u_OBUFT_SSTL3_II
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUF_AGP
--# , u_OBUF_CTT
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_GTL
--# , u_OBUF_GTLP
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_IV
--# , u_OBUF_LVCMOS2
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI33_5
--# , u_OBUF_PCI66_3
--# , u_OBUF_SSTL2_I
--# , u_OBUF_SSTL2_II
--# , u_OBUF_SSTL3_I
--# , u_OBUF_SSTL3_II
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_VIRTEX
--# , u_STARTUP_VIRTEX
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# set_to(y, spartan2, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_SPARTAN2
--# , u_CLKDLL
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_IBUFG_AGP
--# , u_IBUFG_CTT
--# , u_IBUFG_GTL
--# , u_IBUFG_GTLP
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_IV
--# , u_IBUFG_LVCMOS2
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI33_5
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_SSTL2_I
--# , u_IBUFG_SSTL2_II
--# , u_IBUFG_SSTL3_I
--# , u_IBUFG_SSTL3_II
--# , u_IBUF_AGP
--# , u_IBUF_CTT
--# , u_IBUF_GTL
--# , u_IBUF_GTLP
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_IV
--# , u_IBUF_LVCMOS2
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI33_5
--# , u_IBUF_PCI66_3
--# , u_IBUF_SSTL2_I
--# , u_IBUF_SSTL2_II
--# , u_IBUF_SSTL3_I
--# , u_IBUF_SSTL3_II
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF_AGP
--# , u_IOBUF_CTT
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_GTL
--# , u_IOBUF_GTLP
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_IV
--# , u_IOBUF_LVCMOS2
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI33_5
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_SSTL2_I
--# , u_IOBUF_SSTL2_II
--# , u_IOBUF_SSTL3_I
--# , u_IOBUF_SSTL3_II
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OBUFT_AGP
--# , u_OBUFT_CTT
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_GTL
--# , u_OBUFT_GTLP
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_IV
--# , u_OBUFT_LVCMOS2
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI33_5
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_SSTL2_I
--# , u_OBUFT_SSTL2_II
--# , u_OBUFT_SSTL3_I
--# , u_OBUFT_SSTL3_II
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUF_AGP
--# , u_OBUF_CTT
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_GTL
--# , u_OBUF_GTLP
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_IV
--# , u_OBUF_LVCMOS2
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI33_5
--# , u_OBUF_PCI66_3
--# , u_OBUF_SSTL2_I
--# , u_OBUF_SSTL2_II
--# , u_OBUF_SSTL3_I
--# , u_OBUF_SSTL3_II
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_SPARTAN2
--# , u_STARTUP_SPARTAN2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# set_to(y, spartan2e, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_SPARTAN2
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_IBUFG_AGP
--# , u_IBUFG_CTT
--# , u_IBUFG_GTL
--# , u_IBUFG_GTLP
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_IV
--# , u_IBUFG_LVCMOS18
--# , u_IBUFG_LVCMOS2
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_PCIX66_3
--# , u_IBUFG_SSTL2_I
--# , u_IBUFG_SSTL2_II
--# , u_IBUFG_SSTL3_I
--# , u_IBUFG_SSTL3_II
--# , u_IBUF_AGP
--# , u_IBUF_CTT
--# , u_IBUF_GTL
--# , u_IBUF_GTLP
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_IV
--# , u_IBUF_LVCMOS18
--# , u_IBUF_LVCMOS2
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI66_3
--# , u_IBUF_PCIX66_3
--# , u_IBUF_SSTL2_I
--# , u_IBUF_SSTL2_II
--# , u_IBUF_SSTL3_I
--# , u_IBUF_SSTL3_II
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF_AGP
--# , u_IOBUF_CTT
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_GTL
--# , u_IOBUF_GTLP
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_IV
--# , u_IOBUF_LVCMOS18
--# , u_IOBUF_LVCMOS2
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_SSTL2_I
--# , u_IOBUF_SSTL2_II
--# , u_IOBUF_SSTL3_I
--# , u_IOBUF_SSTL3_II
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OBUFT_AGP
--# , u_OBUFT_CTT
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_GTL
--# , u_OBUFT_GTLP
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_IV
--# , u_OBUFT_LVCMOS18
--# , u_OBUFT_LVCMOS2
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_SSTL2_I
--# , u_OBUFT_SSTL2_II
--# , u_OBUFT_SSTL3_I
--# , u_OBUFT_SSTL3_II
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUF_AGP
--# , u_OBUF_CTT
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_GTL
--# , u_OBUF_GTLP
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_IV
--# , u_OBUF_LVCMOS18
--# , u_OBUF_LVCMOS2
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI66_3
--# , u_OBUF_PCIX66_3
--# , u_OBUF_SSTL2_I
--# , u_OBUF_SSTL2_II
--# , u_OBUF_SSTL3_I
--# , u_OBUF_SSTL3_II
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_SPARTAN2
--# , u_STARTUP_SPARTAN2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# set_to(y, virtexe, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_INV
--# , u_IOBUF
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_VIRTEX
--# , u_STARTUP_VIRTEX
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# set_to(y, virtex2, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX2
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_VIRTEX2
--# , u_STARTUP_VIRTEX2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(qvirtex2) := pp(virtex2);
--# --
--# pp(qrvirtex2) := pp(virtex2);
--# --
--# set_to(y, virtex2p,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX2
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_GT10_10GE_4
--# , u_GT10_10GE_8
--# , u_GT10_10GFC_4
--# , u_GT10_10GFC_8
--# , u_GT10_AURORAX_4
--# , u_GT10_AURORAX_8
--# , u_GT10_AURORA_1
--# , u_GT10_AURORA_2
--# , u_GT10_AURORA_4
--# , u_GT10_CUSTOM
--# , u_GT10_INFINIBAND_1
--# , u_GT10_INFINIBAND_2
--# , u_GT10_INFINIBAND_4
--# , u_GT10_OC192_4
--# , u_GT10_OC192_8
--# , u_GT10_OC48_1
--# , u_GT10_OC48_2
--# , u_GT10_OC48_4
--# , u_GT10_PCI_EXPRESS_1
--# , u_GT10_PCI_EXPRESS_2
--# , u_GT10_PCI_EXPRESS_4
--# , u_GT10_XAUI_1
--# , u_GT10_XAUI_2
--# , u_GT10_XAUI_4
--# , u_GT_AURORA_1
--# , u_GT_AURORA_2
--# , u_GT_AURORA_4
--# , u_GT_CUSTOM
--# , u_GT_ETHERNET_1
--# , u_GT_ETHERNET_2
--# , u_GT_ETHERNET_4
--# , u_GT_FIBRE_CHAN_1
--# , u_GT_FIBRE_CHAN_2
--# , u_GT_FIBRE_CHAN_4
--# , u_GT_INFINIBAND_1
--# , u_GT_INFINIBAND_2
--# , u_GT_INFINIBAND_4
--# , u_GT_XAUI_1
--# , u_GT_XAUI_2
--# , u_GT_XAUI_4
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_JTAGPPC
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PPC405
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_VIRTEX2
--# , u_STARTUP_VIRTEX2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# set_to(y, spartan3,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN3
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_CAPTURE_SPARTAN3
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_SPARTAN3
--# , u_STARTUP_SPARTAN3
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(aspartan3) := pp(spartan3);
--# --
--# set_to(y, spartan3e,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN3
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_CAPTURE_SPARTAN3E
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_IDDR2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT18X18SIO
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR2
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_SPARTAN3E
--# , u_STARTUP_SPARTAN3E
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(aspartan3e) := pp(spartan3e);
--# --
--# set_to(y, virtex4fx,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX4
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_VIRTEX4
--# , u_BUFGP
--# , u_BUFGP
--# , u_BUFIO
--# , u_BUFR
--# , u_CAPTURE_VIRTEX4
--# , u_DCIRESET
--# , u_DCM
--# , u_DCM_ADV
--# , u_DCM_BASE
--# , u_DCM_PS
--# , u_DSP48
--# , u_EMAC
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FIFO16
--# , u_FMAP
--# , u_FRAME_ECC_VIRTEX4
--# , u_GND
--# , u_GT11CLK
--# , u_GT11CLK_MGT
--# , u_GT11_CUSTOM
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX4
--# , u_IDDR
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_ISERDES
--# , u_JTAGPPC
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_OSERDES
--# , u_PMCD
--# , u_PPC405
--# , u_PPC405_ADV
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB32_S64_ECC
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_VIRTEX4
--# , u_STARTUP_VIRTEX4
--# , u_TOC
--# , u_TOCBUF
--# , u_USR_ACCESS_VIRTEX4
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(virtex4sx) := pp(virtex4fx);
--# --
--# pp(virtex4lx) := pp(virtex4fx);
--# set_to(n, virtex4lx, (u_EMAC,
--# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM,
--# u_JTAGPPC, u_PPC405, u_PPC405_ADV
--# ) );
--# --
--# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set
--# -- of primitives that EVERY virtex4
--# -- device supports, i.e.. a design that uses
--# -- the virtex4 subset of primitives
--# -- is compatible with any variant of
--# -- the virtex4 family.
--# --
--# pp(qvirtex4) := pp(virtex4);
--# --
--# pp(qrvirtex4) := pp(virtex4);
--# --
--# set_to(y, virtex5,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX5
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_CTRL
--# , u_BUFGP
--# , u_BUFIO
--# , u_BUFR
--# , u_CAPTURE_VIRTEX5
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_CRC32
--# , u_CRC64
--# , u_DCIRESET
--# , u_DCM
--# , u_DCM_ADV
--# , u_DCM_BASE
--# , u_DCM_PS
--# , u_DSP48
--# , u_DSP48E
--# , u_EMAC
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FIFO16
--# , u_FIFO18
--# , u_FIFO18_36
--# , u_FIFO36
--# , u_FIFO36_72
--# , u_FMAP
--# , u_FRAME_ECC_VIRTEX5
--# , u_GND
--# , u_GT11CLK
--# , u_GT11CLK_MGT
--# , u_GT11_CUSTOM
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX5
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IODELAY
--# , u_ISERDES
--# , u_ISERDES_NODELAY
--# , u_KEEPER
--# , u_KEY_CLEAR
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_OSERDES
--# , u_PLL_ADV
--# , u_PLL_BASE
--# , u_PMCD
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB18
--# , u_RAMB18SDP
--# , u_RAMB32_S64_ECC
--# , u_RAMB36
--# , u_RAMB36SDP
--# , u_RAMB36SDP_EXP
--# , u_RAMB36_EXP
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_SRLC32E
--# , u_STARTUP_VIRTEX5
--# , u_SYSMON
--# , u_TOC
--# , u_TOCBUF
--# , u_USR_ACCESS_VIRTEX5
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking
--# -- differences from spartan3e.
--# set_to(n, spartan3a, (
--# u_BSCAN_SPARTAN3
--# , u_CAPTURE_SPARTAN3E
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_STARTBUF_SPARTAN3E
--# , u_STARTUP_SPARTAN3E
--# ) );
--# set_to(y, spartan3a, (
--# u_BSCAN_SPARTAN3A
--# , u_CAPTURE_SPARTAN3A
--# , u_DCM_PS
--# , u_DNA_PORT
--# , u_IBUF_DLY_ADJ
--# , u_IBUFDS_DLY_ADJ
--# , u_ICAP_SPARTAN3A
--# , u_RAMB16BWE
--# , u_RAMB16BWE_S18
--# , u_RAMB16BWE_S18_S18
--# , u_RAMB16BWE_S18_S9
--# , u_RAMB16BWE_S36
--# , u_RAMB16BWE_S36_S18
--# , u_RAMB16BWE_S36_S36
--# , u_RAMB16BWE_S36_S9
--# , u_SPI_ACCESS
--# , u_STARTUP_SPARTAN3A
--# ) );
--#
--# --
--# pp(aspartan3a) := pp(spartan3a);
--# --
--# pp(spartan3an) := pp(spartan3a);
--# --
--# pp(spartan3adsp) := pp(spartan3a);
--# set_to(y, spartan3adsp, (
--# u_DSP48A
--# , u_RAMB16BWER
--# ) );
--# --
--# pp(aspartan3adsp) := pp(spartan3adsp);
--# --
--# set_to(y, spartan6, (
--# u_AND2
--# , u_AND2B1L
--# , u_AND3
--# , u_AND4
--# , u_AUTOBUF
--# , u_BSCAN_SPARTAN6
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFIO2
--# , u_BUFIO2_2CLK
--# , u_BUFIO2FB
--# , u_BUFIO2FB_2CLK
--# , u_BUFPLL
--# , u_BUFPLL_MCB
--# , u_CAPTURE_SPARTAN3A
--# , u_DCM
--# , u_DCM_CLKGEN
--# , u_DCM_PS
--# , u_DNA_PORT
--# , u_DSP48A1
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FMAP
--# , u_GND
--# , u_GTPA1_DUAL
--# , u_IBUF
--# , u_IBUF_DLY_ADJ
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DLY_ADJ
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_SPARTAN3A
--# , u_ICAP_SPARTAN6
--# , u_IDDR2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IODELAY2
--# , u_IODRP2
--# , u_IODRP2_MCB
--# , u_ISERDES2
--# , u_JTAG_SIM_SPARTAN6
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MCB
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT18X18SIO
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OCT_CALIBRATE
--# , u_ODDR2
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR2L
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_OSERDES2
--# , u_PCIE_A1
--# , u_PLL_ADV
--# , u_POST_CRC_INTERNAL
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAMB16BWE
--# , u_RAMB16BWE_S18
--# , u_RAMB16BWE_S18_S18
--# , u_RAMB16BWE_S18_S9
--# , u_RAMB16BWE_S36
--# , u_RAMB16BWE_S36_S18
--# , u_RAMB16BWE_S36_S36
--# , u_RAMB16BWE_S36_S9
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB8BWER
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SLAVE_SPI
--# , u_SPI_ACCESS
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUP_SPARTAN3A
--# , u_STARTUP_SPARTAN6
--# , u_SUSPEND_SYNC
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# ) );
--# --
--# --
--# set_to(y, virtex6, (
--# u_AND2
--# , u_AND2B1L
--# , u_AND3
--# , u_AND4
--# , u_AUTOBUF
--# , u_BSCAN_VIRTEX6
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_CTRL
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFIODQS
--# , u_BUFR
--# , u_CAPTURE_VIRTEX5
--# , u_CAPTURE_VIRTEX6
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_CRC32
--# , u_CRC64
--# , u_DCIRESET
--# , u_DCIRESET
--# , u_DCM
--# , u_DCM_ADV
--# , u_DCM_BASE
--# , u_DCM_PS
--# , u_DSP48
--# , u_DSP48E
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_EMAC
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO16
--# , u_FIFO18
--# , u_FIFO18_36
--# , u_FIFO18E1
--# , u_FIFO36
--# , u_FIFO36_72
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECC_VIRTEX5
--# , u_FRAME_ECC_VIRTEX6
--# , u_GND
--# , u_GT11CLK
--# , u_GT11CLK_MGT
--# , u_GT11_CUSTOM
--# , u_GTXE1
--# , u_IBUF
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_GTXE1
--# , u_IBUFG
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX5
--# , u_ICAP_VIRTEX6
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS
--# , u_IOBUFDS_DIFF_OUT
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDES
--# , u_ISERDESE1
--# , u_ISERDES_NODELAY
--# , u_JTAG_SIM_VIRTEX6
--# , u_KEEPER
--# , u_KEY_CLEAR
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCM_ADV
--# , u_MMCM_BASE
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR2L
--# , u_OR3
--# , u_OR4
--# , u_OSERDES
--# , u_OSERDESE1
--# , u_PCIE_2_0
--# , u_PLL_ADV
--# , u_PLL_BASE
--# , u_PMCD
--# , u_PPR_FRAME
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB18
--# , u_RAMB18E1
--# , u_RAMB18SDP
--# , u_RAMB32_S64_ECC
--# , u_RAMB36
--# , u_RAMB36E1
--# , u_RAMB36_EXP
--# , u_RAMB36SDP
--# , u_RAMB36SDP_EXP
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUP_VIRTEX5
--# , u_STARTUP_VIRTEX6
--# , u_SYSMON
--# , u_SYSMON
--# , u_TEMAC_SINGLE
--# , u_TOC
--# , u_TOCBUF
--# , u_USR_ACCESS_VIRTEX5
--# , u_USR_ACCESS_VIRTEX6
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# ) );
--# --
--# pp(spartan6l) := pp(spartan6);
--# --
--# pp(qspartan6) := pp(spartan6);
--# --
--# pp(aspartan6) := pp(spartan6);
--# --
--# pp(virtex6l) := pp(virtex6);
--# --
--# pp(qspartan6l) := pp(spartan6);
--# --
--# pp(qvirtex5) := pp(virtex5);
--# --
--# pp(qvirtex6) := pp(virtex6);
--# --
--# pp(qrvirtex5) := pp(virtex5);
--# --
--# pp(virtex5tx) := pp(virtex5);
--# --
--# pp(virtex5fx) := pp(virtex5);
--# --
--# pp(virtex6cx) := pp(virtex6);
--# --
--# set_to(y, kintex7, (
--# u_AND2
--# , u_AND2B1
--# , u_AND2B1L
--# , u_AND2B2
--# , u_AND3
--# , u_AND3B1
--# , u_AND3B2
--# , u_AND3B3
--# , u_AND4
--# , u_AND4B1
--# , u_AND4B2
--# , u_AND4B3
--# , u_AND4B4
--# , u_AND5
--# , u_AND5B1
--# , u_AND5B2
--# , u_AND5B3
--# , u_AND5B4
--# , u_AND5B5
--# , u_AUTOBUF
--# , u_BSCANE2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFMR
--# , u_BUFMRCE
--# , u_BUFR
--# , u_BUFT
--# , u_CAPTUREE2
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_DCIRESET
--# , u_DNA_PORT
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO18E1
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECCE2
--# , u_GND
--# , u_GTXE2_CHANNEL
--# , u_GTXE2_COMMON
--# , u_IBUF
--# , u_IBUF_DCIEN
--# , u_IBUFDS
--# , u_IBUFDS_BLVDS_25
--# , u_IBUFDS_DCIEN
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DIFF_OUT_DCIEN
--# , u_IBUFDS_GTE2
--# , u_IBUFDS_LVDS_25
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_BLVDS_25
--# , u_IBUFGDS_DIFF_OUT
--# , u_IBUFGDS_LVDS_25
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_I_18
--# , u_IBUFG_HSTL_I_DCI
--# , u_IBUFG_HSTL_I_DCI_18
--# , u_IBUFG_HSTL_II
--# , u_IBUFG_HSTL_II_18
--# , u_IBUFG_HSTL_II_DCI
--# , u_IBUFG_HSTL_II_DCI_18
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_III_18
--# , u_IBUFG_HSTL_III_DCI
--# , u_IBUFG_HSTL_III_DCI_18
--# , u_IBUFG_LVCMOS12
--# , u_IBUFG_LVCMOS15
--# , u_IBUFG_LVCMOS18
--# , u_IBUFG_LVCMOS25
--# , u_IBUFG_LVCMOS33
--# , u_IBUFG_LVDCI_15
--# , u_IBUFG_LVDCI_18
--# , u_IBUFG_LVDCI_DV2_15
--# , u_IBUFG_LVDCI_DV2_18
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_LVTTL
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_PCIX66_3
--# , u_IBUFG_SSTL18_I
--# , u_IBUFG_SSTL18_I_DCI
--# , u_IBUFG_SSTL18_II
--# , u_IBUFG_SSTL18_II_DCI
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_I_18
--# , u_IBUF_HSTL_I_DCI
--# , u_IBUF_HSTL_I_DCI_18
--# , u_IBUF_HSTL_II
--# , u_IBUF_HSTL_II_18
--# , u_IBUF_HSTL_II_DCI
--# , u_IBUF_HSTL_II_DCI_18
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_III_18
--# , u_IBUF_HSTL_III_DCI
--# , u_IBUF_HSTL_III_DCI_18
--# , u_IBUF_LVCMOS12
--# , u_IBUF_LVCMOS15
--# , u_IBUF_LVCMOS18
--# , u_IBUF_LVCMOS25
--# , u_IBUF_LVCMOS33
--# , u_IBUF_LVDCI_15
--# , u_IBUF_LVDCI_18
--# , u_IBUF_LVDCI_DV2_15
--# , u_IBUF_LVDCI_DV2_18
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_LVTTL
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI66_3
--# , u_IBUF_PCIX66_3
--# , u_IBUF_SSTL18_I
--# , u_IBUF_SSTL18_I_DCI
--# , u_IBUF_SSTL18_II
--# , u_IBUF_SSTL18_II_DCI
--# , u_ICAPE2
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IDELAYE2
--# , u_IN_FIFO
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS_BLVDS_25
--# , u_IOBUFDS_DIFF_OUT
--# , u_IOBUFDS_DIFF_OUT_DCIEN
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_I_18
--# , u_IOBUF_HSTL_II
--# , u_IOBUF_HSTL_II_18
--# , u_IOBUF_HSTL_II_DCI
--# , u_IOBUF_HSTL_II_DCI_18
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_III_18
--# , u_IOBUF_LVCMOS12
--# , u_IOBUF_LVCMOS15
--# , u_IOBUF_LVCMOS18
--# , u_IOBUF_LVCMOS25
--# , u_IOBUF_LVCMOS33
--# , u_IOBUF_LVDCI_15
--# , u_IOBUF_LVDCI_18
--# , u_IOBUF_LVDCI_DV2_15
--# , u_IOBUF_LVDCI_DV2_18
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_LVTTL
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_IOBUF_SSTL18_I
--# , u_IOBUF_SSTL18_II
--# , u_IOBUF_SSTL18_II_DCI
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDESE2
--# , u_JTAG_SIME2
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_2
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCME2_ADV
--# , u_MMCME2_BASE
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND2B1
--# , u_NAND2B2
--# , u_NAND3
--# , u_NAND3B1
--# , u_NAND3B2
--# , u_NAND3B3
--# , u_NAND4
--# , u_NAND4B1
--# , u_NAND4B2
--# , u_NAND4B3
--# , u_NAND4B4
--# , u_NAND5
--# , u_NAND5B1
--# , u_NAND5B2
--# , u_NAND5B3
--# , u_NAND5B4
--# , u_NAND5B5
--# , u_NOR2
--# , u_NOR2B1
--# , u_NOR2B2
--# , u_NOR3
--# , u_NOR3B1
--# , u_NOR3B2
--# , u_NOR3B3
--# , u_NOR4
--# , u_NOR4B1
--# , u_NOR4B2
--# , u_NOR4B3
--# , u_NOR4B4
--# , u_NOR5
--# , u_NOR5B1
--# , u_NOR5B2
--# , u_NOR5B3
--# , u_NOR5B4
--# , u_NOR5B5
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFDS_BLVDS_25
--# , u_OBUFDS_DUAL_BUF
--# , u_OBUFDS_LVDS_25
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_I_18
--# , u_OBUF_HSTL_I_DCI
--# , u_OBUF_HSTL_I_DCI_18
--# , u_OBUF_HSTL_II
--# , u_OBUF_HSTL_II_18
--# , u_OBUF_HSTL_II_DCI
--# , u_OBUF_HSTL_II_DCI_18
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_III_18
--# , u_OBUF_HSTL_III_DCI
--# , u_OBUF_HSTL_III_DCI_18
--# , u_OBUF_LVCMOS12
--# , u_OBUF_LVCMOS15
--# , u_OBUF_LVCMOS18
--# , u_OBUF_LVCMOS25
--# , u_OBUF_LVCMOS33
--# , u_OBUF_LVDCI_15
--# , u_OBUF_LVDCI_18
--# , u_OBUF_LVDCI_DV2_15
--# , u_OBUF_LVDCI_DV2_18
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_LVTTL
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI66_3
--# , u_OBUF_PCIX66_3
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OBUF_SSTL18_I
--# , u_OBUF_SSTL18_I_DCI
--# , u_OBUF_SSTL18_II
--# , u_OBUF_SSTL18_II_DCI
--# , u_OBUFT
--# , u_OBUFT_DCIEN
--# , u_OBUFTDS
--# , u_OBUFTDS_BLVDS_25
--# , u_OBUFTDS_DCIEN
--# , u_OBUFTDS_DCIEN_DUAL_BUF
--# , u_OBUFTDS_DUAL_BUF
--# , u_OBUFTDS_LVDS_25
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_I_18
--# , u_OBUFT_HSTL_I_DCI
--# , u_OBUFT_HSTL_I_DCI_18
--# , u_OBUFT_HSTL_II
--# , u_OBUFT_HSTL_II_18
--# , u_OBUFT_HSTL_II_DCI
--# , u_OBUFT_HSTL_II_DCI_18
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_III_18
--# , u_OBUFT_HSTL_III_DCI
--# , u_OBUFT_HSTL_III_DCI_18
--# , u_OBUFT_LVCMOS12
--# , u_OBUFT_LVCMOS15
--# , u_OBUFT_LVCMOS18
--# , u_OBUFT_LVCMOS25
--# , u_OBUFT_LVCMOS33
--# , u_OBUFT_LVDCI_15
--# , u_OBUFT_LVDCI_18
--# , u_OBUFT_LVDCI_DV2_15
--# , u_OBUFT_LVDCI_DV2_18
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_LVTTL
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUFT_SSTL18_I
--# , u_OBUFT_SSTL18_I_DCI
--# , u_OBUFT_SSTL18_II
--# , u_OBUFT_SSTL18_II_DCI
--# , u_ODDR
--# , u_ODELAYE2
--# , u_OR2
--# , u_OR2B1
--# , u_OR2B2
--# , u_OR2L
--# , u_OR3
--# , u_OR3B1
--# , u_OR3B2
--# , u_OR3B3
--# , u_OR4
--# , u_OR4B1
--# , u_OR4B2
--# , u_OR4B3
--# , u_OR4B4
--# , u_OR5
--# , u_OR5B1
--# , u_OR5B2
--# , u_OR5B3
--# , u_OR5B4
--# , u_OR5B5
--# , u_OSERDESE2
--# , u_OUT_FIFO
--# , u_PCIE_2_1
--# , u_PHASER_IN
--# , u_PHASER_IN_PHY
--# , u_PHASER_OUT
--# , u_PHASER_OUT_PHY
--# , u_PHASER_REF
--# , u_PHY_CONTROL
--# , u_PLLE2_ADV
--# , u_PLLE2_BASE
--# , u_PSS
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S4_S36
--# , u_RAMB18E1
--# , u_RAMB36E1
--# , u_RAMD32
--# , u_RAMD64E
--# , u_RAMS32
--# , u_RAMS64E
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SIM_CONFIGE2
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUPE2
--# , u_USR_ACCESSE2
--# , u_VCC
--# , u_XADC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XNOR5
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XOR5
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# , u_ZHOLD_DELAY
--# ) );
--# --
--# set_to(y, virtex7, (
--# u_AND2
--# , u_AND2B1
--# , u_AND2B1L
--# , u_AND2B2
--# , u_AND3
--# , u_AND3B1
--# , u_AND3B2
--# , u_AND3B3
--# , u_AND4
--# , u_AND4B1
--# , u_AND4B2
--# , u_AND4B3
--# , u_AND4B4
--# , u_AND5
--# , u_AND5B1
--# , u_AND5B2
--# , u_AND5B3
--# , u_AND5B4
--# , u_AND5B5
--# , u_AUTOBUF
--# , u_BSCANE2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFMR
--# , u_BUFMRCE
--# , u_BUFR
--# , u_BUFT
--# , u_CAPTUREE2
--# , u_CARRY4
--# , u_CFG_IO_ACCESS
--# , u_CFGLUT5
--# , u_DCIRESET
--# , u_DNA_PORT
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO18E1
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECCE2
--# , u_GND
--# , u_GTXE2_CHANNEL
--# , u_GTXE2_COMMON
--# , u_IBUF
--# , u_IBUF_DCIEN
--# , u_IBUFDS
--# , u_IBUFDS_BLVDS_25
--# , u_IBUFDS_DCIEN
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DIFF_OUT_DCIEN
--# , u_IBUFDS_GTE2
--# , u_IBUFDS_LVDS_25
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_BLVDS_25
--# , u_IBUFGDS_DIFF_OUT
--# , u_IBUFGDS_LVDS_25
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_I_18
--# , u_IBUFG_HSTL_I_DCI
--# , u_IBUFG_HSTL_I_DCI_18
--# , u_IBUFG_HSTL_II
--# , u_IBUFG_HSTL_II_18
--# , u_IBUFG_HSTL_II_DCI
--# , u_IBUFG_HSTL_II_DCI_18
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_III_18
--# , u_IBUFG_HSTL_III_DCI
--# , u_IBUFG_HSTL_III_DCI_18
--# , u_IBUFG_LVCMOS12
--# , u_IBUFG_LVCMOS15
--# , u_IBUFG_LVCMOS18
--# , u_IBUFG_LVCMOS25
--# , u_IBUFG_LVCMOS33
--# , u_IBUFG_LVDCI_15
--# , u_IBUFG_LVDCI_18
--# , u_IBUFG_LVDCI_DV2_15
--# , u_IBUFG_LVDCI_DV2_18
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_LVTTL
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_PCIX66_3
--# , u_IBUFG_SSTL18_I
--# , u_IBUFG_SSTL18_I_DCI
--# , u_IBUFG_SSTL18_II
--# , u_IBUFG_SSTL18_II_DCI
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_I_18
--# , u_IBUF_HSTL_I_DCI
--# , u_IBUF_HSTL_I_DCI_18
--# , u_IBUF_HSTL_II
--# , u_IBUF_HSTL_II_18
--# , u_IBUF_HSTL_II_DCI
--# , u_IBUF_HSTL_II_DCI_18
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_III_18
--# , u_IBUF_HSTL_III_DCI
--# , u_IBUF_HSTL_III_DCI_18
--# , u_IBUF_LVCMOS12
--# , u_IBUF_LVCMOS15
--# , u_IBUF_LVCMOS18
--# , u_IBUF_LVCMOS25
--# , u_IBUF_LVCMOS33
--# , u_IBUF_LVDCI_15
--# , u_IBUF_LVDCI_18
--# , u_IBUF_LVDCI_DV2_15
--# , u_IBUF_LVDCI_DV2_18
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_LVTTL
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI66_3
--# , u_IBUF_PCIX66_3
--# , u_IBUF_SSTL18_I
--# , u_IBUF_SSTL18_I_DCI
--# , u_IBUF_SSTL18_II
--# , u_IBUF_SSTL18_II_DCI
--# , u_ICAPE2
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IDELAYE2
--# , u_IN_FIFO
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS_BLVDS_25
--# , u_IOBUFDS_DIFF_OUT
--# , u_IOBUFDS_DIFF_OUT_DCIEN
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_I_18
--# , u_IOBUF_HSTL_II
--# , u_IOBUF_HSTL_II_18
--# , u_IOBUF_HSTL_II_DCI
--# , u_IOBUF_HSTL_II_DCI_18
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_III_18
--# , u_IOBUF_LVCMOS12
--# , u_IOBUF_LVCMOS15
--# , u_IOBUF_LVCMOS18
--# , u_IOBUF_LVCMOS25
--# , u_IOBUF_LVCMOS33
--# , u_IOBUF_LVDCI_15
--# , u_IOBUF_LVDCI_18
--# , u_IOBUF_LVDCI_DV2_15
--# , u_IOBUF_LVDCI_DV2_18
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_LVTTL
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_IOBUF_SSTL18_I
--# , u_IOBUF_SSTL18_II
--# , u_IOBUF_SSTL18_II_DCI
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDESE2
--# , u_JTAG_SIME2
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_2
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCME2_ADV
--# , u_MMCME2_BASE
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND2B1
--# , u_NAND2B2
--# , u_NAND3
--# , u_NAND3B1
--# , u_NAND3B2
--# , u_NAND3B3
--# , u_NAND4
--# , u_NAND4B1
--# , u_NAND4B2
--# , u_NAND4B3
--# , u_NAND4B4
--# , u_NAND5
--# , u_NAND5B1
--# , u_NAND5B2
--# , u_NAND5B3
--# , u_NAND5B4
--# , u_NAND5B5
--# , u_NOR2
--# , u_NOR2B1
--# , u_NOR2B2
--# , u_NOR3
--# , u_NOR3B1
--# , u_NOR3B2
--# , u_NOR3B3
--# , u_NOR4
--# , u_NOR4B1
--# , u_NOR4B2
--# , u_NOR4B3
--# , u_NOR4B4
--# , u_NOR5
--# , u_NOR5B1
--# , u_NOR5B2
--# , u_NOR5B3
--# , u_NOR5B4
--# , u_NOR5B5
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFDS_BLVDS_25
--# , u_OBUFDS_DUAL_BUF
--# , u_OBUFDS_LVDS_25
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_I_18
--# , u_OBUF_HSTL_I_DCI
--# , u_OBUF_HSTL_I_DCI_18
--# , u_OBUF_HSTL_II
--# , u_OBUF_HSTL_II_18
--# , u_OBUF_HSTL_II_DCI
--# , u_OBUF_HSTL_II_DCI_18
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_III_18
--# , u_OBUF_HSTL_III_DCI
--# , u_OBUF_HSTL_III_DCI_18
--# , u_OBUF_LVCMOS12
--# , u_OBUF_LVCMOS15
--# , u_OBUF_LVCMOS18
--# , u_OBUF_LVCMOS25
--# , u_OBUF_LVCMOS33
--# , u_OBUF_LVDCI_15
--# , u_OBUF_LVDCI_18
--# , u_OBUF_LVDCI_DV2_15
--# , u_OBUF_LVDCI_DV2_18
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_LVTTL
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI66_3
--# , u_OBUF_PCIX66_3
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OBUF_SSTL18_I
--# , u_OBUF_SSTL18_I_DCI
--# , u_OBUF_SSTL18_II
--# , u_OBUF_SSTL18_II_DCI
--# , u_OBUFT
--# , u_OBUFT_DCIEN
--# , u_OBUFTDS
--# , u_OBUFTDS_BLVDS_25
--# , u_OBUFTDS_DCIEN
--# , u_OBUFTDS_DCIEN_DUAL_BUF
--# , u_OBUFTDS_DUAL_BUF
--# , u_OBUFTDS_LVDS_25
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_I_18
--# , u_OBUFT_HSTL_I_DCI
--# , u_OBUFT_HSTL_I_DCI_18
--# , u_OBUFT_HSTL_II
--# , u_OBUFT_HSTL_II_18
--# , u_OBUFT_HSTL_II_DCI
--# , u_OBUFT_HSTL_II_DCI_18
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_III_18
--# , u_OBUFT_HSTL_III_DCI
--# , u_OBUFT_HSTL_III_DCI_18
--# , u_OBUFT_LVCMOS12
--# , u_OBUFT_LVCMOS15
--# , u_OBUFT_LVCMOS18
--# , u_OBUFT_LVCMOS25
--# , u_OBUFT_LVCMOS33
--# , u_OBUFT_LVDCI_15
--# , u_OBUFT_LVDCI_18
--# , u_OBUFT_LVDCI_DV2_15
--# , u_OBUFT_LVDCI_DV2_18
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_LVTTL
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUFT_SSTL18_I
--# , u_OBUFT_SSTL18_I_DCI
--# , u_OBUFT_SSTL18_II
--# , u_OBUFT_SSTL18_II_DCI
--# , u_ODDR
--# , u_ODELAYE2
--# , u_OR2
--# , u_OR2B1
--# , u_OR2B2
--# , u_OR2L
--# , u_OR3
--# , u_OR3B1
--# , u_OR3B2
--# , u_OR3B3
--# , u_OR4
--# , u_OR4B1
--# , u_OR4B2
--# , u_OR4B3
--# , u_OR4B4
--# , u_OR5
--# , u_OR5B1
--# , u_OR5B2
--# , u_OR5B3
--# , u_OR5B4
--# , u_OR5B5
--# , u_OSERDESE2
--# , u_OUT_FIFO
--# , u_PCIE_2_1
--# , u_PHASER_IN
--# , u_PHASER_IN_PHY
--# , u_PHASER_OUT
--# , u_PHASER_OUT_PHY
--# , u_PHASER_REF
--# , u_PHY_CONTROL
--# , u_PLLE2_ADV
--# , u_PLLE2_BASE
--# , u_PSS
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S4_S36
--# , u_RAMB36E1
--# , u_RAMB36E1
--# , u_RAMD32
--# , u_RAMD64E
--# , u_RAMS32
--# , u_RAMS64E
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SIM_CONFIGE2
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUPE2
--# , u_USR_ACCESSE2
--# , u_VCC
--# , u_XADC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XNOR5
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XOR5
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# , u_ZHOLD_DELAY
--# ) );
--# --
--# set_to(y, artix7, (
--# u_AND2
--# , u_AND2B1
--# , u_AND2B1L
--# , u_AND2B2
--# , u_AND3
--# , u_AND3B1
--# , u_AND3B2
--# , u_AND3B3
--# , u_AND4
--# , u_AND4B1
--# , u_AND4B2
--# , u_AND4B3
--# , u_AND4B4
--# , u_AND5
--# , u_AND5B1
--# , u_AND5B2
--# , u_AND5B3
--# , u_AND5B4
--# , u_AND5B5
--# , u_AUTOBUF
--# , u_BSCANE2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFMR
--# , u_BUFMRCE
--# , u_BUFR
--# , u_BUFT
--# , u_CAPTUREE2
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_DCIRESET
--# , u_DNA_PORT
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO18E1
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECCE2
--# , u_GND
--# , u_IBUF
--# , u_IBUF_DCIEN
--# , u_IBUFDS
--# , u_IBUFDS_DCIEN
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DIFF_OUT_DCIEN
--# , u_IBUFDS_GTE2
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_PCIX66_3
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_PCIX66_3
--# , u_ICAPE2
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IDELAYE2
--# , u_IN_FIFO
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS_DIFF_OUT
--# , u_IOBUFDS_DIFF_OUT_DCIEN
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDESE2
--# , u_JTAG_SIME2
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_2
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCME2_ADV
--# , u_MMCME2_BASE
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND2B1
--# , u_NAND2B2
--# , u_NAND3
--# , u_NAND3B1
--# , u_NAND3B2
--# , u_NAND3B3
--# , u_NAND4
--# , u_NAND4B1
--# , u_NAND4B2
--# , u_NAND4B3
--# , u_NAND4B4
--# , u_NAND5
--# , u_NAND5B1
--# , u_NAND5B2
--# , u_NAND5B3
--# , u_NAND5B4
--# , u_NAND5B5
--# , u_NOR2
--# , u_NOR2B1
--# , u_NOR2B2
--# , u_NOR3
--# , u_NOR3B1
--# , u_NOR3B2
--# , u_NOR3B3
--# , u_NOR4
--# , u_NOR4B1
--# , u_NOR4B2
--# , u_NOR4B3
--# , u_NOR4B4
--# , u_NOR5
--# , u_NOR5B1
--# , u_NOR5B2
--# , u_NOR5B3
--# , u_NOR5B4
--# , u_NOR5B5
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFDS_DUAL_BUF
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_PCIX66_3
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OBUFT
--# , u_OBUFT_DCIEN
--# , u_OBUFTDS
--# , u_OBUFTDS_DCIEN
--# , u_OBUFTDS_DCIEN_DUAL_BUF
--# , u_OBUFTDS_DUAL_BUF
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_ODDR
--# , u_ODELAYE2
--# , u_OR2
--# , u_OR2B1
--# , u_OR2B2
--# , u_OR2L
--# , u_OR3
--# , u_OR3B1
--# , u_OR3B2
--# , u_OR3B3
--# , u_OR4
--# , u_OR4B1
--# , u_OR4B2
--# , u_OR4B3
--# , u_OR4B4
--# , u_OR5
--# , u_OR5B1
--# , u_OR5B2
--# , u_OR5B3
--# , u_OR5B4
--# , u_OR5B5
--# , u_OSERDESE2
--# , u_OUT_FIFO
--# , u_PCIE_2_1
--# , u_PHASER_IN
--# , u_PHASER_IN_PHY
--# , u_PHASER_OUT
--# , u_PHASER_OUT_PHY
--# , u_PHASER_REF
--# , u_PHY_CONTROL
--# , u_PLLE2_ADV
--# , u_PLLE2_BASE
--# , u_PSS
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S4_S36
--# , u_RAMB18E1
--# , u_RAMB36E1
--# , u_RAMD32
--# , u_RAMD64E
--# , u_RAMS32
--# , u_RAMS64E
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SIM_CONFIGE2
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUPE2
--# , u_USR_ACCESSE2
--# , u_VCC
--# , u_XADC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XNOR5
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XOR5
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# , u_ZHOLD_DELAY
--# ) );
--# --
--# return pp;
--# end prim_population;
--# ---)
--#
--#constant fam_has_prim : fam_has_prim_type := prim_population;
constant fam_has_prim : fam_has_prim_type :=
(
nofamily => (
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
kintex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
kintex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qkintex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qkintex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
virtex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
virtex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qvirtex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qvirtex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
artix7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
aartix7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
artix7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qartix7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qartix7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
zynq => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
azynq => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qzynq => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
virtex8 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y),
kintex8 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y),
artix8 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y)
);
function supported( family : families_type;
primitive : primitives_type
) return boolean is
begin
return fam_has_prim(family)(primitive) = y;
end supported;
function supported( family : families_type;
primitives : primitive_array_type
) return boolean is
begin
for i in primitives'range loop
if fam_has_prim(family)(primitives(i)) /= y then
return false;
end if;
end loop;
return true;
end supported;
----------------------------------------------------------------------------
-- This function is used as alternative to the 'IMAGE attribute, which
-- is not correctly interpretted by some vhdl tools.
----------------------------------------------------------------------------
function myimage (fam_type : families_type) return string is
variable temp : families_type :=fam_type;
begin
case temp is
when nofamily => return "nofamily" ;
when virtex8 => return "virtex8" ;
when virtex7 => return "virtex7" ;
when virtex7l => return "virtex7l" ;
when qvirtex7 => return "qvirtex7" ;
when qvirtex7l => return "qvirtex7l" ;
when kintex8 => return "kintex8" ;
when kintex7 => return "kintex7" ;
when kintex7l => return "kintex7l" ;
when qkintex7 => return "qkintex7" ;
when qkintex7l => return "qkintex7l" ;
when artix8 => return "artix8" ;
when artix7 => return "artix7" ;
when aartix7 => return "aartix7" ;
when artix7l => return "artix7l" ;
when qartix7 => return "qartix7" ;
when qartix7l => return "qartix7l" ;
when zynq => return "zynq" ;
when azynq => return "azynq" ;
when qzynq => return "qzynq" ;
end case;
end myimage;
----------------------------------------------------------------------------
-- Function: get_root_family
--
-- This function takes in the string for the desired FPGA family type and
-- returns the root FPGA family type string. This is used for derivative part
-- aliasing to the root family. This is primarily for fifo_generator and
-- blk_mem_gen calls that need the root family passed to the call.
----------------------------------------------------------------------------
function get_root_family(family_in : string) return string is
begin
-- Virtex7 Root family
if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ;
Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ;
Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ;
Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ;
-- Kintex7 Root family
Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ;
Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ;
Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ;
Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ;
-- artix7 Root family
Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ;
-- zynq Root family
Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ;
Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ;
Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ;
-- Kintex8 Root family
Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ;
-- Virtex8 Root family
Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ;
-- artix8 Root family
Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ;
-- No Match to supported families and derivatives
Else return "nofamily";
End if;
end get_root_family;
function toLowerCaseChar( char : character ) return character is
begin
-- If char is not an upper case letter then return char
if char < 'A' OR char > 'Z' then
return char;
end if;
-- Otherwise map char to its corresponding lower case character and
-- return that
case char is
when 'A' => return 'a';
when 'B' => return 'b';
when 'C' => return 'c';
when 'D' => return 'd';
when 'E' => return 'e';
when 'F' => return 'f';
when 'G' => return 'g';
when 'H' => return 'h';
when 'I' => return 'i';
when 'J' => return 'j';
when 'K' => return 'k';
when 'L' => return 'l';
when 'M' => return 'm';
when 'N' => return 'n';
when 'O' => return 'o';
when 'P' => return 'p';
when 'Q' => return 'q';
when 'R' => return 'r';
when 'S' => return 's';
when 'T' => return 't';
when 'U' => return 'u';
when 'V' => return 'v';
when 'W' => return 'w';
when 'X' => return 'x';
when 'Y' => return 'y';
when 'Z' => return 'z';
when others => return char;
end case;
end toLowerCaseChar;
----------------------------------------------------------------------------
-- Function: equalIgnoringCase
--
-- Compare one string against another for equality with case insensitivity.
-- Can be used to test see if a family, C_FAMILY, is equal to some
-- family. However such usage is discouraged. Use instead availability
-- primitive guards based on the function, 'supported', wherever possible.
----------------------------------------------------------------------------
function equalIgnoringCase( str1, str2 : string ) return boolean is
constant LEN1 : integer := str1'length;
constant LEN2 : integer := str2'length;
variable equal : boolean := TRUE;
begin
if not (LEN1 = LEN2) then
equal := FALSE;
else
for i in str1'range loop
if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then
equal := FALSE;
end if;
end loop;
end if;
return equal;
end equalIgnoringCase;
----------------------------------------------------------------------------
-- Conversions from/to STRING to/from families_type.
-- These are convenience functions that are not normally needed when
-- using the 'supported' functions.
----------------------------------------------------------------------------
function str2fam( fam_as_string : string ) return families_type is
--
variable fas : string(1 to fam_as_string'length) := fam_as_string;
variable fam : families_type;
--
begin
-- Search for and return the corresponding family.
for fam in families_type'low to families_type'high loop
if equalIgnoringCase(fas, myimage(fam)) then return fam; end if;
end loop;
-- If there is no matching family, report a warning and return nofamily.
assert false
report "Package family_support: Function str2fam called" &
" with string parameter, " & fam_as_string &
", that does not correspond" &
" to a supported family. Returning nofamily."
severity warning;
return nofamily;
end str2fam;
function fam2str( fam : families_type) return string is
begin
--return families_type'IMAGE(fam);
return myimage(fam);
end fam2str;
function supported( fam_as_str : string;
primitive : primitives_type
) return boolean is
begin
return supported(str2fam(fam_as_str), primitive);
end supported;
function supported( fam_as_str : string;
primitives : primitive_array_type
) return boolean is
begin
return supported(str2fam(fam_as_str), primitives);
end supported;
----------------------------------------------------------------------------
-- Function: native_lut_size, two overloads.
----------------------------------------------------------------------------
function native_lut_size( fam : families_type;
no_lut_return_val : natural := 0
) return natural is
begin
if supported(fam, u_LUT6) then return 6;
elsif supported(fam, u_LUT5) then return 5;
elsif supported(fam, u_LUT4) then return 4;
elsif supported(fam, u_LUT3) then return 3;
elsif supported(fam, u_LUT2) then return 2;
elsif supported(fam, u_LUT1) then return 1;
else return no_lut_return_val;
end if;
end;
function native_lut_size( fam_as_string : string;
no_lut_return_val : natural := 0
) return natural is
begin
return native_lut_size( fam => str2fam(fam_as_string),
no_lut_return_val => no_lut_return_val
);
end;
end package body family_support;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_status_flags_sshft.vhd | 19 | 19232 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WOJX5Fv2S0CzprysR8KMEndET58Nnshq5G41sUF8nyr23cEOOYS3xFWHzDNrh0BglAkKcA2/EcsL
0Mi0zP+UFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Gc0ueCwDN9OX/N8ZykP2NxXOhHr0aqi823TAFhXP2T3sZajOBosaRN5Om/T8R3LfwK7+baNKGGz+
UJk1ogy8JwdYWmJV85/JpyrrDFtvClJsQxdfCiEg0IVlJhvJlhs6FCZi5Rj8qwlvbn+/sc8hT0BX
IEC/9Hv+yH9f2HZIeiw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gOAtaUsYvJmoKivS2pd7kBeODY1Q4VX+agLZ2/SaxV/BkQgGuuCLHYg9eGdXBmjxTqXO35IrXGnw
8lzEMm8YS53SBgfLbyNKtLJ5Qej5jTli3Hhz2BXRqoQonahfpMOh6WT/32Mi5HxamPl3+Ad8Dyj3
AbqGosJ8LBJRb65Babsp/E0dGGngj0nJjmmY8NHpqNTG489434uBxC5ykK4ltOheXkVJtXSHoR2s
c+RXEPDO94CZYlHnY9b3pUqLafSVqXTeYuw//0PIJQNmrXYuvkdozgm129vQnlKXVGzYsK5DUlRz
Q+VO09C3aal1Ga70326sWIG6XdhCFEnAfQoucQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3INKfUgfMTydNk3PjPUP24H0r2p1C85cOfDxce4LgEKtine/HDrFDahWRWORtm3mNUVaknW/GXSC
5KErdi7NyQ5+CFdf2MMmaC9h7nGYKW8O4nbf09hLlm3blRBSd2i3h46PihYy7iaS3Q+Z7JKvWuiD
J79EKDKw4Kqn3mmg3iQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YHV/PdEXZA1kC+N7hsk5uDSJPgfJRc2Sgeu6l1dsNtZhWFmXeBe9vCszID1P11I6wOICxCc/uQgT
A2JL79m9I3kuY9Ji47hSGH6+xG4kfTKsYaTVdl+16SjuG/YaIhBwQfN13p/8IGQ6FysnYNYR5siA
+0Lm6CwAYBXVRwsuIA3R9dSPKgq+Sbk3MQCuaqKXbxHiA5oAAI2R3Gz78f9hrvy4Cj5P6dJ+TbkJ
j9bOdpZE4W6tXHasCVI4EqJlfqQQ48uWK076fFPDGpd19w+K6NBgkvxxlXDC1t90ZvbdFgDD30L/
SOFjS0BafCCf2aKaRk8VIdeBs9pr4wj9gMwZYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/rd_status_flags_sshft.vhd | 19 | 19232 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WOJX5Fv2S0CzprysR8KMEndET58Nnshq5G41sUF8nyr23cEOOYS3xFWHzDNrh0BglAkKcA2/EcsL
0Mi0zP+UFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Gc0ueCwDN9OX/N8ZykP2NxXOhHr0aqi823TAFhXP2T3sZajOBosaRN5Om/T8R3LfwK7+baNKGGz+
UJk1ogy8JwdYWmJV85/JpyrrDFtvClJsQxdfCiEg0IVlJhvJlhs6FCZi5Rj8qwlvbn+/sc8hT0BX
IEC/9Hv+yH9f2HZIeiw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gOAtaUsYvJmoKivS2pd7kBeODY1Q4VX+agLZ2/SaxV/BkQgGuuCLHYg9eGdXBmjxTqXO35IrXGnw
8lzEMm8YS53SBgfLbyNKtLJ5Qej5jTli3Hhz2BXRqoQonahfpMOh6WT/32Mi5HxamPl3+Ad8Dyj3
AbqGosJ8LBJRb65Babsp/E0dGGngj0nJjmmY8NHpqNTG489434uBxC5ykK4ltOheXkVJtXSHoR2s
c+RXEPDO94CZYlHnY9b3pUqLafSVqXTeYuw//0PIJQNmrXYuvkdozgm129vQnlKXVGzYsK5DUlRz
Q+VO09C3aal1Ga70326sWIG6XdhCFEnAfQoucQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3INKfUgfMTydNk3PjPUP24H0r2p1C85cOfDxce4LgEKtine/HDrFDahWRWORtm3mNUVaknW/GXSC
5KErdi7NyQ5+CFdf2MMmaC9h7nGYKW8O4nbf09hLlm3blRBSd2i3h46PihYy7iaS3Q+Z7JKvWuiD
J79EKDKw4Kqn3mmg3iQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YHV/PdEXZA1kC+N7hsk5uDSJPgfJRc2Sgeu6l1dsNtZhWFmXeBe9vCszID1P11I6wOICxCc/uQgT
A2JL79m9I3kuY9Ji47hSGH6+xG4kfTKsYaTVdl+16SjuG/YaIhBwQfN13p/8IGQ6FysnYNYR5siA
+0Lm6CwAYBXVRwsuIA3R9dSPKgq+Sbk3MQCuaqKXbxHiA5oAAI2R3Gz78f9hrvy4Cj5P6dJ+TbkJ
j9bOdpZE4W6tXHasCVI4EqJlfqQQ48uWK076fFPDGpd19w+K6NBgkvxxlXDC1t90ZvbdFgDD30L/
SOFjS0BafCCf2aKaRk8VIdeBs9pr4wj9gMwZYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_status_flags_sshft.vhd | 19 | 19232 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WOJX5Fv2S0CzprysR8KMEndET58Nnshq5G41sUF8nyr23cEOOYS3xFWHzDNrh0BglAkKcA2/EcsL
0Mi0zP+UFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Gc0ueCwDN9OX/N8ZykP2NxXOhHr0aqi823TAFhXP2T3sZajOBosaRN5Om/T8R3LfwK7+baNKGGz+
UJk1ogy8JwdYWmJV85/JpyrrDFtvClJsQxdfCiEg0IVlJhvJlhs6FCZi5Rj8qwlvbn+/sc8hT0BX
IEC/9Hv+yH9f2HZIeiw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gOAtaUsYvJmoKivS2pd7kBeODY1Q4VX+agLZ2/SaxV/BkQgGuuCLHYg9eGdXBmjxTqXO35IrXGnw
8lzEMm8YS53SBgfLbyNKtLJ5Qej5jTli3Hhz2BXRqoQonahfpMOh6WT/32Mi5HxamPl3+Ad8Dyj3
AbqGosJ8LBJRb65Babsp/E0dGGngj0nJjmmY8NHpqNTG489434uBxC5ykK4ltOheXkVJtXSHoR2s
c+RXEPDO94CZYlHnY9b3pUqLafSVqXTeYuw//0PIJQNmrXYuvkdozgm129vQnlKXVGzYsK5DUlRz
Q+VO09C3aal1Ga70326sWIG6XdhCFEnAfQoucQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3INKfUgfMTydNk3PjPUP24H0r2p1C85cOfDxce4LgEKtine/HDrFDahWRWORtm3mNUVaknW/GXSC
5KErdi7NyQ5+CFdf2MMmaC9h7nGYKW8O4nbf09hLlm3blRBSd2i3h46PihYy7iaS3Q+Z7JKvWuiD
J79EKDKw4Kqn3mmg3iQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YHV/PdEXZA1kC+N7hsk5uDSJPgfJRc2Sgeu6l1dsNtZhWFmXeBe9vCszID1P11I6wOICxCc/uQgT
A2JL79m9I3kuY9Ji47hSGH6+xG4kfTKsYaTVdl+16SjuG/YaIhBwQfN13p/8IGQ6FysnYNYR5siA
+0Lm6CwAYBXVRwsuIA3R9dSPKgq+Sbk3MQCuaqKXbxHiA5oAAI2R3Gz78f9hrvy4Cj5P6dJ+TbkJ
j9bOdpZE4W6tXHasCVI4EqJlfqQQ48uWK076fFPDGpd19w+K6NBgkvxxlXDC1t90ZvbdFgDD30L/
SOFjS0BafCCf2aKaRk8VIdeBs9pr4wj9gMwZYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/wr_status_flags_as.vhd | 19 | 20484 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iisr0ydwFOm3eepmhOYSaxO3flYpViRsLN97vKyw+ai+x1TubmaH8qRRwK/QFeVsjlGTFdxookcr
olQwv0bmdw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dJvTzz+PoD3n2Ot9SgKfpEhIshJxklhDhS1tYcrcmprfs5wN+lN+5Y+o9jEEql61IqDkJEIGu0xp
zaDWEeMqwkFuovmZnp/AnbrHb7R/19zPRtwSyZ8+VQRLsRMgscwutXu29fTUST6Ribitutae85tQ
1okc5mYK0mcSMIggcMg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZijKIWnBSOuwn6R4ZrzJp1qaSPGZMrP8GTp+SV+Sn9xEivGxLJtGM40xMLXxiYuxIopDD/A1usG6
HkSoNT6OzxHJWKkUEyyVzrZuJdNHJ5q3s3y5LSNY7eMxN9lY4/gygh7aVIBAO9YWzsWu3HLtrHA5
2vsUFQxQdkG5OTLVP1rH68P4j/dhqr/LVHw+9H76c/knGyalpHLRC7tnHQcfuezFJWlkzaNGHfUo
b5cE1YTvtdlZVmw2sVG/GbXIRi5fq3+Okdy+JgckZ4dVWbI20rfa9LkI09/kwD3anyrnovVQVx9h
F0AxolVKVVyWNAaSu1fvXllqzrdJiRLbdnsq0Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LUajPw/jRTLlmEKb+9YylQ2jxw4jlSx/1GGaY1wFfWFdMwK2p0xvQMjui8K3EqJF0fnb3QNWuQDl
1vTtf04vcOAHkfRCeW7Mbp8qeUTtAsflGIPJDxHfVU8ZKprwANsENc8LVrpJ0WnjDFQIzJw7LDqc
Jj2TofWjKprdxXsMnu4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KG6kiSPrd66zvVpG96eKD+783ebVLVFNF7pXgq+rCyBBRoa0N9Hp3DIWK5125mkICodI82zuSq6k
C8aCiPbDiv4tiuIn19WDNNPL4ncknL0KLZTLAkq0BIQIsnFNRaZegM9aXOdMYGKYLpnjSD9KRWRt
WPXPZfwprSu2D7PeDZMiij3MY+cixttgVmNfcx9Kkmvg+1B5sTSDTVs3fqpJBBO1YslTmxyJAIC6
uDuGqvQ1138z6f4f+f8vMXratK1Ypo3jPPb4FTNLYJio5Vd1Nbpl9kRRtj801Ie0GGhbggK6IXJx
785o6wX6g3tRyoHXGJ4DGUmWlIHATg0KIAflYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block
rEtV4Bm3SmvVbKq1runp2SaaO1GImraXNQnz2t97NClVhlTBGZ14v+hHArmsTHZNME/QWduIEcCL
I8GdWPb/f0NXI5m0D8vUM2MFHCnzTFi82f0pjwFGBVpM7cHKBa5QRX5K/e5A/9KwgbwYV1tKqTXG
aWyx6JRfuzQINO3rQqM+n/1b6LSLygDM1QuiPthpMFKV8IfsVkaLDVqcLxH9/n7C64aisv1xK+OH
WhYRETSZok8+gbvdGdr2+VsE64ALl3DavNBK4S7IOGP5YtXviHmw+Qb/lvl6m+RggRYmTe1A6B5r
pghgGDxK2gS0ItU55quFmZMEuEH+bwLuaJA0/UWR19oWnbcTZnsCqEeN/xbKUzS6blm1K6RPZtYe
WSGhjFl0cKHEQe9DfEazTm6tS9mJ55lLbSuwE5o03LDGRapxUdSR0IiKy5C5UP4genzVw3zearva
qPWmPeGZcAEyFioI2o4OWQP+8mFdbza1kBOuw4BP76P2c+ij6wbxXAWVxtNmL8f6AgS1AV5SqIO0
ftTCve0JloVh9kpHsbXE1TS45+y0IuiC0N3a8PAEsXa/tnLsnAydpsXIQwMLXmgKfoXKzabAHHdI
XZJd/NxD7+xY3aINMVaO5eSSLIdjkYZIeqm6qf+x2eNd9v2nue3I9rjHkjMBIty9wqDCI5HznV19
h0aPmdRn9t7Ft5xXq0GXqSl2I9ZROoM4hg3RU6TCVY4IaDkErgP5cMhIwuHsntmeWvsvkZFygQtU
9TUaf90O9HINFkrdIHf0ZCgtCgUw6ffQNPAkw/6MaoKxm9wdczGi3ur+eyRvSLy7+LQX/dOqvXKM
9UFNjkQk392HWfkT8aaXacuJgFT4hmoEXDGY+tSqQrQRrPmelj+ksf3PcI2r64RSTjiR+9HNqWqJ
jPJio2xgGdQdGrH+UewLz0ySmNDYmqxIdyqKfv/B1BYMUpGd+l0eRCp0RwjP6nt7MKkBishuJTL2
2kpCGDQ4HELrlovnj0dMihRpFZAIpY55DfNVE2hnbkHthyDrEXapmovyPqDFel+HKDdimVyeM8CR
DKl0D2+OZK8XWAiVk9Ve6w0rONvwf/XvaAkgxa3rDJQo/8g8oiGRq4ku5l3OZUKrPVRcjVNCxHRq
81RLF34Ztt0FyGvWgFBPXPwl/QfIQcetnIv8BBHDyYvjjUEJZxJ0yVIBqSipzmyIn34Kt0GvhgJJ
oXsivJz4IQp2WiLYnKzv7NuBWYnOF+M/H8eFTLS7ynV/b0CRf7qayeeaKYL0uv9mUeXbILs7F9+8
XkemV9ihHFfHS04009LEbIzG2O13pEZ78n4YAi47TmCv22T8/Ve6sSAGowqE6FfeksCmQ1+Z98YQ
uXIyCDvF0dlGJwZxogjNRbjyCBj6OOagNPhSW71GcVdRv5XSPoZsF68V4hWQeNb+itDo7ZPtZJoJ
YzLjFDCWp+2G5a8+OtWGetlJNejx9rEDOQvPXNhCi9xzzzSvIevRpnt9XX8uVwzzSJnKhI91LgRg
ID2cjEMis7S70eKGvR2/KBOhU/KAbsgGALtSpbd8HPFqo4CWuxEHCYImqvt7m+vI7jIEpXbwjtx8
QWNgKL8RNSViXrcj5CsXvOI28Nk2V+GZ8jr1/8I4R/Yns4Xfj1TTWzVeNCNHbazn1nufigCGV4Vl
qtDHRGZpM2GzgWRsMj5tsZldV3pp52C/Dpr/92KUqWKMf/mZbYDJL1X0X2Tw7iboFgBgx1miq+ht
zrLMfkmBPAPakC7uTHv8wdz7HICGyvCct17zvGp/b+9c5KI7lCBCd9jn5PTW35q5ksO4eC9R2AqE
0xJmD9GKB17q8Jk7vlAMs/IJV9rgMDnRfyFkOl4hl2S45SaIY1ObTSNIHJYg+rp/nN6BMaWKrR+7
O6t2IjDTrKFkfrU0cMF3G7EiQSf6RI1d8iyqNJLJJ3fbFhF7XLnn/CwlkZ/03Hwn1nJocabXwbc2
9Q6RrzrxLCWMZVoXaUEBQvapxENHsyZOrmSevUQnuCknCx9Eh/GwdP2bYVHxXWg7ySdjQnVMtR4v
qT7n0yJuHI0ite3a92Oo/MBdlgCwEcxfvgz0ILRIK4wFe098lGOX0tVEsLdEUijEAeQR38bksd9a
fuwZzPBvMZQ+FON4CQYrDg971r8Qz89ArQjVxWF7TdD0Oaq9vaUrLocNzIw9AcIy8rWaQnwTR/K0
PsXLapKx1fOSZnuztuMHoRXGaqZVlZJGs22/GiJA9y/IBflmF5GNFnRUbXVT8r+FfMb7zIr8eP+b
OcPNp6F4kqt/b8gae/9JOIopCuWXpu5kDe0U5aC2qmvMuYbNI88aUx34i3WHd8NWKNbdtGDaQ8nM
iyuSX4j7EgwTOuBR/iFM7rZAATM59ZFv+9wRq8hbXVvfsBp13upya8YovLN1O9OWrzxUWym9ZPN1
mDhMT1YgOqVRaJ2ADyjP98UghsOXt6ybu9jGeEndP5T4mZjxZp82OMxqqS6VolaMbGRNPa271n87
65g6Q9l7RYtOL1PjdBOlgNpUdYZwxJ2EGgI1wPnrnuUiZ7sdhpuFkhJDdh2mHYlIUZHAsriLKEHR
XOdtU+Desuf1ojV6OryxMP052nRo3DfSj5+dKgSFWVXGLiS4Q5dQxDHIvUugnqZqf+FK5inrMME5
1eHWMPkrqY3H9syJMZ7RM9SwYc/zjL9fOqr9mE+npGx50NODLrgb0FydLIXRotOtI+YSbZHKzsKt
xoZNcJJ4GasWF69GNvRenQ3kp1eh71xjJw5a0KZmhsJJXThZLqSzb/sTisTU8jCaMh6S6ag0knK7
jonbXo+dctsmQfLpvLXHN4TNqJnY6alIH0HnsTz7EklgiqvaLbFn+25uUJSNDHESZG2BaAtNvG/4
WuvQCSLWeuJpsrPd+06Bzmmnlvn9InJZhGDVhnZlfj7Kh2PolkMjpLgzqH4f9hm/7zH7o7msjyvZ
Rj/JnTghr2ou7m5Ayp5K+yFEUt/y9WWmqXlKOpf12P8RITbx8ILm1kWRqB8L+xfF1r2HXt+av43O
pyKFj4Kq1FZckfpg2It+beWX2P825O6hDubEjA2RyF/zyyc3lMSS7vtAQMEOEJnWNslP5r0po6TK
F5+Ikch7kOxVShNh4LWWzwFtJb9SBv0iFIezeCGrgApE2blMwedVwOdpPdPvsQjNRYc8YG+dStcY
JUIrNMdc6m5qSEWO36XNGXLN/ZrjKkZcCr+z5oN8Oa4cO8jxqZo5bZDRVUh4VXM8a+hHCiVvWsEG
HkxV52NFazh7Duhb2Ix5qYigebEWfDnA3frHeY+lRAIE3+X/YoDjgbPuOqgwawkAvhSCeNbNxuTS
T9ZzmE8PWRbDowhElwhdboesSdX9tyyp7yCSM6fxKFXbUrPplwsSNsMYsJJ9grw0leD0bx4Cd0Vy
Y8dDayiLLROqmsdsKe7b4i2o6u8A0RQrPB31DrVO/yPiNygxChVesembc822gDnOAlf6FO502nEU
ItbE4EyM6ZuRk+69RSoT+2AZGRndh55WMQYb6i7ALzZeSttxOh6V+LV5SNYYdeQouPNdd+N45DZn
niJzd/11g0AFDHSZFxMK9p0VsOmXbAPFEjcTvMS7PiMwhUsav6ZfZjkVwz4X9s1v8DCZpNbKSq88
kGJQIcZYRdAPuD7V1zvdo0Zf6leEwpYg5lACMpJ8rGWyLPBrYD/CsWB0THjSjjkRntpZXUTF5Sq1
IPSYaQtCSAHZKO95giPeqw3zw2XQ5ci2o+t0eS1YvmSPlvMfZRnkdx98t2mA8ABd64gljY5f+0O0
px5Pec1R5VTiPNgghdEJlgrPqQxSP7vDXo0dtJmtqeP90QCRgaOqEt27wpqzv0KFUth0XwtaJeQ5
dejR/hEOliBmBscgJkx0gBF/nLybnTcQ6xwwOe25uqhvJIiepSDJE4ICrD/MSurrVfozreQGinSL
a/tMurSZF67eavaP5tFe2G44AmkmV4WBRnOeWOW2dJ2Q1sYHMUzfnWjdSw1sJJu6+Y0fUowVqq0Q
K2lcfV1v+sdb0adNWmXRoPrjCpXnMpWUyUXWCaY8r49ipi+4TCdxE4p/IUZfO1aumt4+xQcBT0dh
t1WR18HGPmHp719a+JPxfWkOQjw5JnEukkqxKV6fqJPDzGrHDJvrkNy1tkX0MN6Z+Olw//0xoyyG
9SEwAH72HyUlbfM2lhwM9N6f4cr+CLxPF2At8ppy84kKN/H+2RDt520oTXKCcGFU/txd8LdCs9qG
3diwadeKrfsinlzq/W+3PUAPHvjHcwRyRb4m4Wv6J0kW7ItY1ox7z91NbPGA481MOKLtCEwm6vuk
sfLsMi7+T/Hm+qsOXlQ9i8Pf9VAE9LWS2byZEwPIYDYtbLm2L09NRsMgfm6PotDA/9WaPtrx/CrM
IMllkwsRz86jBnsfx1s6w2v/gxQFWv0fY8mf1WywsWC+WArpCmvfHO0WMIJtyS/B+XcdiLdfrrJ3
qeRMJy8lhDn9mvRTVYzLXtu6Fv98eAxRiP4hPmT4+kxhTz4CT4cDPP9YKhdzODoL28xWfAYFbD04
Z7nP2BAp3o1FR08u/ctwg8oxDjcRwHkmDHquzKrPjnQBKXv9IMSCNsR7asFA/JqdhHcmuKZg6PRk
6XzKLzz1/Zs3XVZ/rpAcA4yoz12/yPHmsazO+a6cgbZy7JTSwRBhRd31L/KB4M7WXzNJ4paZAsOI
O5FWmQpp6eEyGGXpKkGy2qdqVRuRe0IjzwDJG6clerafsgCAj755Q2eTRVVvvRQw+G+hVjqaFu/+
m9KSJt+0hjHW1BHC4wyHN94ORPERUFukKcxOq8a3C4r5hTOZW3XWq1v7Ks4H7Zwi4AlNCGUKQ0ON
VSZKVFl61F+tBDQk+IqFz1WMfzhOfRJYfalfeqp5HOOIaK9cOhXG2oYdhceL4LDJlhJPAqpAc23Z
G9mCLMh7l3PvdGUWIx2s5Z4EpUsaxWTxxqD4a+cGuaZLzeV55zSCZ5qehwrwRTYvoBZE1+lOXnfi
Ryt8wko4y/GJZL/NuHI8l8tJKmVjS+XqWIN5sWUs9WMNit0HB/gZfmTuuvpwP7gjFuUjS2Q+jgYC
9ppF022c89tfs59OKVOjOQ9s2b2FlXyn4zn9AXI7AYGal0MNPRsQwnnaZJiTebyHcwtZucfEnh6z
9K9skBFs29qlMPNYsFP7y8mzdNhDng3TEgYpao3SPfXwDj/CSw/ObVggf8enRTUNlDfJ+17WwDyO
/ajc27MzTRLyBCCQhUYvMn80lvwSHxWDIL3xKvr2OLMoIAmgIZJG3sJMyhsOPqFxVb1Qk7NcojOn
D11t84xem8WyHJRbm0pbKV40U5U40scS0H5bcMWTEfGT4W0Mt47O181hbfymq/ykV0yIpouFyaDb
tUvUtKWqMknlkUxdllrfasNUWgJUfn8Cl8EV1Z3U5ABYiUNuRr5oKcdwlS7eB/n+UgV71hPGXiii
tFKvLiZLXS9l/eoEDDKLm6/8OksoHEEFzRFIR8skagCp4rd4fSGbOUietH2v8ecJdTlXFP0ex7TX
vh51q5DGduPDyv5nJCNInuPVn9Z9r55S/RGw+ySGAcaPEqaldHWakl5LrzE1/zI4K707/QMAGDAl
HQdn4RCGxESdD4HJn35p8o9hnYl7WqaHBddVyszwX//kjQ4qJolh4qp+txlSxnSJmCs83a+aCvMk
IbAnKPRH3SP74XCHOQ3bziFlRO0Q1JWSUp+e9CxVX0iTOPbgrB+wD9yT1o6m+o45pOEi4yqt1ZwB
6GRU83LP6o8j9UURxZDZ5WMgpNs4xuaPxAt+XstW7JOy51tYRnIykokiQYYg+aWP02kEZ7KL2cif
/t3AkMcRC0iKXbo3c1/h2uNlHbvkqXBOZnmGMzMriXwdE44Vt7izgW2oY3N5IFwqNHPtWi9SS6yA
hcD+oqiZ5YnDx8Be3R5HxKPygh4K5ePLmLR6um0pz3P8seQq1RKFP9ctf/u1v+7BlNqcdEez0X0N
I4X1lXx9dw1MpdfXfG2riDistMNSEH4Rrccb4Y/8cnRL9fh3mO987Fb0uI03HcxJiExzcwHZ9dco
s3vvxZTsaIGr2xmmD+LsMSI00fJFv0/mbMB3LLQfURbbNvuYtBK+fA8U8TOqSRvPYwXdCjv80svZ
ecFEkHhpXJBNTWSfUIzupFvHeVSIxo1FYZEy4sS3rdwokvKAiZK3rnzY+Ryed1c8On/UbN07w7X6
m6hS6lOKjYh+wxgHNswvu8N4sknoUX7Hwp9LBuU8+T0Ca/tOrCkICtK8kD5WkMmpO6IWAXUjK4Z8
/OmRAfzuhQeScqBIQTMuw2IkQruwSdfY/2ev76Y8v5xSi/gzcXVjJ6pNWe8NhZ5pL8vuon9u8D9v
ac2sfrz5UyHorqRAvNxS0nxaWXiYHYmk54FYhWXq/l06uTApLWRBji257/fX7WCJ6JtNeLLcAFYG
ui6Qlw2u4ilhoM33Ba9OQD+hKXM93Ab35owHIBR48oqnZlu/gRs9B7w/mQ9P7Aq6DwyYiEy7zN6P
zIrKF6PEXI2oo5vRRDZlhHjnxlG1qbQvhZImGO8R1X3muvsJ0Z9NyHew0PCSz7i9mW6rY2m1FJBl
jLOuJd9a+SClV8HYSGnNlxIsBxxS4kdb8pJAiZyO1GsyFurgT9epWWxp6MeSTru98ZQ2HxuKRPAh
LAyFLPqo+UCcwRFhd6SEMQhkLktq/u+ZRteg3JfitjOD93M8XsrOOZXAvc8OK94NqeYOeOIYfeiA
Bh8AZZCTK3Xt4QLtJ2oyW6gLSK/gyvC1P9wVOFLK0VxRCj7UL1ENqTGIylLsQV1EDrxvDeHgkr8n
+celZ0eo5oJZQc+yNrl6D8RLDaFfOndjQQuP1VMMLt/r+SCqzoIQpeaPzjqcZ5F2tDjq3vLL3JlK
L72Oet2zPrANXugoDIB6V4zLM77QJB3ICXMRSw3lLKHdoVR1fOZjH7lh6OFJTtre6FJuHv34LR+w
Sc62m9Wix3fNqnF2+9Qp1crzn4iR45vHd5J/GET/E8MKd44F0qOzeLFE7zgwf2+Iy6YZU0LJ7OWe
z0OlZFdrPEAemSaXyLI3Wg2c7W5Ef4UaJISjZfXU+aX/CFAP3KV58g4cgEfSs9fw8iuCFLuLh3Jh
GZg50idBZAseo6HiW0jDA4Nn9S03k0B9v1GqmSWPhP3YhzM/EK26PGYcgF0eivassZQFQSrc6Jil
NsS91pv/HNapigSr2eVnB1wDsPbMOeAWMefmfTJEy770FLL4nJvHH23BcLXTk4vQBAncc/ypOm8W
7K8vIx4OeBmtzlz+HHoN+Ki6SGNYfqwhxJ3Iclxi79j84bg6FlZ/sJmHW3B/BcC+MY8FKzU6WOYl
4ii86/wI6/Ymwxsc/fLpXuJgVnj1G6seQpKpHtSA/+fDWnvd7+23DNdK6HfBGZ+9h1HUSEREwoXj
99Lau/2+L3cctWkmre/U6dbCrUJx+9esOM4lxm0AgWGdwsmiTdhQhm1GO9efcz3nod2wuyLOoRFB
PRHCoU9xUQeiUOHk4A7KAbqWNnKmpYJRUFtQ3lyKzD1zt5ChuiYS+1trXUf0emVBc0RXJeOJM+oy
pdYUCtO7HboKltA1VXkzyJrTAnuRxZ1FiBt3NN7AswsisLqFyQAuddvPGzztWsE/T1mimJzTQK+f
f/Lv/B2HA5evVFXQh51vSrY2ub6y7UStyV1sLz4hRJqfnfYYtn4qKe+hiDORa9CUQSPNnR1nNwVS
OkQkOe7FGwVo2tF2pgxsVaObzuNgR5W00kvbG+HZrYc/VlQXu17CoYAXyKuimeuQUX6IOYQfOtOi
P/656J+ayneD3DdaM4CAxyapYpzyxTtzYw3d3BmLALR36ZccU/VhfYiHYcCtxg9XbjQuCmn0V9tm
VhQXJGWmHnrfgcSUohqw+023UH3zssHKe3HoXWtNxZuFWTm8uBN+FQJWwZg5o1SnFllNmOyhtpJN
XO8SRcSR9ghUC2PkIXtJSv9s4l9Gp1a1m77LFh4HZa3el3/C2W/EGR0B7R2Pzy8Y8kQnfhLd33aj
x06UIZTX/x5oFzGlrD7CuvF5JKo9vOIxzToHh5kdoOD6zjUKUGJYQquc/mE0DMReySwBHVcUIpLT
P0tPzMBnO/rCSMnc9ks+pckz6Kip2rnVsPX+HfAom3uAkz3m42JwY6b/bHCDef0RK/3WUac0dSKM
kEYtrhwBrDcHu2UrE9D4N3TPQpPhltMcgA3rCnJNzhStxgWfSGcSOX489GY3JX51jrPQ4KLwg3Te
uHo9coFgA7zxBD435Ek9ZfJZAcIO0Zt7s2uZwvFyTVmPWSIEW6daOf4f0gxbKYxTM8WdybNJXju9
AuBEu/AVDZ4+JGpSkGoI5khnH625YEMjmDdM7yC1jJKKFINwCI4JiWEAl64hmuHGoqBCRVIgMBYp
hCwZyPZsI1RVFT2dRHGrlaHOioCJ4s9qfl+jXm7NnHKSvxNcZuT+Ubv1PiLUCoGFG+sBAEE7OLIx
156yyQxHYKvnmGVKdSv1JDcqFOBTX70OTB59UidJY5m6HfaEtJa2TRtYd9dfiLW4T+bJCNByHVNJ
BP5PQ906S6hbtLHGTio7Jc/IK25r925Vil9Q71uHrIoeIOuJxTU2THyuDb8th3jMJAWZ28arIcD1
7zvWma3Osergrj5anOk44hmyfHWNmtZzWfjZ8g2mz5sCZf2xhx1wqFFUm2rP++JnqWZWqJpie9P/
FaUflE91AeT0nPFGzJobKS7vxP3YCIxqDXb4W+GNrbrMzqJv62IjXU/5VT55UrYBObNBKr5pNuPp
nl5/A4Sckp8yUP4cXgns9z450Dg8dC2rJeiALt5KoIAI0mqVyz6dmncs3964qm5zvqkhfspebtxv
+/5/HLRk1pKJC/0BEIG3U8PblJ4S3qWAR1ocDE72mQpK1S8J2OpVtmNPWqj+Q8XxAKeFhxNgDseh
3Ys+1h4JfwdhkuL1WuvUWRmr43qJQgrAU1dsla9XqNHMblQy5XDeZddFiARAWW3wQ5mQedn8mA5U
VcD6AFj0+myr/qmfSW0WZomoAlWRHZR8ww60cUN+wUjqZnXcQrMta/v0wrgmsv3Gm8XyHnb593L7
eG4J4D9wit4Xzo8ICB6DhsQ+QOfSpMQ+Uks7P55CB0dzcg3FIehDZWZfWj4jNvAY1gUscTVyYm20
ltt13qwaUWOMqO2BXOxzc3jQ7Wi51nA9iG29RjBhvOs8SIEWaroBNDKCGcQ3HvQk5wLRSMiGq6If
A/12iSm/sYD052pbIGYML2/C6RhJW3M52SyyIXliJtXn3B/si0AgHYfzqT0vXPlxQANLqtU76Uyl
JwP32T30MRjAm6qMdCDKPfadIidlWtpiNS/lxnet2Fgcu2RTx9pSlm5DVmFbm0VH38okdGyJDSIF
p+FEprQ9eDPqoUzNbjd4QlY9bph/Q/CVR7m8dBAbCFdT7pbH6Wdwk7LdzgRhdtDP9jIsKTNNte1q
GMvooCehfU4GiCw5Aa6s4ZZbQSVYuhRYgOHzovGzq1+5wOo7N2JA8vlRYSwwjVyUb2rqq7iWsbg+
JuJapgIlHZCoOngniStpVBvML3CdjisUnPZs7sdb7aLtZRCI8TsyQed5VNTTOv4NXqNYWssW/xWq
6f3VNQFk/gh7GyMyJ3d38QobBhLCLY4hmDK8JEZCWxIL9DN0kaLLviNG2wWKwZL2cD0vxQK+D5M6
PEIAaggXEQmwA138Km55S7ojd/DGbrMb9D+Sj/6WEvXGOXlRpGpFQu+ha0Zx9dB76EhCiH5bYq8w
bqILWA9l62keHeCH4BpTHJg8hCuBw91g8KmUfdeZqhZBGmg+jggKMotw4SoSSGVLhK21Ezgu6kSH
xJ58XYzSKRTRJwiPKrAW/4WoTYupBxtoBdz+SqQ84ip6iqZT0q/H92SSDYacziEwJ3uB05PxUTio
eQqXesLnKDT7FS0PXWpbQ6ZqpzZuwWNroQXXNu1J8npgWoFeQMl5AlAUYOaByxJ9IkIGyYOKvYWA
OgomT6uFDSlbXupq1moQTu5MMxn/ZMWnYIjBUqki5h/yxrMoUH85oCigWxdSG6HkE/Dlp5CORbr6
gSgkUnNa61GlwM8WyTdTAlnPRGGVdb1X4S8CEW5ZGHUyn/oCYY1HKlwtLQyyQeVplakXQCvrJ6kQ
Bg4uyIJgb9c+RoZytzND3ph+muOAgedD8oFvvTx3sNPkT//7bqD5QROXfB/CNgx/tsm0OEgPUtyx
ftKJXDzA7GJhHQNybc4wtemLWBnKgjvyPxxbdEyRdD4wobPkbKzOOTeAMstEFoqr0Y8H7QhkUQam
2jDhUkqJ284W1O4H/XuuB/Vl/qvyU9hoJQcPCgaBGFdq4QRDbpDlmpqlxXrXaHZlgWffJAnQn8Fv
sk4H4Ea0/WjIO8ifNl6SfybYK/5oIAIgUi2Nk8KE1m8gnJUPMXSYWsAeYkR6ybc3C9yJj6NzwSUW
CqJJugNELmiv6J9VPA9PaGQ64c+3pm+cpyDNDHQ1vY1qvj3nEgqx5n0Y39nCsQImiPV/Sg9yh2Bk
HQ4ZMwhLI+nFwK0OZ/zkh4odiDsOBE3M+kMnlZ5BJmXK2t+A59T7AvwpyVEVogCrqtbogq7EyP2R
/KoZIKfyE5vXtjndlUyZjAiNgpjTc1VakSJb07v4Jnou0T2uuBBVLBE8e5fRknL4orW7nwiNGR3A
FjSKy2+CMPD486jawdbxAbwfChXyeCLhOttQScZ5/UuJXKibAEIiWMO0zwi6h0787UrxLIJuioc4
nULkOdY/JKeviefRwjlnZY4q5i8h1F/oMT/HYeWGqrroYovXKcnUxbMWZD+jaopXwpKIKwV/u7gL
ICCVqIDSf8Gom4lbOw1ZcRZKLSyvneRHTfAaqVZivhe1m/wTbLuvizyo5v0fH7nNqAzAgtH7oirl
O09aUTNKpDm720Ay5sPItAEz0pFiZQIRDfWGCHCuuGaXZG0xuyl8ShQxJz/9BEeMVCPhZnOaNv8X
bNe0t7omyZMkSFNPR4U3evzcLjcuK7VEZZdMkX6tka5B3C4bRACtFyOeQCt79Xrriwjp3eDw8Yxl
i4ZnF2nnIzXhArnO3TFIuhZXD15yn9vVT16wMxV0rkzQ2P+1+g1u7aeDNwRT2xaUvdsFLGr61RsA
krrBB/uXlnDPWrMSIoSOLSTC16X9VfDFq7XtK2uA0BmKK5FJeWOI1ArralXwFlj8KdxJ0L25ro4p
IZhykME1I9BoKS3TjfbTDmfX1WVooDH5YBhsp3fnJjyZm0vZ9V7nsz7eC/en9E7r62uh+MrQsnxQ
HEOfnD5I5vsCo4FmTwA6lhGbUO5+59ZQ6busQ3Da/4/gKfWPi7Rx+7Y3AL2HiXlvnKxN32bezNjp
dYjFYXVPdjaFpz0GrP3APSdp3La8UFzXbVhLuwuv0mDPBPScpRdDo2G0X7gso054AFVY6n9p8tdd
5zYfJhmIvj/NqUn/wjbA1Ptn5IjIafceDKqCWE+o9brGUJBbmYQvsYtD4pa83lH8uoKqIULDiSXg
6yxJwmM59viy5qkaOJYrzsWg3wlO+PCkAvqg5HpLKFZUsQIYlmnRFiU2siCw5xh8Lv91KTWQE26H
JygYAC9Di3Fuj9L52dCNMgbtOCerVWI4RqUpIFnC+F3fY0d0urDChvfCB4v5ZfmHK7UQWuUl5DRA
Ncjw2/e3pwmVbyls0YUVrzdizPbhlKDJX7xzvC4K10nrAjnG++RxySdAOFZXubgPzTr62JQtSXmW
IIqO1vKeYP7Zb22j7YH/bXfT/F5cufY3ai23D7tEwC0XTRPsjTnkyjrFZDKy7H4jtCHGqFDihiKn
lzcjRj0nxDEydN0T3XZVLw1ckchidFI80LIRUz5/80zPxLB32RudBu90cckCuB1n8lnmLPij/zE+
aucm3Kwj0LLI/ESxyT4RR0eJrEyPbq9hWnKrwAbHzhPaF+fffQmkrsUci95u/+x5LJP6G4/zY5ZU
42fBuiz8uxbweZF5KyivG516l+EjFp1oi1B96wKupMTLWNO5UC4Axpffk4EKZEEns0gYRwpJIJRE
k8wuG63EMGWNSCTG/RO5z9NxoZktD7g60co/R42CBfts1WLyi+ow7jHsNlPv2xDWQd26f9hJIG0e
TVk9W8+8PqEnJMnsgKAfDu8qnlNGOsOHkqE+0aqrtum7PjdweTcx62yaHJffKPA9+xi5XkwSw90Q
gvLoWjJpXh3ptwi4hIt+bp5SLcz1MgCE3s+B9GMkZp6eji4CZRJ4Y8HsDzXziQLOBcJQbavNtaQc
TKFoacbgnSmdbaLo6XIalSOZIEQbh87hhxV6K+UWL1flpVWVAsJlAajEXmi7Y1H97PKK4PzSN+fr
yJifROBUNzCVFwmnra+Zipb4E5Lcxyic9aLP4yppTbb/i3T4qOrnXLKwuSrhJXSCcTyIRNfCOFlv
XN7teOJs72V0dh9L2Cz+VbtkRX0l6NYasCjX5gO0C9MmgYx+KYUX4dFrpHbbUVI/aeFPSRXeqpDp
Bj9ql3XKb0y+qM3C+ISe74cIUmyZPAsxtspP4l5ZqJ+0B83nubj14d/NJVghYmn7MX91fvjVASmN
lHcGwVvjoBHip3wjmlEjH6SoXc9kZSi06Y86jAP1G1cetsxKKdscQvvIUgoMDbTIh6hwcJVeWzdL
FeU4QV/2aGp2kibI09y/XFAZ2mNG6KrztPsjXWq3ds8FwxjebgVCba+YBkr11MEoN8SQGoQunHoR
wD0amqgzGzavEsdYCJ8t2cCLE6pF55bmrf4hm3BUaEi+H93IC5sJ2FrXcBTJB34BRd3BWFA4sQn8
EHoI6sWL/HNvZS+gmk9tJxOpZdOlBmBvL7QUxs9O7HcdiJgqyWSO2WiYWifPywrmOTezB/3RbwAO
kCUo352IbRcj3TrkIOubd3/438v4D7Ft3DhuapnoCgB3AiCh5BOxLotQ9OLv93+EDKzj/zShPRlD
D59DjxgDs4Iu+g15WT/OKtcR3zlU4vfTWVU8Pr0Rrd9q336s3AfGKPsXXriQ6NZ9n9r5uEGts4dA
CYsfvUEE6TTkT7HDGNd88HhGc9mYTrvIYqnxNJ2Dfxdy3D6py5NGKwO6Z/oHkj6jB0bWGX/iwhbN
OZ8Kc2Y/JJdWFNMlOGHs+2mgWXt6E5z02EvayLNFqqXbzAWxSJ4gVWUkRW1w4X6kYXnUfn/uK9T8
fyhoOAFAK7KnWzDARKpQjPRv4cyZKWEU8ND2VGBYHHhelKiwkDMuKr8qCOHoiTY9LsSSTJ0y3Om5
zPbN4R4hd7Ki1teS5VRo9DgtjemIkmKBmt9AVyH8O7supJ4F7fWQI9zIGnoUPi2/DYYul6u+5iWT
Nnlokkjfdc4WCCICsJu4zYJ/8oFwpYBTtAunbPpcyXF/jj8BGbtrurRFhOc9OHpTS5riE7CjTD41
SMNvyBkzXhdBL7s9fFTUr2SwP/m/pdiYt1Y8+cPm/1EIyP5d5UbuPMKsZl3LDwWXCMnKi/h3PD2z
CGJbKmdAx1uch5biRyNx6w3CCPCangeKeYwHKLHU3QjsWtCBfoAkwR+YArHSuJu8Xvtd34qhtsiL
s3yC9fez7iMXGfqasfXLzwl/T1pnQLZ2q5XYcSmbjHxP/9A27xwKycajveYOqzAvM3CRfiZE8eY6
nI0NQlJ53WwU2oTg26Z6QRQe3XHyzBwou5E1nBjHU2cxdKlVp9DtJEqKHiY19jXJ15FW+qthh9G3
7MbDqD0hJC4kwh8UFZkjzWYM6JzvLGwdsQBfme8bOryRIVZ67tt4bFVVLM9FXD4pW0GJ6ORp8Tdi
4nJ6S3aD+mYkO9ofUAzhp1lc820p3nSEDgqvhWKK+V8arvQArAOVqlMsfcrxjTAftF+gupUsk4Uu
x+TuA+NA2OPMbOvrKHF/9q/bRDZFWAK1pqkR/ayn+Hr9aqdjxKLKLgWR5GJILTb6RvYPQ7C4/P9u
ORGmHF3yzCa8y0jOFH93CrTEfxSjBF0EiTlKkF8k/2JU0TKPm5zvg6slp2L/EEy0ydkfd2uVivJM
qWFaC71OR8sCvnHywV9Rqc/3Z5M2hIVDYOyota7W0D+EpXlGCDVDQTpNeGWmOLMqNfWr9mWdkNpv
GYuGydcdMKsPCIlXc1LGOaspNv21aHliav8RjakyVwcswmUhf4ZBHyByl3pyxgpm9fvfdXUC4KzO
tu4VTi7sGKg3DdJhVLw6k/2u2icZUQJBG06UWsOYro6lLEAa68zHBoXCTBDX1LdBbB0EpvjZGyiV
uRE+GXFmHrA/q8FCObD20V/4gXKXk96VrBgMlOCPfO4TJLu2BNdhasrxouG2R9Tf4ha3DpYB5Wuc
u0SGgldtDs6NlsmbqCFk10eYfWxWmYr7FmwhHlxzl9F8T97rG2QylnqUtYMDx5M9tA9+oLYxWA8y
A61+YQeWWM79SjgKWslaAG554is4whtWWKHUsHr1n7NYjd26Xge/QVwVvSQh2RwbfymuNEN/70nO
f5l1YSAhk1y/G3FFQ3eccWGONkdgA9dS9HZQR7SD++bYaL7Y31aVlOxtswK1jcHpFCTFWeChLhpk
DhoqBem38sM9At8UHDlWF7FnNAdhktmFUDxRIqNrYrFlhR5Ay5crXOKjDrTyb8zQYmAalRw+P3dt
9fkWxL2T6HAMYBjUAvwxPOx3FXGcW7dkxacjYVhZ47bVwupVqkgXiYkIDfmm9tjzFNPCQnIDtgPR
22s3BLR4rzfdd1W6ClCfGZ33+Lcvtdd9Ki+ToBL4StuX9+m9nY0eq/rislQWncvUWRVJfHsBK+JW
b3dfPRlF4ThV5RejfaAqeMycTNOLFrA8X+xl3fZkznaeVNrgkR4kwoDX2c2Y4oUWRxg5an9f6XME
h5UtbZe1NWmkPyew4JFdfnNc+OItFmUqcl4XQxzLtu/yeQzsZyPgKfKbrZliJk7r5SqcKVjI+1/u
BssgQeOwTpFRPsa2skTlRCvfxpFwBXYQOkncuApZXm3H9ImD8i99TkdhAZ8NWgiMGx7rV2J3CCI5
HsIp3c31R1wqeIc8490LHA9lTaqll7qbFAsnlPCl3H4sik7s8zflMICkU+efqDw7eZ8f6rqVVVmh
MVUYBnN97fby00WKxxnfX/5ZjKDrN6aiFvfS8tCxuEgaYRk9kmkIXugVN6NzveA3HqSOhYMhKGKe
JFqOwIO7XaEuFPVFmRdwDreq6VIIsQvspti8FGzLp515iW3yBgfqUPz9yQyIEyzKSjfIuGcnc+Nl
53FjdgfO8sHjhl27ZrqtLlZXmHNt1QTIbla6eWi4A+ANmMq1Y82B1nJa/3DKWjO8O8ikeS55lE9/
rBW33a5rSwc91N8sK9MH9ltCWqCHzi9hh4nLPXYs0p63Ba1BX2BTEdHAMiV/ok7Gk3mE/xrbysXB
OsHxm/PTXLq1Oruj9e0Flq22LadcL1O/ORC4JSBOiiuM9gr4TqV/gDQtqKIIrYkM54hiOOsqqolt
2A0D6WDStP4Izq+kNwgo0WAOXA+JYOGHTHHRtkjSjMynoG6G7DtSNojNuPwXQEGyf2gtzfNWlyT2
XjOd44j92KD+xypnrDyiuuF5/HDY/EZqe/gEX7eB0n+d8POCNdlF0wY1m7sTcWRKbBWi+C4uj5nC
B0jFvqs+NEuIq0GN2tFo+Ec5OXuEP6EMYQGl1ODp7STVcqPqpma0n1YREle+zk8moNQW89cr0XwL
fAAaYcVNtR8pt2SOPnBu1ud25wfn1rf7gqp26RjKDz3HUT95C5RC584NThsfxQKdq5N1R+TRBfbA
LnMrcp9/gs2ZbcUq1Csl0IU5KbrWEzyG2Jkhrv1u0ZtToppSSSL0i8x5a5XjjkFQH6v5b2FdX7IJ
vboF3oVcuM4hjOKvru6TxveJKkRXAKn3T85gpZ6tqn9lWwIB3/VBbRBTulbAycK2aXgR41wbOmXg
QNMq39AVJRBU+wOnxXZr7+37NKgMNnKWvvc09ArGGJLv+Z8L4TP45esWft8psoczuZxBQjOBePHz
HnfM9/zkXAix763TqxsfjxCJJO/wC44vt7vQdUsZyVRYVoOgvnWad7bYV0tAORl3NjzUYDu/7Obu
WqBkovNas0ezzlTAzKuzlo5uxBonTn05kRcFsil3TfNLmAyO1pvn7UxNpbytHH9aAgi8kx5iyHjI
icVFxq6wt3jZ68/S36AKm2Naq1kZva+2TmU9hiSPQVVKpE2EnC2j51C+g2m9/apvF9lg1PQG/Omn
0IjWfrKAvR5i1MY4FRtksBpFRmm6vTYYcgXQohY4UYylbtw4v0ehzx2zWObmMXQkKwMRPi4tmoh+
q3pjDIws47VK8TWzDXuBY0GVQ0cty4xAUtzKd+NMYMqlzZxnAFEpu6jhaQq6w1LzfqWZnbl+1Ext
1NJJzOnKdPXbHlTD1DVoKPiK/9lF6jsvBmrphNXTr5s5JCLeqR0pENXQjtbZJZg8NpqEJzpiEEPC
MgiDFdPFY617TuVFl7NyyUehhnBo58hv4nU58I05y3YgWZ9eB7j2Pa2tlFhqo2b0bV3Wu38WzmBg
wJMu3+aKl2WkH9KRNkmt3gjDQSDG05gGaXl/6YKShvF8dfZ1sKiXXldXHqPH3Mk9TovBoyQdLGFK
HW4NxnjJDBIar/Qq9w8/gIlFAfiM1EG3D7XH7YhK+8OVXS0edGuuj2qsHaOAdp4HX4qWum5KqRvF
vYpmT1YLBHzUJ+tE/5oZUkk9cVP1/KO44APxW6dKTmbGj5HlMhR/DdeK+cRGlMAjFo9BcDdL2k+j
OaREG08x4yWQ/7Z94F/jlNUQeZiO+ccDNPVjmqNk+k3t5xtANrk8PesyWIs6/kziY/mEC9ozlx4a
J23X7ajw1HBSchZGBr0STwWoLZSz8yY3wfaqmO6j3ofzFeT778MV5efqiZKdOJqNjvQjOqEBpS1O
gRPjdQN14G+vee50c7cOmWF8rpUErCEJbtRotTUsZ1zewOs6b1ALGrpT8dZ7zi/2SWKsmn+AvAKN
4PzVI+0fJEqfYc9vuaTwPNb6o6rFvfbpGw5MOBCYUZ9XqywtiyzSlRNbECgj59v3X8GN2OOZmElV
V87n29XnqTND0Rb3EprztQeoMLMHFSKVPMkPzbdyOPMsDU2rr+tkD/sHPQpIDze3cIoQiT9PRrQF
35sD0nRiWngPOc4VxIJE9h2ITen07Rn1PQy31vcOVn0HCYBHpZa2fiJ4lU1YRVzyVZfuGDldE0tA
skEDnkOA3QtsbofmeNZIG8H5jD4vdXW+tk1Gb7facgTCLt2aL5K/zXEQ348EZTSFTgIxNeSi0JJS
6jGYW4/Ye3FLPeUuy+ZrFF8+ZAy8lp5WaiFO6xYQrRrR2K1Qu6faPBUD+RQSF9rtKHwSwLZyFOYW
A+VYQUUyNadoSx8tx9Ll4d9XBdOoDxHEgHd4nQ+N/GnZpOlxmoTK2U4z2TRFQdUJpFLAje2x7Zhy
/tyEc0VZ721GQ7VdIjevzlyYrZla2Mol3eELzY1wJrFGa/22gh5HN6pPvoMAUg/qLk46b5E6CrIE
VLetU/uLZZf1MMviF+iyKXWtJol1MUbHOVUGFrUb64eYirmuP5WylzxyRZs9lL7y1Uj9xeBJW13F
CnSMn9Z9szQVCtYNzdvgJN0h9C2zF60bGErQO9IVjG6ZajTfZttjNP3gB/dUunKBtjPwd6CSQDF5
9MRc9x2CAQkWj9oiFFZLLykegY2erht7tHrduDP6OUvsxynJNUOlhJb74k4Zha5aTl7appcs527a
IpIy+01oKZ7bpLDDvRDpT8aooRVVg/63KQWJpg/ZjfE+i2dpeaOlVHUcXr3WFkQuT62enDNFs6kr
m7TpDBlr4U3x0IRGnE2zburjcZJ5w/MLyt9d+Pc=
`protect end_protected
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_min_area_pkg.vhd | 27 | 20310 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XHCjR0nUvMBgM1clzO9mSr8YEx9qhDtoXdaphp+J1JlsC9lSFtsV1/eTy/jaNsyBimTHmHB4CLra
VqfCr1I3uA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ebEJK3bmI2t+WsBGbhWIt2XB+F+QW56z7Xo7/vGiNjxPbaq48cjkY2KIIwhppzuYFDUdRDxp9Iva
RlWujqNPGUrxJ1F5Pa0zN6dEMkhKPrWWxZpAFto5e5cB6DM88tJus2O1hLy9PRfKWKn8u2fBqIhs
zvXwIEX3Rz7kU3GI+Wg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oZLpbXnbPC0EfiuqzOyPqmT4FdlvB20VtdO3P1fZux3uAWynrmGeEUk81RKG8dIjeHdSPnugG+6c
jKeGIJZZbH6MRScqnz2QBuupQkeYWE+dCLOq6/P5LV7F5481QZZ3bx28u0vHGlRYhLiMW8KnJ8Xs
JLZ2IP5YULE4cFTCCV3WAM+IdulnwSP3p8oyM0uQffeAJkOTKR9dl0lslKFBplzuTZ7EnXSmYYXA
x4iYEfwbmUZvdla6dJXCCjtKnKqL5vI4L1nHOaep2f0bW/K78py/TJVV+vsvE7+Fi81aNwDFBE3d
V+IzN5VNKD8wM+OpLL9AD+xsAbJ5JCLz2sqFWg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YaruXmtmo/2yQOaZLp6UQc/TTak5F2uchK3/c4SsORqNnQQMwFmjpORZM2++MrgqzkHH5KHH+0SE
PP+ha/JFKIuufLvaAIVDYgMKSDFaxIIvD/8aIAhw7TgTE10+TXTruuPFiw9U65VaBnD/nSEGkP+6
2M+aqBTG/2UNkEELi0I=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SWJkuOmi8gVneMbAS0rfK4gI+24fr/0jQv+b5sUWbuvKyCco423EdTDwW7ROH+M/MaGP2QTzNz1B
sh1p0mypy290KKaGmvaZfJU7NOmSNGAsA7Eq3zQGPHDW45/4GXnri5xLLNnybO7r0Ndv34V/fxH0
f64f4NRroCys3EmRDJeCh0D+WDA98E/EHP+OtfmYOGeO+CDzxS2m3FIcGKs7pkeR5dgt+S6srqxz
96yb5/UwV2cpnC9ULYZHZVQa9WYc/XM+Dk71YUYpaEFd7osc9zT0azChQq+XAkJsqukhufRg3dQK
YVPZotO8blEly5GYlPFGnRW13eEh9DRYsb0pSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_status_flags_as.vhd | 19 | 15251 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iEtOB5S3Q/0nxxj3yhZWc1e9CYVNx9kxE38Uvw9Q5GTpbeWA/PaP7MHi1hZ25jWcWTCQq2m6lqXe
j4/ejpW9UA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xuau91ineWkILAnXNctj7ghjv8v9lVNvmGeO8/qKPRA098IIoEEWbPkQsDw9y8PN0Kc6j93b9RA3
24AkaGw7vS3twv084InDNHpEnlN63djkx5ZcyOiUohe4xecSmu6QA9TFBRDs0Woq2jQD5/qd0oJL
/BaRHEN9wihMkCnRmi4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DukDx60lt5tRoBa9fYOjxQXcMx39PTzSzi3mfBKPNtGRH42SBSoh47iSUDQLozXc9RVtQC3PW07a
TdEl+U9LI0QpSHNQLVojqhahZCfYOg99dtV1mWPojzxtpV99k2zYX2J3PXN/YbIzV8ZxTpLcq1Jp
CAIcrPJ/34KYVzvzXFRsvxEfk+CxS8lIGg/nVz9ZI/SFfi31TG5Gc9nsiydQV6NxDLfMTIZ9geQt
WjMt/ZdcVbixfIDM01Blr6PmvrTG06LX8uxL31TQuw5SZfsZBAh/PoXSzsMleljAYXIhMhdSUOnh
qfkHi0I/YHOxbZGvwoECi6yzPk1O8e4p+mbfJg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JfFouWl9C67kV59ngW+xbX0i0eu6h0roaptqFtm5oV4WYkqMJEDqBwmHay9e7sJ9CO+K40RDFIJe
/eeImbz2XS0Q6PwgmMgPAHRoOg4fHkGIAEugmb7hj+mXvk7iQo09CaB7HocKsvGcx4nu5U5a1pLQ
6UjYczksNjCCieDaJQc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RNy6OyrkxjF2nMK7NTVKf+mkYRQZVhnkvdhxFI69h+pJImlNAm3GMG9cNkr/rYPBFr0KpngtSqYa
zub6qdQpsLCoZ7qDFdEc1+wws1xQHHeB7VAyyByyPc8Chu9XZcfd6cEAYC55a9lNvtmKoAjppEfF
hj3OtTTwZQDicoWmteMIzi2n5YcjhwpDSzFHpmKq+NQje013CABovpP0/TVMHv74ZpkyX30HW4tb
0iH2SzLvUD7U/AR0ul2kht6wcMaLE9E6bQipSYn1DEnfUpMfQgGpPJCWjykHayljMFWfI9ucuNXK
1XTo7EI77uCstdWwv1uP3ZSQ8pFNDP7NXG8mpg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_sys_reset_v5_0/hdl/src/vhdl/lpf.vhd | 7 | 15991 | -------------------------------------------------------------------------------
-- lpf - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lpf.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/08/01 -- First Release
--
-- KC 02/25/2002 -- Added Dcm_locked as an input
-- -- Added Power on reset srl_time_out
--
-- KC 08/26/2003 -- Added attribute statements for power on
-- reset SRL
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting
-- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting
-- C_EXT_RESET_HIGH -- External Reset Active High or Active Low
-- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low
--
-- Definition of Ports:
-- Slowest_sync_clk -- Clock
-- External_System_Reset -- External Reset Input
-- Auxiliary_System_Reset -- Auxiliary Reset Input
-- Dcm_locked -- DCM Locked, hold system in reset until 1
-- Lpf_reset -- Low Pass Filtered Output
--
-------------------------------------------------------------------------------
entity lpf is
generic(
C_EXT_RST_WIDTH : Integer;
C_AUX_RST_WIDTH : Integer;
C_EXT_RESET_HIGH : std_logic;
C_AUX_RESET_HIGH : std_logic
);
port(
MB_Debug_Sys_Rst : in std_logic;
Dcm_locked : in std_logic;
External_System_Reset : in std_logic;
Auxiliary_System_Reset : in std_logic;
Slowest_Sync_Clk : in std_logic;
Lpf_reset : out std_logic
);
end lpf;
architecture imp of lpf is
component SRL16 is
-- synthesis translate_off
generic (
INIT : bit_vector );
-- synthesis translate_on
port (D : in std_logic;
CLK : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16;
constant CLEAR : std_logic := '0';
signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset
signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset
signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal exr_and : std_logic := '0'; -- varible input width "and" gate
signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal asr_and : std_logic := '0'; -- varible input width "and" gate
signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal lpf_int : std_logic := '0'; -- internal Lpf_reset
signal lpf_exr : std_logic := '0';
signal lpf_asr : std_logic := '0';
signal srl_time_out : std_logic;
attribute INIT : string;
attribute INIT of POR_SRL_I: label is "FFFF";
begin
Lpf_reset <= lpf_int;
-------------------------------------------------------------------------------
-- Power On Reset Generation
-------------------------------------------------------------------------------
-- This generates a reset for the first 16 clocks after a power up
-------------------------------------------------------------------------------
POR_SRL_I: SRL16
-- synthesis translate_off
generic map (
INIT => X"FFFF")
-- synthesis translate_on
port map (
D => '0',
CLK => Slowest_sync_clk,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
Q => srl_time_out);
-------------------------------------------------------------------------------
-- LPF_OUTPUT_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset pulse and the count enable to core reset counter
--
--ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate
--begin
LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked;
end if;
end process LPF_OUTPUT_PROCESS;
--end generate ACTIVE_HIGH_LPF_EXT;
--ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate
--begin
--LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- lpf_int <= not (lpf_exr or
-- lpf_asr or
-- srl_time_out)or
-- not Dcm_locked;
-- end if;
-- end process;
--end generate ACTIVE_LOW_LPF_EXT;
EXR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if exr_and = '1' then
lpf_exr <= '1';
elsif (exr_and = '0' and exr_nand = '1') then
lpf_exr <= '0';
end if;
end if;
end process EXR_OUTPUT_PROCESS;
ASR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if asr_and = '1' then
lpf_asr <= '1';
elsif (asr_and = '0' and asr_nand = '1') then
lpf_asr <= '0';
end if;
end if;
end process ASR_OUTPUT_PROCESS;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate
begin
-----------------------------------
ACT_HI_EXT:process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event and Slowest_Sync_Clk = '1') then
exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst;
exr_lpf(0) <= exr_d1;
end if;
end process;
-----------------------------------
end generate ACTIVE_HIGH_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate
begin
-------------------------------------
ACT_LO_EXT: process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst;
exr_lpf(0) <= exr_d1;
end if;
end process;
-------------------------------------
end generate ACTIVE_LOW_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate
begin
-------------------------------------
ACT_HI_AUX: process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_d1 <= Auxiliary_System_Reset;
asr_lpf(0) <= asr_d1;
end if;
end process;
-------------------------------------
end generate ACTIVE_HIGH_AUX;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate
begin
-------------------------------------
ACT_LO_AUX: process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_d1 <= not Auxiliary_System_Reset;
asr_lpf(0) <= asr_d1;
end if;
end process;
-------------------------------------
end generate ACTIVE_LOW_AUX;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate
begin
----------------------------------------
EXT_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_lpf(i) <= exr_lpf(i-1);
end if;
end process;
----------------------------------------
end generate EXT_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
EXT_LPF_AND : process (exr_lpf)
Variable loop_and : std_logic;
Variable loop_nand : std_logic;
Begin
loop_and := '1';
loop_nand := '1';
for j in 0 to C_EXT_RST_WIDTH - 1 loop
loop_and := loop_and and exr_lpf(j);
loop_nand := loop_nand and not exr_lpf(j);
End loop;
exr_and <= loop_and;
exr_nand <= loop_nand;
end process;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate
begin
----------------------------------------
AUX_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_lpf(k) <= asr_lpf(k-1);
end if;
end process;
----------------------------------------
end generate AUX_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
AUX_LPF_AND : process (asr_lpf)
Variable aux_loop_and : std_logic;
Variable aux_loop_nand : std_logic;
Begin
aux_loop_and := '1';
aux_loop_nand := '1';
for m in 0 to C_AUX_RST_WIDTH - 1 loop
aux_loop_and := aux_loop_and and asr_lpf(m);
aux_loop_nand := aux_loop_nand and not asr_lpf(m);
End loop;
asr_and <= aux_loop_and;
asr_nand <= aux_loop_nand;
end process;
end imp;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/direct_path_cntr_ai.vhd | 15 | 11358 |
--ENTITY_TAG
-------------------------------------------------------------------------------
-- $Id: direct_path_cntr_ai.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- direct_path_cntr_ai.vhd - entity/arch
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: direct_path_cntr_ai.vhd
--
-- Description: Direct-path counter with arbitrary increment.
--
-- This is an up counter with a combinatorial direct pass-
-- through mode. The passed-through value also serves as
-- the initial "loaded" value when the counter switches to
-- count mode. In pass-though mode, Dout <= Din.
--
-- The mode is controlled by two signals, Load_n and Cnt_en.
-- The counter is in direct pass-through mode any time Load_n
-- is true (low) and up to the first cycle where Cnt_en is
-- true after Load_n goes false. When Load_n = '1' (load
-- disabled) Dout increments by Delta each time Cnt_en is
-- true at the positive edge of Clk.
--
-- The implementation has a one-LUT delay from Din to Dout
-- (via the XORCY) in direct pass-through mode and the same
-- delay plus carry-chain propogation in count mode. There
-- is an additional LUT delay (added to the Din to Dout
-- delay) from the Load_n input or from the clock edge that
-- puts the counter into count mode.
-------------------------------------------------------------------------------
-- Structure: direct_path_cntr_ai.vhd
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 12/02/2003 -- First version derived from
-- direct_path_cntr.vhd
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity direct_path_cntr_ai is
generic (
C_WIDTH : natural := 8
);
port (
Clk : in std_logic;
Din : in std_logic_vector(0 to C_WIDTH-1);
Dout : out std_logic_vector(0 to C_WIDTH-1);
Load_n : in std_logic;
Cnt_en : in std_logic;
Delta : in std_logic_vector(0 to C_WIDTH-1)
);
end direct_path_cntr_ai;
library unisim;
use unisim.vcomponents.all;
architecture imp of direct_path_cntr_ai is
signal q_i,
lut_out,
q_i_ns : std_logic_vector(0 to C_WIDTH-1);
signal cry : std_logic_vector(0 to C_WIDTH);
signal sel_cntr : std_logic;
signal sel_cntr_and_Load_n : std_logic; -- AND of sel_cntr and Load_n
signal mdelta : std_logic_vector(0 to Delta'length-1); -- "My delta"
-- Delta, adjusted to assure ascending range referenced from zero.
begin
mdelta <= Delta;
----------------------------------------------------------------------------
-- Load_n takes effect combinatorially, causing Dout to be directly driven
-- from Din when Load_n is asserted. When Load_n is not asserted, then the
-- first clocking of asserted Cnt_en switches modes so that Dout is driven
-- by the register value plus one. The value of Dout is clocked into the
-- register with each Cnt_en, thus realizing the counting behavior.
-- The combinatorial override of Load_n takes place in the LUT and covers
-- the cycle that it takes for the mode to recover (since the mode FF has a
-- synchronous reset). Use of an asynchronous reset is rejected as an
-- option to avoid the requirement that Load_n be generated glitch free.
----------------------------------------------------------------------------
I_MODE_SELECTION : process(Clk)
begin
if Clk'event and Clk='1' then
if Load_n = '0' then
sel_cntr <= '0';
elsif Cnt_en = '1' then
sel_cntr <= '1';
end if;
end if;
end process;
sel_cntr_and_Load_n <= sel_cntr and Load_n;
Dout <= q_i_ns;
cry(C_WIDTH) <= '0';
PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate
begin
------------------------------------------------------------------------
-- LUT output generation and MUXCY carry handling.
------------------------------------------------------------------------
DELTA_LUT_GEN: if j >= C_WIDTH-mdelta'length generate
signal gen_cry: std_logic;
begin
lut_out(j) <= q_i(j) xor mdelta(mdelta'length + j - C_WIDTH)
when (sel_cntr_and_Load_n)='1'
else
Din(j);
I_MULT_AND : MULT_AND
port map (
LO => gen_cry,
I1 => sel_cntr_and_Load_n,
I0 => q_i(j)
);
MUXCY_i1: MUXCY
port map (
DI => gen_cry,
CI => cry(j+1),
S => lut_out(j),
O => cry(j)
);
end generate;
--
--
NON_DELTA_LUT_GEN : if j < C_WIDTH-mdelta'length generate
begin
lut_out(j) <= q_i(j) when (sel_cntr_and_Load_n)='1' else Din(j);
MUXCY_i1: MUXCY
port map (
DI => '0',
CI => cry(j+1),
S => lut_out(j),
O => cry(j)
);
end generate;
------------------------------------------------------------------------
-- Apply the effect of carry in.
------------------------------------------------------------------------
XORCY_i1: XORCY
port map (
LI => lut_out(j),
CI => cry(j+1),
O => q_i_ns(j)
);
FDE_i1: FDE
port map (
Q => q_i(j),
C => Clk,
CE => Cnt_en,
D => q_i_ns(j)
);
end generate;
end imp;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/direct_path_cntr_ai.vhd | 15 | 11358 |
--ENTITY_TAG
-------------------------------------------------------------------------------
-- $Id: direct_path_cntr_ai.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- direct_path_cntr_ai.vhd - entity/arch
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: direct_path_cntr_ai.vhd
--
-- Description: Direct-path counter with arbitrary increment.
--
-- This is an up counter with a combinatorial direct pass-
-- through mode. The passed-through value also serves as
-- the initial "loaded" value when the counter switches to
-- count mode. In pass-though mode, Dout <= Din.
--
-- The mode is controlled by two signals, Load_n and Cnt_en.
-- The counter is in direct pass-through mode any time Load_n
-- is true (low) and up to the first cycle where Cnt_en is
-- true after Load_n goes false. When Load_n = '1' (load
-- disabled) Dout increments by Delta each time Cnt_en is
-- true at the positive edge of Clk.
--
-- The implementation has a one-LUT delay from Din to Dout
-- (via the XORCY) in direct pass-through mode and the same
-- delay plus carry-chain propogation in count mode. There
-- is an additional LUT delay (added to the Din to Dout
-- delay) from the Load_n input or from the clock edge that
-- puts the counter into count mode.
-------------------------------------------------------------------------------
-- Structure: direct_path_cntr_ai.vhd
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 12/02/2003 -- First version derived from
-- direct_path_cntr.vhd
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity direct_path_cntr_ai is
generic (
C_WIDTH : natural := 8
);
port (
Clk : in std_logic;
Din : in std_logic_vector(0 to C_WIDTH-1);
Dout : out std_logic_vector(0 to C_WIDTH-1);
Load_n : in std_logic;
Cnt_en : in std_logic;
Delta : in std_logic_vector(0 to C_WIDTH-1)
);
end direct_path_cntr_ai;
library unisim;
use unisim.vcomponents.all;
architecture imp of direct_path_cntr_ai is
signal q_i,
lut_out,
q_i_ns : std_logic_vector(0 to C_WIDTH-1);
signal cry : std_logic_vector(0 to C_WIDTH);
signal sel_cntr : std_logic;
signal sel_cntr_and_Load_n : std_logic; -- AND of sel_cntr and Load_n
signal mdelta : std_logic_vector(0 to Delta'length-1); -- "My delta"
-- Delta, adjusted to assure ascending range referenced from zero.
begin
mdelta <= Delta;
----------------------------------------------------------------------------
-- Load_n takes effect combinatorially, causing Dout to be directly driven
-- from Din when Load_n is asserted. When Load_n is not asserted, then the
-- first clocking of asserted Cnt_en switches modes so that Dout is driven
-- by the register value plus one. The value of Dout is clocked into the
-- register with each Cnt_en, thus realizing the counting behavior.
-- The combinatorial override of Load_n takes place in the LUT and covers
-- the cycle that it takes for the mode to recover (since the mode FF has a
-- synchronous reset). Use of an asynchronous reset is rejected as an
-- option to avoid the requirement that Load_n be generated glitch free.
----------------------------------------------------------------------------
I_MODE_SELECTION : process(Clk)
begin
if Clk'event and Clk='1' then
if Load_n = '0' then
sel_cntr <= '0';
elsif Cnt_en = '1' then
sel_cntr <= '1';
end if;
end if;
end process;
sel_cntr_and_Load_n <= sel_cntr and Load_n;
Dout <= q_i_ns;
cry(C_WIDTH) <= '0';
PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate
begin
------------------------------------------------------------------------
-- LUT output generation and MUXCY carry handling.
------------------------------------------------------------------------
DELTA_LUT_GEN: if j >= C_WIDTH-mdelta'length generate
signal gen_cry: std_logic;
begin
lut_out(j) <= q_i(j) xor mdelta(mdelta'length + j - C_WIDTH)
when (sel_cntr_and_Load_n)='1'
else
Din(j);
I_MULT_AND : MULT_AND
port map (
LO => gen_cry,
I1 => sel_cntr_and_Load_n,
I0 => q_i(j)
);
MUXCY_i1: MUXCY
port map (
DI => gen_cry,
CI => cry(j+1),
S => lut_out(j),
O => cry(j)
);
end generate;
--
--
NON_DELTA_LUT_GEN : if j < C_WIDTH-mdelta'length generate
begin
lut_out(j) <= q_i(j) when (sel_cntr_and_Load_n)='1' else Din(j);
MUXCY_i1: MUXCY
port map (
DI => '0',
CI => cry(j+1),
S => lut_out(j),
O => cry(j)
);
end generate;
------------------------------------------------------------------------
-- Apply the effect of carry in.
------------------------------------------------------------------------
XORCY_i1: XORCY
port map (
LI => lut_out(j),
CI => cry(j+1),
O => q_i_ns(j)
);
FDE_i1: FDE
port map (
Q => q_i(j),
C => Clk,
CE => Cnt_en,
D => q_i_ns(j)
);
end generate;
end imp;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/mux_onehot_f.vhd | 15 | 12692 | -------------------------------------------------------------------------------
-- $Id: mux_onehot_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- mux_onehot_f - arch and entity
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: mux_onehot_f.vhd
--
-- Description: Parameterizable multiplexer with one hot select lines.
--
-- Please refer to the entity interface while reading the
-- remainder of this description.
--
-- If n is the index of the single select line of S(0 to C_NB-1)
-- that is asserted, then
--
-- Y(0 to C_DW-1) <= D(n*C_DW to n*C_DW + C_DW -1)
--
-- That is, Y selects the nth group of C_DW consecutive
-- bits of D.
--
-- Note that C_NB = 1 is handled as a special case in which
-- Y <= D, without regard to the select line, S.
--
-- The Implementation depends on the C_FAMILY parameter.
-- If the target family supports the needed primitives,
-- a carry-chain structure will be implemented. Otherwise,
-- an implementation dependent on synthesis inferral will
-- be generated.
--
-------------------------------------------------------------------------------
-- Structure:
-- mux_onehot_f
-- family_support
--------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 11/30/05 -- First version derived from mux_onehot.vhd
-- -- by BLT and ALS.
--
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
---------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Generic and Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics and Ports
--
-- C_DW: Data width of buses entering the mux. Valid range is 1 to 256.
-- C_NB: Number of data buses entering the mux. Valid range is 1 to 64.
--
-- input D -- input data bus
-- input S -- input select bus
-- output Y -- output bus
--
-- The input data is represented by a one-dimensional bus that is made up
-- of all of the data buses concatenated together. For example, a 4 to 1
-- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by:
--
-- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1,
-- Bus3Data0, Bus3Data1)
--
-- Y = (Bus0Data0, Bus0Data1) if S(0)=1 else
-- (Bus1Data0, Bus1Data1) if S(1)=1 else
-- (Bus2Data0, Bus2Data1) if S(2)=1 else
-- (Bus3Data0, Bus3Data1) if S(3)=1
--
-- Only one bit of S should be asserted at a time.
--
-------------------------------------------------------------------------------
library proc_common_v4_0;
use proc_common_v4_0.family_support.all; -- 'supported' function, etc.
--
entity mux_onehot_f is
generic( C_DW: integer := 32;
C_NB: integer := 5;
C_FAMILY : string := "virtexe");
port(
D: in std_logic_vector(0 to C_DW*C_NB-1);
S: in std_logic_vector(0 to C_NB-1);
Y: out std_logic_vector(0 to C_DW-1));
end mux_onehot_f;
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
architecture imp of mux_onehot_f is
constant NLS : natural := native_lut_size(fam_as_string => C_FAMILY,
no_lut_return_val => 2*C_NB);
function lut_val(D, S : std_logic_vector) return std_logic is
variable rn : std_logic := '0';
begin
for i in D'range loop
rn := rn or (S(i) and D(i));
end loop;
return not rn;
end;
function min(i, j : integer) return integer is
begin
if i < j then return i; else return j; end if;
end;
-----------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal Dreord: std_logic_vector(0 to C_DW*C_NB-1);
signal sel: std_logic_vector(0 to C_DW*C_NB-1);
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin
-- Reorder data buses
WA_GEN : if C_DW > 0 generate -- XST WA
REORD: process( D )
variable m,n: integer;
begin
for m in 0 to C_DW-1 loop
for n in 0 to C_NB-1 loop
Dreord( m*C_NB+n) <= D( n*C_DW+m );
end loop;
end loop;
end process REORD;
end generate;
-------------------------------------------------------------------------------
-- REPSELS_PROCESS
-------------------------------------------------------------------------------
-- The one-hot select bus contains 1-bit for each bus. To more easily
-- parameterize the carry chains and reduce loading on the select bus, these
-- signals are replicated into a bus that replicates the select bits for the
-- data width of the busses
-------------------------------------------------------------------------------
REPSELS_PROCESS : process ( S )
variable i, j : integer;
begin
-- loop through all data bits and busses
for i in 0 to C_DW-1 loop
for j in 0 to C_NB-1 loop
sel(i*C_NB+j) <= S(j);
end loop;
end loop;
end process REPSELS_PROCESS;
GEN: if C_NB > 1 generate
constant BPL : positive := NLS / 2; -- Buses per LUT is the native lut
-- size divided by two.signals per bus.
constant NUMLUTS : positive := (C_NB+(BPL-1))/BPL;
begin
DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate
signal cyout : std_logic_vector(0 to NUMLUTS);
signal lutout : std_logic_vector(0 to NUMLUTS-1);
begin
cyout(0) <= '0';
NUM_BUSES_GEN: for j in 0 to NUMLUTS - 1 generate
constant BTL : positive := min(BPL, C_NB - j*BPL);
-- Number of Buses This Lut (for last LUT this may be less than BPL)
begin
lutout(j) <= lut_val(D => Dreord(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1),
S => sel(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1)
);
MUXCY_GEN : if NUMLUTS > 1 generate
MUXCY_I : component MUXCY
port map (CI=>cyout(j),
DI=> '1',
S=>lutout(j),
O=>cyout(j+1));
end generate;
end generate;
Y(i) <= cyout(NUMLUTS) when NUMLUTS > 1 else not lutout(0); -- If just one
-- LUT, then take value from
-- lutout rather than cyout.
end generate;
end generate;
ONE_GEN: if C_NB = 1 generate
Y <= D;
end generate;
end imp;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_axi_read_fsm.vhd | 27 | 83900 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qYvaWTl2dVn1UYauUm5HneGLdmTNfKYL2CALcG7YBWzuKWoXlk0Id+l1oLffyjtPstUkcnB5XMcQ
6NZs7JK9Og==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QYtaB7bKNbwxVddRWt78CWZ0keZknIQG6IQKSIZ5COH+hNdpgy+tCPVsEHq4IVZzTG1P1o7hP4Vk
F8E4xV3B+P4d4XumR2TMQt1O3p//18K5GFLVc+tXegTNm7nDlHWB2EseJW3Comce24tPY9JdBxY3
PqZ0pdNcJu1q3elLkyk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dcPEPRyvFmW4PpA4iDmUUiTH0W6w8Tp3x24VnlLzTcuDsG/S9IG3GcyE78eNrT/x0pAgwHhrMrSY
yZo9WE5CUIc2230lFJdjwqsu1GfylgdJvImjNnSRTPzlw78/vxcWd8GQIKrHyFhACpS0FlCWX80u
ir6wyey6yythPFMR7YL9alngEab5jqlcDLLq05xFb5xa60ZtUm6H8H/kSZM2WCTQ/2EYo9aRaoyP
YNJgznw4M4JlCmjNGCsEEMbnrUH5XC2MOkUpPSJ6HpAPhZTjHtmrQy0MjGpBzDrrGJZmxlIzL7x1
7fFFHCW51Ue16QvPlxZlJr0kCC3nTtDv9f7xsw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zhiiGh6iqBtYa8uvzkWpAts7vZ/x1/EV8yeLKnAXP52susoGuPOfmWMYojIG7BJlvNdJsqMcu4aO
YgpCERsfm5E2WNcFxUppU1uIOa+cnCBSZ6N5aebRGghJrQL1tUzWpRnQ2slMJ8Q+gRbsoc3N0qtc
A+A1dAH+z+hdTGoZBRY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lbE1QAVb48OwhlUCQuKav8khO5ghQAvoWa4EGI1wknY/PAoHSz/mN+mHHLZytFcumXquM7gAj5vW
FkPYXzAy7xSUZBC0WEUc0yo4Xa33jDRDxY7cxGlzHmyb1RsXl0duhVMcX5rDmM/+KiXLbAmtS7n6
pXv5Z5tj4x3AoNn90rxrYgdqN+pxQ1GZhPZPFZggV3JHWj2LJUr0U/7aGlgZSQCcdWV2V8ktlt4l
b9BA5BfHfgn1UuvjTl44uqXII+j7cWg72Zy7D/yYZ92M5Y7nPBoBrEiv0PrxnHLMrIv8+jN76TPm
TMiyhLNg8NAb1xNexvBsDmGJWQnxf5cukp8uDw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/or_bits.vhd | 15 | 10956 | -------------------------------------------------------------------------------
-- $Id: or_bits.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- Or_bits
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_bits.vhd
--
-- Description: This file is used to OR together consecutive bits within
-- sections of a bus.
--
-------------------------------------------------------------------------------
-- Structure: Common use module
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 04/06/01 -- First version
--
-- ALS 05/18/01
-- ^^^^^^
-- Added use of carry chain muxes if number of bits is > 4
-- ~~~~~~
-- BLT 05/23/01
-- ^^^^^^
-- Removed pad_4 function, replaced with arithmetic expression
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- Unisim library contains Xilinx primitives
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_BITS -- number of bits to OR in bus section
-- C_START_BIT -- starting bit location of bits to OR
-- C_BUS_SIZE -- total size of the bus
--
-- Definition of Ports:
-- input In_Bus -- bus containing bits to be ORd
-- input Sig -- another signal not in the bus to be ORd with the
-- -- bus section
-- output Or_out -- OR result
--
-------------------------------------------------------------------------------
entity or_bits is
generic (
C_NUM_BITS : integer := 8;
C_START_BIT : integer := 0;
C_BUS_SIZE : integer := 8);
port (
In_bus : in std_logic_vector(0 to C_BUS_SIZE-1);
Sig : in std_logic;
Or_out : out std_logic
);
end or_bits;
architecture implementation of or_bits is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- Pad the number of bits to OR to the next multiple of 4
constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
-- define output of OR chain
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- Carry Chain muxes are used to implement OR of 4 bits or more
component MUXCY
port (
O : out std_logic;
CI : in std_logic;
DI : in std_logic;
S : in std_logic
);
end component;
begin
-- If the number of bits to OR is 4 or less (including Sig), a simple LUT can be used
LESSTHAN4_GEN: if C_NUM_BITS < 4 generate
-- define output of OR chain
signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0');
begin
BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate
FIRST: if i = 0 generate
or_tmp(i) <= Sig or In_bus(C_START_BIT);
end generate FIRST;
REST: if i /= 0 generate
or_tmp(i) <= or_tmp(i-1) or In_bus(C_START_BIT + i);
end generate REST;
end generate BIT_LOOP;
Or_out <= or_tmp(C_NUM_BITS-1);
end generate LESSTHAN4_GEN;
-- If the number of bits to OR is 4 or more (including Sig), then use LUTs and
-- carry chain. Pad the number of bits to the nearest multiple of 4
MORETHAN4_GEN: if C_NUM_BITS >= 4 generate
-- define output of LUTs
signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0');
-- define padded input bus
signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0');
-- define output of OR chain
signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0');
begin
-- pad input bus
in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(C_START_BIT to C_START_BIT+C_NUM_BITS-1);
OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate
lut_out(i) <= not( in_bus_pad(i*4) or
in_bus_pad(i*4+1) or
in_bus_pad(i*4+2) or
in_bus_pad(i*4+3) );
FIRST: if i = 0 generate
FIRSTMUX_I: MUXCY
port map (
O => or_tmp(i), --[out]
--CI => '0' , --[in]
CI => Sig , --[in]
DI => '1' , --[in]
S => lut_out(i) --[in]
);
end generate FIRST;
REST: if i /= 0 generate
RESTMUX_I: MUXCY
port map (
O => or_tmp(i), --[out]
CI => or_tmp(i-1), --[in]
DI => '1' , --[in]
S => lut_out(i) --[in]
);
end generate REST;
end generate OR_GENERATE;
Or_out <= or_tmp(NUM_BITS_PAD/4-1);
end generate MORETHAN4_GEN;
end implementation;
| mit |
fupolarbear/THU-Class-CO-makecomputer | src/CPU/ipcore_dir/fifo_mem/example_design/fifo_mem_exdes.vhd | 2 | 4972 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fifo_mem_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY fifo_mem_exdes IS
PORT (
--Inputs - Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END fifo_mem_exdes;
ARCHITECTURE xilinx OF fifo_mem_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT fifo_mem IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : fifo_mem
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA_buf,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/ramfifo/memory.vhd | 19 | 112775 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XZtM4bLmkglBewlWavfkobXOIMkrnElgJo+k4jE78ykb7oIZp/SGV6Fmfr/ogrusY/kHxxmgAde8
wVKEHfi+cw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qj5OXRmuDbyb7tXOe/IIP9hVzpHdYEdnGFMGPum5TPAz9WJzfNr2HnR7yYGe719tx6wYAvdRlfH7
1KYaZqML4WollrpclochLq72pgPwbtC9iEEWlamVuKdvYSw0+IzNRBHdKqTykxKbBvXaQ7+UOUjw
UnhOWIyi6vA2XCWBMhs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wc/9BtL9LkvfKqZJg7KOk8nPkSL5jxvAGfC0RV814LDUHBZcOVMBTQdouKf45+uYbzuqQuzhrFia
FyTrOU0b+Dpp/D8a4O6aOPezhZlqDF7SuDaIsbNJNkVeEPTzKN3+pib+HJ+07zD5sgOQyBLQtobI
4fQy7ggQ0o0bOrWPzlXO7kD45yraaLu2CaLqYlQzcDjqnvaWtdvg8Q6aRiloz0plB7OdNZ9a1tRM
Nl6v3ocdKRatScwi+YnBgJn5ewXMvGYuuBOXAkUmcc+AFWML9u7RnCLEmrft5oAR19N3inWP9hTR
9sdW8LGJ406SdzZiv/gZpUV5t/AFjTB8Nihgew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RuHNUBMTP+a4VfkYIP3nKug+Q6Ygohn4DcPwrCybnrM/u1NLZNct3nJM51Ftp2uYn4LtBCAEFd4j
J1ykZQnUjNHc8Om8TkpAk8Xoe4lNd9c07VFQ/PdNEPsRZobFbRhtaTn5kYtwFZszGT2+NVjW60i2
zzHWmeNAYn4vMcnLRnc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
M1UhZ+OMYDjkT/STr81dVx9PmVVG5A+2IqAmn0405vupx6bbRZIy5mB6w+gLHolhJXN5SjXXAhWo
hTPhhYqRE6WXBSt+aNme9SGwhhYQCQHfdP7l6de6Oriyjp0GyOVTMXW7th225i4gd1/MFzrJY7uC
eTxBA69zF+OCz0UpsBa0iiqA6SmkbUtST66y3rCQ2iRlo3MqgxqTXadwVQPjyKh+YrZv8hSoGQfZ
859BObwRsVOuARh2h2mJuicqAywYo8mWCsE9MJAhCYkJvjGEbdjUCSpq6KjZZuBtdg5UMkBgdSnW
7odTSYiZWcCz00u/B4xtOP+tFTZhOrGrUTKipA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 81744)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/memory.vhd | 19 | 112775 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XZtM4bLmkglBewlWavfkobXOIMkrnElgJo+k4jE78ykb7oIZp/SGV6Fmfr/ogrusY/kHxxmgAde8
wVKEHfi+cw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qj5OXRmuDbyb7tXOe/IIP9hVzpHdYEdnGFMGPum5TPAz9WJzfNr2HnR7yYGe719tx6wYAvdRlfH7
1KYaZqML4WollrpclochLq72pgPwbtC9iEEWlamVuKdvYSw0+IzNRBHdKqTykxKbBvXaQ7+UOUjw
UnhOWIyi6vA2XCWBMhs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wc/9BtL9LkvfKqZJg7KOk8nPkSL5jxvAGfC0RV814LDUHBZcOVMBTQdouKf45+uYbzuqQuzhrFia
FyTrOU0b+Dpp/D8a4O6aOPezhZlqDF7SuDaIsbNJNkVeEPTzKN3+pib+HJ+07zD5sgOQyBLQtobI
4fQy7ggQ0o0bOrWPzlXO7kD45yraaLu2CaLqYlQzcDjqnvaWtdvg8Q6aRiloz0plB7OdNZ9a1tRM
Nl6v3ocdKRatScwi+YnBgJn5ewXMvGYuuBOXAkUmcc+AFWML9u7RnCLEmrft5oAR19N3inWP9hTR
9sdW8LGJ406SdzZiv/gZpUV5t/AFjTB8Nihgew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RuHNUBMTP+a4VfkYIP3nKug+Q6Ygohn4DcPwrCybnrM/u1NLZNct3nJM51Ftp2uYn4LtBCAEFd4j
J1ykZQnUjNHc8Om8TkpAk8Xoe4lNd9c07VFQ/PdNEPsRZobFbRhtaTn5kYtwFZszGT2+NVjW60i2
zzHWmeNAYn4vMcnLRnc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
M1UhZ+OMYDjkT/STr81dVx9PmVVG5A+2IqAmn0405vupx6bbRZIy5mB6w+gLHolhJXN5SjXXAhWo
hTPhhYqRE6WXBSt+aNme9SGwhhYQCQHfdP7l6de6Oriyjp0GyOVTMXW7th225i4gd1/MFzrJY7uC
eTxBA69zF+OCz0UpsBa0iiqA6SmkbUtST66y3rCQ2iRlo3MqgxqTXadwVQPjyKh+YrZv8hSoGQfZ
859BObwRsVOuARh2h2mJuicqAywYo8mWCsE9MJAhCYkJvjGEbdjUCSpq6KjZZuBtdg5UMkBgdSnW
7odTSYiZWcCz00u/B4xtOP+tFTZhOrGrUTKipA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 81744)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/builtin/builtin_prim.vhd | 19 | 32350 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ahdDAHzz440n+Z6SrLNKLMBChQ5FzHxmtmolGyaGzRzZ6AsdM11MYnHQlmkXolfzuQvsH0tiYFpA
bdhL84ynJQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qd5Te5HYUFbAOVCK7Nrwmf+xhp7iHLV1qESGeKRRemMuPlhm9gxKzGI5glBpEm+Bt6GS7xBHPesU
Rh2RxY+9Nst/QoTZG24XGDjT8gulIAFW/37G7vhPLNVOq1gP33zQ0iNDRVgAsbEBqL2aP8fzO3c4
Dl1oSNusYXsdFmxhv/4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0n9Q8CLs0GcRArqoXB7pbLNq/7iI54QAnaQ3YfVTrcoLuaPhMipi/u1YxvxCeQhStE/q36RmAWKU
vuVvb8WRD5dX8Gc/5jIRt4ORXRhrtme6cizBVjYhymzdNTAgbAuH8k+0No3YXlnw3iXuB/bUUXlS
9ThgyMn0i7erFTJ6h/eogbI8EG6TwEBPQ11D5xXxMjzz9Q1WQ4L1w3R2CAYnCrSSlQxqvapc2X6+
HzE5EzvdMpbru1PQrGeGwaFtvlT4dq9BRwJcYQeIth/77QtTOb09uuY2bIUtRjnczrx+97he8zc4
F2HQqnZwdLvPbSwwqlsUdlME2ell5wSO2A8Cdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fXi1UCgHICyjHcoUzs2uXfr4QL3Zd6fFq0YYnh7DHj/Uz2hpTBP/xGkihvbT84E9/Kgj7lZnbxyU
NW3Mn3WgobnvsYj6dHFEG2LfnPYpGw5nhTQMawWoftBXy0o+AjB6W5RQ99l/hgORyzZ3gEP6q1mQ
SG+9quGTTiRQQEHy3Sg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GxP7neU6pelOGsRYeMpWhq9H64emJJW3ch5ZqO94Ja0S7m2rL3jKbNa/UebfsafxW/Jq07+9ZHQH
nakVk5fs+waKW7fPdCvasFZq3bHVoH2M3uf0FMGIXnsyGlgHQ4qCnawBWxPqrfn3SKY260XmNThN
PHkcyDSRI2OjZKzXzE7AHiKXBnUYqYuy5pZkIRpG5KuuXSL3l68wM2qwWAk4Dy7OFak+VRDwWWle
Ve26y55BBWyX0cVH+A1y9sHRRFBM6x678gQjaKYO8u10cSkLQEatg4BKcHaSLpXozsPkT0ktveBN
etZKKhExPa6BnJyzgqh9xypSTFtCXtbhEF1Eag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/builtin_prim.vhd | 19 | 32350 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ahdDAHzz440n+Z6SrLNKLMBChQ5FzHxmtmolGyaGzRzZ6AsdM11MYnHQlmkXolfzuQvsH0tiYFpA
bdhL84ynJQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qd5Te5HYUFbAOVCK7Nrwmf+xhp7iHLV1qESGeKRRemMuPlhm9gxKzGI5glBpEm+Bt6GS7xBHPesU
Rh2RxY+9Nst/QoTZG24XGDjT8gulIAFW/37G7vhPLNVOq1gP33zQ0iNDRVgAsbEBqL2aP8fzO3c4
Dl1oSNusYXsdFmxhv/4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0n9Q8CLs0GcRArqoXB7pbLNq/7iI54QAnaQ3YfVTrcoLuaPhMipi/u1YxvxCeQhStE/q36RmAWKU
vuVvb8WRD5dX8Gc/5jIRt4ORXRhrtme6cizBVjYhymzdNTAgbAuH8k+0No3YXlnw3iXuB/bUUXlS
9ThgyMn0i7erFTJ6h/eogbI8EG6TwEBPQ11D5xXxMjzz9Q1WQ4L1w3R2CAYnCrSSlQxqvapc2X6+
HzE5EzvdMpbru1PQrGeGwaFtvlT4dq9BRwJcYQeIth/77QtTOb09uuY2bIUtRjnczrx+97he8zc4
F2HQqnZwdLvPbSwwqlsUdlME2ell5wSO2A8Cdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fXi1UCgHICyjHcoUzs2uXfr4QL3Zd6fFq0YYnh7DHj/Uz2hpTBP/xGkihvbT84E9/Kgj7lZnbxyU
NW3Mn3WgobnvsYj6dHFEG2LfnPYpGw5nhTQMawWoftBXy0o+AjB6W5RQ99l/hgORyzZ3gEP6q1mQ
SG+9quGTTiRQQEHy3Sg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GxP7neU6pelOGsRYeMpWhq9H64emJJW3ch5ZqO94Ja0S7m2rL3jKbNa/UebfsafxW/Jq07+9ZHQH
nakVk5fs+waKW7fPdCvasFZq3bHVoH2M3uf0FMGIXnsyGlgHQ4qCnawBWxPqrfn3SKY260XmNThN
PHkcyDSRI2OjZKzXzE7AHiKXBnUYqYuy5pZkIRpG5KuuXSL3l68wM2qwWAk4Dy7OFak+VRDwWWle
Ve26y55BBWyX0cVH+A1y9sHRRFBM6x678gQjaKYO8u10cSkLQEatg4BKcHaSLpXozsPkT0ktveBN
etZKKhExPa6BnJyzgqh9xypSTFtCXtbhEF1Eag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/fifo_generator_v11_0/builtin/builtin_prim.vhd | 19 | 32350 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ahdDAHzz440n+Z6SrLNKLMBChQ5FzHxmtmolGyaGzRzZ6AsdM11MYnHQlmkXolfzuQvsH0tiYFpA
bdhL84ynJQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qd5Te5HYUFbAOVCK7Nrwmf+xhp7iHLV1qESGeKRRemMuPlhm9gxKzGI5glBpEm+Bt6GS7xBHPesU
Rh2RxY+9Nst/QoTZG24XGDjT8gulIAFW/37G7vhPLNVOq1gP33zQ0iNDRVgAsbEBqL2aP8fzO3c4
Dl1oSNusYXsdFmxhv/4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0n9Q8CLs0GcRArqoXB7pbLNq/7iI54QAnaQ3YfVTrcoLuaPhMipi/u1YxvxCeQhStE/q36RmAWKU
vuVvb8WRD5dX8Gc/5jIRt4ORXRhrtme6cizBVjYhymzdNTAgbAuH8k+0No3YXlnw3iXuB/bUUXlS
9ThgyMn0i7erFTJ6h/eogbI8EG6TwEBPQ11D5xXxMjzz9Q1WQ4L1w3R2CAYnCrSSlQxqvapc2X6+
HzE5EzvdMpbru1PQrGeGwaFtvlT4dq9BRwJcYQeIth/77QtTOb09uuY2bIUtRjnczrx+97he8zc4
F2HQqnZwdLvPbSwwqlsUdlME2ell5wSO2A8Cdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fXi1UCgHICyjHcoUzs2uXfr4QL3Zd6fFq0YYnh7DHj/Uz2hpTBP/xGkihvbT84E9/Kgj7lZnbxyU
NW3Mn3WgobnvsYj6dHFEG2LfnPYpGw5nhTQMawWoftBXy0o+AjB6W5RQ99l/hgORyzZ3gEP6q1mQ
SG+9quGTTiRQQEHy3Sg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GxP7neU6pelOGsRYeMpWhq9H64emJJW3ch5ZqO94Ja0S7m2rL3jKbNa/UebfsafxW/Jq07+9ZHQH
nakVk5fs+waKW7fPdCvasFZq3bHVoH2M3uf0FMGIXnsyGlgHQ4qCnawBWxPqrfn3SKY260XmNThN
PHkcyDSRI2OjZKzXzE7AHiKXBnUYqYuy5pZkIRpG5KuuXSL3l68wM2qwWAk4Dy7OFak+VRDwWWle
Ve26y55BBWyX0cVH+A1y9sHRRFBM6x678gQjaKYO8u10cSkLQEatg4BKcHaSLpXozsPkT0ktveBN
etZKKhExPa6BnJyzgqh9xypSTFtCXtbhEF1Eag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/builtin/builtin_prim.vhd | 19 | 32350 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ahdDAHzz440n+Z6SrLNKLMBChQ5FzHxmtmolGyaGzRzZ6AsdM11MYnHQlmkXolfzuQvsH0tiYFpA
bdhL84ynJQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qd5Te5HYUFbAOVCK7Nrwmf+xhp7iHLV1qESGeKRRemMuPlhm9gxKzGI5glBpEm+Bt6GS7xBHPesU
Rh2RxY+9Nst/QoTZG24XGDjT8gulIAFW/37G7vhPLNVOq1gP33zQ0iNDRVgAsbEBqL2aP8fzO3c4
Dl1oSNusYXsdFmxhv/4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0n9Q8CLs0GcRArqoXB7pbLNq/7iI54QAnaQ3YfVTrcoLuaPhMipi/u1YxvxCeQhStE/q36RmAWKU
vuVvb8WRD5dX8Gc/5jIRt4ORXRhrtme6cizBVjYhymzdNTAgbAuH8k+0No3YXlnw3iXuB/bUUXlS
9ThgyMn0i7erFTJ6h/eogbI8EG6TwEBPQ11D5xXxMjzz9Q1WQ4L1w3R2CAYnCrSSlQxqvapc2X6+
HzE5EzvdMpbru1PQrGeGwaFtvlT4dq9BRwJcYQeIth/77QtTOb09uuY2bIUtRjnczrx+97he8zc4
F2HQqnZwdLvPbSwwqlsUdlME2ell5wSO2A8Cdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fXi1UCgHICyjHcoUzs2uXfr4QL3Zd6fFq0YYnh7DHj/Uz2hpTBP/xGkihvbT84E9/Kgj7lZnbxyU
NW3Mn3WgobnvsYj6dHFEG2LfnPYpGw5nhTQMawWoftBXy0o+AjB6W5RQ99l/hgORyzZ3gEP6q1mQ
SG+9quGTTiRQQEHy3Sg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GxP7neU6pelOGsRYeMpWhq9H64emJJW3ch5ZqO94Ja0S7m2rL3jKbNa/UebfsafxW/Jq07+9ZHQH
nakVk5fs+waKW7fPdCvasFZq3bHVoH2M3uf0FMGIXnsyGlgHQ4qCnawBWxPqrfn3SKY260XmNThN
PHkcyDSRI2OjZKzXzE7AHiKXBnUYqYuy5pZkIRpG5KuuXSL3l68wM2qwWAk4Dy7OFak+VRDwWWle
Ve26y55BBWyX0cVH+A1y9sHRRFBM6x678gQjaKYO8u10cSkLQEatg4BKcHaSLpXozsPkT0ktveBN
etZKKhExPa6BnJyzgqh9xypSTFtCXtbhEF1Eag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/builtin/builtin_prim.vhd | 19 | 32350 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ahdDAHzz440n+Z6SrLNKLMBChQ5FzHxmtmolGyaGzRzZ6AsdM11MYnHQlmkXolfzuQvsH0tiYFpA
bdhL84ynJQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qd5Te5HYUFbAOVCK7Nrwmf+xhp7iHLV1qESGeKRRemMuPlhm9gxKzGI5glBpEm+Bt6GS7xBHPesU
Rh2RxY+9Nst/QoTZG24XGDjT8gulIAFW/37G7vhPLNVOq1gP33zQ0iNDRVgAsbEBqL2aP8fzO3c4
Dl1oSNusYXsdFmxhv/4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0n9Q8CLs0GcRArqoXB7pbLNq/7iI54QAnaQ3YfVTrcoLuaPhMipi/u1YxvxCeQhStE/q36RmAWKU
vuVvb8WRD5dX8Gc/5jIRt4ORXRhrtme6cizBVjYhymzdNTAgbAuH8k+0No3YXlnw3iXuB/bUUXlS
9ThgyMn0i7erFTJ6h/eogbI8EG6TwEBPQ11D5xXxMjzz9Q1WQ4L1w3R2CAYnCrSSlQxqvapc2X6+
HzE5EzvdMpbru1PQrGeGwaFtvlT4dq9BRwJcYQeIth/77QtTOb09uuY2bIUtRjnczrx+97he8zc4
F2HQqnZwdLvPbSwwqlsUdlME2ell5wSO2A8Cdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fXi1UCgHICyjHcoUzs2uXfr4QL3Zd6fFq0YYnh7DHj/Uz2hpTBP/xGkihvbT84E9/Kgj7lZnbxyU
NW3Mn3WgobnvsYj6dHFEG2LfnPYpGw5nhTQMawWoftBXy0o+AjB6W5RQ99l/hgORyzZ3gEP6q1mQ
SG+9quGTTiRQQEHy3Sg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GxP7neU6pelOGsRYeMpWhq9H64emJJW3ch5ZqO94Ja0S7m2rL3jKbNa/UebfsafxW/Jq07+9ZHQH
nakVk5fs+waKW7fPdCvasFZq3bHVoH2M3uf0FMGIXnsyGlgHQ4qCnawBWxPqrfn3SKY260XmNThN
PHkcyDSRI2OjZKzXzE7AHiKXBnUYqYuy5pZkIRpG5KuuXSL3l68wM2qwWAk4Dy7OFak+VRDwWWle
Ve26y55BBWyX0cVH+A1y9sHRRFBM6x678gQjaKYO8u10cSkLQEatg4BKcHaSLpXozsPkT0ktveBN
etZKKhExPa6BnJyzgqh9xypSTFtCXtbhEF1Eag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/builtin_prim.vhd | 19 | 32350 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ahdDAHzz440n+Z6SrLNKLMBChQ5FzHxmtmolGyaGzRzZ6AsdM11MYnHQlmkXolfzuQvsH0tiYFpA
bdhL84ynJQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qd5Te5HYUFbAOVCK7Nrwmf+xhp7iHLV1qESGeKRRemMuPlhm9gxKzGI5glBpEm+Bt6GS7xBHPesU
Rh2RxY+9Nst/QoTZG24XGDjT8gulIAFW/37G7vhPLNVOq1gP33zQ0iNDRVgAsbEBqL2aP8fzO3c4
Dl1oSNusYXsdFmxhv/4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0n9Q8CLs0GcRArqoXB7pbLNq/7iI54QAnaQ3YfVTrcoLuaPhMipi/u1YxvxCeQhStE/q36RmAWKU
vuVvb8WRD5dX8Gc/5jIRt4ORXRhrtme6cizBVjYhymzdNTAgbAuH8k+0No3YXlnw3iXuB/bUUXlS
9ThgyMn0i7erFTJ6h/eogbI8EG6TwEBPQ11D5xXxMjzz9Q1WQ4L1w3R2CAYnCrSSlQxqvapc2X6+
HzE5EzvdMpbru1PQrGeGwaFtvlT4dq9BRwJcYQeIth/77QtTOb09uuY2bIUtRjnczrx+97he8zc4
F2HQqnZwdLvPbSwwqlsUdlME2ell5wSO2A8Cdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fXi1UCgHICyjHcoUzs2uXfr4QL3Zd6fFq0YYnh7DHj/Uz2hpTBP/xGkihvbT84E9/Kgj7lZnbxyU
NW3Mn3WgobnvsYj6dHFEG2LfnPYpGw5nhTQMawWoftBXy0o+AjB6W5RQ99l/hgORyzZ3gEP6q1mQ
SG+9quGTTiRQQEHy3Sg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GxP7neU6pelOGsRYeMpWhq9H64emJJW3ch5ZqO94Ja0S7m2rL3jKbNa/UebfsafxW/Jq07+9ZHQH
nakVk5fs+waKW7fPdCvasFZq3bHVoH2M3uf0FMGIXnsyGlgHQ4qCnawBWxPqrfn3SKY260XmNThN
PHkcyDSRI2OjZKzXzE7AHiKXBnUYqYuy5pZkIRpG5KuuXSL3l68wM2qwWAk4Dy7OFak+VRDwWWle
Ve26y55BBWyX0cVH+A1y9sHRRFBM6x678gQjaKYO8u10cSkLQEatg4BKcHaSLpXozsPkT0ktveBN
etZKKhExPa6BnJyzgqh9xypSTFtCXtbhEF1Eag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ipif_steer.vhd | 15 | 22671 | --SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: ipif_steer.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- IPIF_Steer - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_steer.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic steers data from the correct byte
-- lane to IPIF devices which may be smaller than the bus
-- width. The BE signals are also steered if the BE_Steer
-- signal is asserted, which indicates that the address space
-- being accessed has a smaller maximum data transfer size
-- than the bus size.
--
-- For writes, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_steer.vhd
--
-------------------------------------------------------------------------------
-- Author: BLT
-- History:
-- BLT 2-5-2002 -- First version
-- ^^^^^^
-- First version of IPIF steering logic.
-- ~~~~~~
-- BLT 2-12-2002 -- Removed BE_Steer, now generated internally
--
-- DET 2-24-2002 -- Added 'When others' to size case statement
-- in BE_STEER_PROC process.
--
-- BLT 10-10-2002 -- Rewrote to get around some XST synthesis
-- issues.
--
-- BLT 11-18-2002 -- Added addr_bits to sensitivity lists to
-- fix simulation bug
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of host databus attached to the IPIF
-- C_SMALLEST : integer := width of smallest device (not access size)
-- attached to the IPIF
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of MAXIMUM data access allowed to
-- a particular address map decode.
--
-- Size indication (Decode_size)
-- 001 - byte
-- 010 - halfword
-- 011 - word
-- 100 - doubleword
-- 101 - 128-b
-- 110 - 256-b
-- 111 - 512-b
-- num_bytes = 2^(n-1)
--
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity IPIF_Steer is
generic (
C_DWIDTH : integer := 32; -- 8, 16, 32, 64
C_SMALLEST : integer := 32; -- 8, 16, 32, 64
C_AWIDTH : integer := 32
);
port (
Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Addr : in std_logic_vector(0 to C_AWIDTH-1);
BE_In : in std_logic_vector(0 to C_DWIDTH/8-1);
Decode_size : in std_logic_vector(0 to 2);
Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1)
);
end entity IPIF_Steer;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of IPIF_Steer is
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-----------------------------------------------------------------------------
-- OPB Data Muxing and Steering
-----------------------------------------------------------------------------
-- GEN_DWIDTH_SMALLEST
GEN_SAME: if C_DWIDTH = C_SMALLEST generate
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
end generate GEN_SAME;
GEN_16_8: if C_DWIDTH = 16 and C_SMALLEST = 8 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-1);
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1) <= '0';
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_16_8;
GEN_32_8: if C_DWIDTH = 32 and C_SMALLEST = 8 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-2 to C_AWIDTH-1); --a30 to a31
case addr_bits is
when "01" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when "010" => --HW
Rd_Data_Out(8 to 15) <= Rd_Data_In(8 to 15);
when others => null;
end case;
when "10" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(2);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "11" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31);
Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(3);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(1) <= BE_In(3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_32_8;
GEN_32_16: if C_DWIDTH = 32 and C_SMALLEST = 16 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-2); --a30
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "010" => --HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_32_16;
GEN_64_8: if C_DWIDTH = 64 and C_SMALLEST = 8 generate
signal addr_bits : std_logic_vector(0 to 2);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-1); --a29 to a31
case addr_bits is
when "001" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when others => null;
end case;
when "010" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(2);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "011" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31);
Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(3);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(8 to 15);
when others => null;
end case;
when "100" =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(4);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "101" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47);
Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(5);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "110" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63);
Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(6);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "111" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63);
Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63);
Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(7);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_8;
GEN_64_16: if C_DWIDTH = 64 and C_SMALLEST = 16 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-2); --a29 to a30
case addr_bits is
when "01" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "010" => --HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "10" =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "11" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63);
Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63);
case Decode_size is
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_16;
GEN_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3); --a29
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "011" =>
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_32;
-- Size indication (Decode_size)
-- n = 001 byte 2^0
-- n = 010 halfword 2^1
-- n = 011 word 2^2
-- n = 100 doubleword 2^3
-- n = 101 128-b
-- n = 110 256-b
-- n = 111 512-b
-- num_bytes = 2^(n-1)
end architecture IMP;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/blk_mem_gen_v8_1/blk_mem_gen_bindec.vhd | 27 | 10218 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JoMCOWeb5WJCBfHoFXpAeueDDgvCDiGp3AckCc481MQYfkwqbKzf91lDJ35VGRkR+lnFDdba8hVh
ebdPAvk8sQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bZP6jV/nU5x88OLSeX17wUzGVM/1H7fFl1OvjJVlfPM0WRyEzOpDDBDAUuNgnxFvzLOKKYEuQdGX
W9Azus4jUwU+zlgsaiCb1S5W3YMjUJKtbRQ/PvNNulBlTlfZaMHLAox9gfCqP4OK4hzymuRCwSK9
PA7SK6I+FbKAacX9y/g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
38Ya3DupjVbpSJ4i6CmxC3OEuL9qNwdAvGt4GnhSmvDhP9C+krqPc261IqfCwYzwzxzaeMibTDWx
/h5fHzYF2I5fsXilkoEoRxiVUecJo1YSbQfTJW8OEBtN5aYD4EfWNZxg7GXemsfNXYAT3IQ9OGaZ
Z3OnlMzYiNTbG4DNtpaaHWOF6C1ZcpZaMxg6JA0ZIcSPls5SVALLcDt5FUbDAqBNYpV4JoWo+qsc
FnhESB/fKp4TYpfMu8ZebNdGwLZE/v7NBBWsur4E5vgpE96o2V2PrhB/yUkeOaYd/sqFfOVAPPYH
mOxmomWznEckwZ7yWdfaca/+EES9Dh2xe5bnww==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D5raxCdsBjNBeucgp+JNk0QydQuZbfT0hk9FPoXi6WfKMKGXanrHw+M0M2EvNOZMUencxzfv6CtL
nCmVqYCrBCTP3KURzHM5DqNYzQyp0kj6XGMA+Q1QHtCCtnTEsuFMkRdychCBXeOcnfn0sPqhPAb+
dDkLPxvSvOkSf8WjYwI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KE84+0MQOal9OYCn+WiAXywM19zQ4xYNV40iodnIlowR+vSp+kbADs/ClNTsY+01AbPMnO8ZTgZN
CGRjsRjKcpFcdHcCbRqcEDPJE7OK/v9PEqPDH9NFgGw1pSJUkP9IpUNC9/uKTepjTRYkaMQQIcwb
MA905J1RyQ1JTo8+T7ZjypavwIpWqfh9+/OtTNQBqe8xPN3IUu4u+7M4P7P5w0QOtT0XGFUOVu4C
5WyMVCFrGwdZoGJ0XcMR+keGC+lH3zgKGf7XDuZwC5nPj50Jr/CWT4G590JXwyjmGrh+LuEInmJ7
dRdHoyo/UrKvxi9s4oal4X1UmgumWAW7Jj7wfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824)
`protect data_block
+i2JWEPcRSCfDheN34U5CIXM7TMebR1UsErZd2k4GyCTJfly891tZwiJYCO4c3zZ3YZMRtqvDIzx
sjbrVwcN30whDdYS6yF4K9+k5e1aAiIvd+oSW/m9arUHryWdsYqLE6C1LeAguwTA/1zfiD6YZoO3
nrXJ+indJ/Aw864XFEpkfRxb+IhMu3rIaoTNacR1LCZqlw0EmjbXHUHDI6FEM5RT4jFzufIuqu5C
PzWb4uxkWBQKWBncwbWF+f5hSzh/Vuq6XSHNKRszbk6UDBCDshWjQtNMsCH4jO15citOGI816TFN
0LJNOPaXZCzMI5dFI3rhbA6Ed8Hjq0TB1m24vhmCmE7uAwvYOz3nKXQrMbcoL5+eUufC/UiKfJ3q
MQdljYDDt8K6K9jI5AljGzCAkhcipmSYqZXVb3VI7Hu4HZzbMAsPovRBfNf8xvDQ1Hdx5Wq6pAsi
Cnf0hlaqE1J6R8vMVZ2WHD6cLc4ah/FLVxvU48TUcoeBlqH2Ic00BcuwWSrdBfuzzU/9u6QG++0G
VLEpAg6jUijvP68GDE2f37rWApeiDoXZcp5LgPtuaUHN2MOVj95ibjfbTxRp3EOdpLqBejkhqLj0
WJCTOayBP0mhDqqQbIwUUE+UZLh5HK/2KiQbAp/MNsoItS1v606AnoHqsCHn8kU10j/VH4E/Zep4
c1iAhEF+Tl6YWdO5s7etCGkdQTc3uAGY3xB1Sd2hWLk7yecOBAzEXknG44FvkmwdBttiFXP5cgpd
9/eDYbIK6ruHxOOMSF2CnlT+SSkn87iXcrvnTDxhKr/+MBnQaIpEG4U6hYGnoPQuLV7wPwyr50wb
2NR3tv0PFj4EjOTUR0ZH48QZCR7aqF9tKY0Yc5T4uA/wnlY8qxgsbeTGqo5cj+DxFZNpsN+rlJ3O
afgmACsPGSPuvWckJJ00SCS3SnaOqlxGHBjhvRrS0OkA6Df8LBJ73OY1bXURqZF3YOLTU5irkVJn
S6sKhToXzgZYZgjiOq7CGjbYHQWZbWPTCFPoprPNJUzP4AVFnqZfPiluC2fssd1fs6jBOBuQCCIS
n6Sm/15h79cTQim1h7YMNMA3oEdIBn2jsfL/VTnr0QS5cCqUn/BxtNxX1U+rXZ3hMKjuvmfEFv9i
Lh2y5hOPX3sJY4NRyiSWKgK6Vu6m79E6amYfLTjR2MjPmjKsHXuzwuwUuNV2TT4MTkxfksyNMTgH
4Hiab7WYOZQXKG7iQ/oyz5see0asjgyUnn+vDk9MDgbJ8H5mwXKfpghGrpfUPIeyUgD9pBRgI+4l
dOhN6Dj1BIcORQu4OGkNdQUOv74SNshH+w65lOz96LUfxxE2ArhyTOgmL02v2j8wixqKvIhZh1HV
XcSCbkU+ydq0uZkut3ZyGEWfjnejOzkjY8s5sD2OAF+frvInGiD0ALAzZogNeI6mc1DTi3QRh0jV
q9tXcpyqPXWH+kepUWTWusQrDITesILyTES6cbsaVBx57+lLKjaNWANiZNhuviO8kh3LfNODOw/b
K5ce3NPZl+/qrisHrLxJdR/X5v1NMsRPZ/Q2RkB+jNfuK83bGw3a7URdpjx3HFM2E5qWWHGUy67/
g7HOKATDAD1E5xgSUBhJhL+ZmkETwPmUy1QFPsWRD18jH8A8lgyKMh06Fp5z6r0ea91Zd95MOwWq
r4uCt2R9TuXE77auk6/w5iKY1U3GwSUGmrXkEMlrB+cuLZ7BZqJVLLNr3V2ZroJByZFqDI3GIpR8
fe8tHvmBO4zAc+dZAXREsOr3Tx0bqUbOrr1cOekgd4wPZ1qcqWtJh4VQ/8F66mRWozNp96fErAJC
97QHlYujyt8su97BPFTAAywCHGYBr+k7CMPSlFIft2MTaAWM5+DceytNfdiClm3bDuYlk5/9zjmC
ASzaDsMejOP+QqGL+UbYYRF3BjxZ6juRJqMj+IyG52hc5bVaRCfsEy1cmhz/YFPVa149rLJyQ3If
TVH3K7XPIp7zPkggEPCj2GFVWFk+zHMPrRR/axC8Diz+wTV/DFno87SEWxXERwNk47qn7WfHAQ3Z
UK2c9qweB9eMxhEghCWCjBKjuWsG8cbsAtrngtpmu7RvSF4YeDPmvQlGv5d0K9TdVzWKRZS8M5SK
BqW/nigPA20Cvh+NUMTH0v+Maw7AsR6qIUruX4LZ6HUi2PPhg4TpHn/Kte4/2mI0ps1HrP9vzlb8
jgWlhFVhr/8ri0H9WAeXJLEHIUqQmALtl15D7twXD242Kcd/Vi7H8sYO+WyAOFuMtu0mZL7aifav
me3a2stNZw7EG9gWCdvNe1sxa3Db8tCTX2li/kBNyZkMEZ4MhLU3MyosI2XOoB5Uh3K9PTN1x8xI
vGVKoB/+Scej6lvJem1NkC8mV7pgPrGFnxm3dG04H+nvbdPbytg9U+WDqbmT2PXte6/n/v4m6WzJ
+aYlM412vFLqIJ89NgEtzZkMhW8hgtN+XeJ6kM5WNe8LyujfISvzxJ6JjinoalaUuE2EKpdojjvf
fJTQhG18qObaA988oTPHkUmLclPWGm5PMKyTuql//6GeFUHFI7Elqe20UOOh2n/uDqldCwQiMK8u
ica46NHe22p6FZXhnG8vuKugGjW/Z+winsa0ZQKvnlfKGDB+DtLIcFMAj5Y77f0U+EHEpsNPdPua
fiVszKdPV/bFoChbpEHv2ou/gahWEbFz0ds0yB8wEvDv4PWf5Qkx/jiGAxSmlWyJ81GeHQOb+xjO
hLL+Nh5IjSkrMq9fe0pqwrTjj1Lx2d5wtRa39s3VHWo3I7KiNQa20f4WZthMLbe7dnsYcnJs7yeo
afs3DuYmdOYt6ObbM8E2F2qNnqlR4xz1IqrBQpqcH3sCjbnJgEucfFnMA9eekEIcDZynWcQTMDkE
wx8/TbpTqKownKkRD6H+X1Vs43aYk1ctEBnSONEgUbFk5L4UnqAXlWxy8P3/QF6c32roNoYU76qV
x47C/1k0Gvsh5fxhJ3/d8s3reDin58w2YFhVTZEjRPe1/zvSW1kg+4UU2SmBVEYZkTHvEo552jC/
XR5WxgJ5QlppIstGzFz6uJRenvFTL7YMpYyQMyYjCuaHXrMa0KvT/dvfAit0WfKzMxL5+5hEP8YW
XqDWg37UYgCuMCpGGEo/w2I5XVn0Jx+FbCaqpM/onCcafBaa/y+USpfH4X19LhRar/QGLlklod8b
5Zod2Ynn10ETeYtViV+8gWzUpRmR8VyAwSWf1lRj8ZaNHCvjYQJVkXbLgWuxLALgPlY3fwIslfIV
mrB+Y4K0mGFNNpnmvDDzmrYhHyDlhKogZQFY5zucORtpsMvrpDnhztHVSPlEB0/PZ2L1gDSvkI8b
V0Tg1umxma32gEra+VnwesMeef5tFmBaWNlWKK2Javi22CdIzrIDF4emx0+XrGPLrahKS6yxT1Gu
gSmcTFIn5Pqep+Hz/oa+UkKP+X/FWyiyv4+9HmyyIHYfV0relTT/ue93QTkzlam6z8sbNSX4s/Io
vomr9/8XQ3yDKfJRuUM7yhJvbAruAsLv1bf40cR0SyId14uOLe+mocIoI1uSUa67CkNmwsrqVdbq
cD6YigX9A/tbdXIMegsafPPO7SpHUQi/NFZb28hPPSD2VfE3QUgOcUT17Zkx2rhgMVIn+N3Ng2Ln
jeahpejXeVuydfH1vsxUDfh7URAnrEsuvVz48gZAm+fVtQxDHG5oFbjAxeUwjNOzQSpfz64yw3nz
x1HY9qCQnhp95p+XJwFKdUl7zp1cQg8aqVesAmQHQDT0kiHbjQoRyIDBpbWV2NTfVLhFRH8tqVIF
nWu91GKSB/lVMzNU9zOIaDMva9+w8klk0bnxUvjellxLHyF51NL5RUvpg1bcx2Hlr2Zd4W7Tcut9
s9KhPte9/iQo4i3+XrPzQyiMBD0931OC9jl8ZF0a6Vcjj/PK/QJXbrBM4fyBtBR8LpepXp1eA5rR
xTHMW3oy8nWLKoOIf+v6/Bc8wfyuZRj7Hy0mi97QVbOXqnw1gHS1Ra6VqW6v4DunVqM9n613oDPr
WOWB8X2hm9RouDS79SVDmrNSitQrEhRtZeHvMS6JFI4MfwdPgGowYVdcTAPBbgqlcv6GQ549jg7s
Qdcjj/FBHkZWB246kCl3pLdi2wjqpvc5FiICHBYBBWvm7l7b7lj9OBDlJJQ1ZfumBcLEPzh6o1lM
bEmc5CVeWaKggjfqtYGHIcfJu6JOevmo8F8YvPW9L9GSbX7z2rUQlYRsZG/Hz87NiwOPb4vyNj2D
yVFkzOebndkKvpura1V1f3s+7tWH3s8NVMHBmsh9w8NkBt5ISfduf80ViMZjHqsUdk+GiRXa8Y0h
VA+NENVH+73m+qfLbI6/bqbiHW5hOTcFL20D9zTWGO3+vY29DbQ095ZierV0db5xsoKQLmoCzaGg
Eze7UxOBzM3jYGZ1r/M3v/so1AUKjdt4OReqBUn78xq8fQBCyH0IzsF7DcBezUgZSjj8D0n6PInd
CU313MaNBMrO0n4qYxh4r8MkFatk0ousZEBpP9SZyZiQ2rEPWvmUbTrMZeUtw9lJ7AbfWdo5iry1
GImCDpPRXg1kgAIe06YWj/U30JthPFoEaloemg22Z4yXeIwOw5gSGyQOj6WyhD/LtiMVNyFWvSN8
5MPsec2QYLDxcffkyPhfN/Nonoa+PrYjJTGFsr8H5mdK2hODi0EdA9hjNWzOtugKGWI/2KrPmoZE
We6Lm1zJtUEHL5GYQ/651bOfEo6ztlEreA/344ttic+6hMGc1wnkbmInQAFqqBVIiRqaa2cfgVto
L2Ge8BoZeQGCo84eybj7eSEjCTfsELTvfqxZkwtGLPLTvl2rmVOqztUDE/8GPdLKVjdJUUcj8qqE
7H0BhPfKRxLUoNCO+1tCBVjJ4oU64b/g2AXQAb2daQzI/Rs0UuggAaHnbKp0Nf/81tN50NpzX++E
a7YHeNEP9UfJEThwTb8cQL2Ame7xYLvzxaxuisMYH2wUP7MWdDJHgHqC631ZOYjN0cPGlcyH0BpZ
Z5joSp8joNYOFdxeTmF0niuMSH9tox8dLNH9yBlgpFz3gBszs+vZ/kfIyIRzRn9gnWkV9bm0Aygs
5RxVtH+ZGdKtyN7RTGeVGvZB6+SLG9iO7kxtTwDoXL1mkm2oqECHWT7J5PQbI8CApFwHCqi8UaN2
Cy4jdane/edArWNiWZVi3OGNhX6LLi6lXHePpeN1ZAyyIW/Zp5C4SpMMYIEQlRzAK9wXccc5fugP
ACV2JQe/WWQby/GMmd6WbVFMPjkyeOSYNiotbsR+Ak93AgI7+xCbzdavuIN7V+8X8dSUdfN3KYNW
dgOo5hh2absqXnAKXqqun7JvS6ojzJcnqmej1wiIwnjESUOw8uYp9wK1AiwavcRN74wC9+pKuv4v
VQjEWMk+sWMXphiQc1qYd5q9QFEjYRg31Rr2IyRs2a5wdtaZfXp1tTxALJ9RmSU6Rgq9/TYT0U3n
yHEqdUCmlqk4mWUm/qb5js3zV7lOsCEWCiJasMiJc13BvKOEmM7LBIRX1c7claehnwjdA2MMaXOB
O+2jlO65fJu6hqtkr9KvMCXw6xCBS5tGQGjVYeHTTP3q8dGjyd/j0UH058uqqEPALgYWUITB77Y2
33Zr0p7cG5Tq/osjF9EvTtPA/3WnVOjh+xBPB0rq5afqi7c72Jm/9zS//cxN3W6M+wMem6mBFlG5
g3egYBak9ppxK+ZnWOfDQB4DZnQoxvk0zpjxV8eyo7Q2GpWy9u0cbWY0ln8iE2xoAFZ0dW3O5pDX
4MyGbA9MNsiIw5/FaPe1S5+qIxOWIAv2IoiFZi1GpUJJrgh+ALGn8N8ISJVjNipFOMLunpuJdTnF
a78n6uEAnH6AoZ5HIyvbcAT2mQRkZIVuCzq2cJ9AJMVmVdxvxm2V+n3hEcLiF7JOEJzLDUthKygN
rdRUWO1BceOCrL3IXTOIAExp8EtanSci4E4dIy9vyMRjSOob8S56DlAyqe1ZxJ6bev4MPI7tOjiq
XOR3GEfSkgnx4yVWXe6Cl/+Gcko+YmRKKeoNpcbQv/3S5dChRdEmxd9EgKv3I+MsjQd0ipbIZ7fm
7p9cfPzgzvMsj07Wzw/Fxo9oOke+ToFe4+pTkrpmtNj/3T99hpks37AZUM4DZG84ukfa7/xFzfdx
t+HekYTEfwAMTv+emELfFYLNwdj4ITjGwgnhUfRoiTd0X34pUp4ymnKfMNvdHTWD0J1zFLH5zPWm
7G3HH/CmJaUgb3+RvkhTsRxOA5MKYD5FSogCidFfGejOhAJw8SV8np3+KUY352fTFG6ZKsnai0LW
kQIUaSf6SQWfivjhbLzYkqWpS2F7gDTwD3o4f30iQ/jPyFvPsawk2+V6XUW+rH2V9bTyODZo5yHp
nSbRcIIvoC6HltAhZ2PtvP2d/956p8alpgidGKHxDKNzsQU7ZwaeWrsbFgzguhnMSTefHM1oV9AJ
g7Zxh5pa72ld9gEELd9AcMuw21OXkYvTSJlQ5nW4xpntknmAcb8+8mHqE3+OfqjNBkYxWRmU9Ufw
I+Jftyaz0Ux5m7QYPSSVAzEuftr5aXLsh6QRaC20XFE41HcEb67aPiXtCc84m5XSZkdmJvyj1xlB
msVZj8UkWj77lyF12ezIGfKrOTByMcMmXzoH7Z6tcLD6jb8FB5Kfbqe6naTTt3bVF9PW49vOXxIL
5i4JPdXbCnSsGW19T++RG82+g1ODIsxl9fM/yJNEtfbUDKDmdkcercDEKTyhOIiPbLw0VOH+yJTl
QLYZSzf0poV2AjgD4ndVElsY4ZPm6rYnJXiwnzKgOAtLnTQ8Rym1wacgcpCvRnfY3srbkjvm/AAe
25cmi3NI89O6eTB4kibdnyNKMqJ3USDxdD/9by4pDF+ZIFTJZxvR3btjBtv5RzY6cOHwB7LsSobH
A8PKE7wbdmfZwIcvZnlznud5dS7Zj2ZjDU/yMbM6+wbOl5fqBuHfkRfJPsA4eKBcVCsxoW8SQGdZ
EdYf3pmCmohz663VyeuigA8axjTPpU/C3pUTOi9tF5Ex8tNQGOXbWVCZKTR8mxRBvPHQrKphcHqB
ob2iuT/9UUBnx/Dj6pFoOTGiEAhNt6kSSOlI3/rGTBm+EKb3Z1oEneCqTqUGs0hHORjXAdIHt0J2
j9TcgjQwHQ6I/b68FVnyo8ywieVEcA3aX7VhGs/ITjoKPqhfUxsNd6ZuXnLyFzn8O3ulMTX4hlxR
CzQ1Mox+bEwrT8WRBz6sdnUc1Z3VLM0gJR8fiYGy0BWp2IwocXw9bu3zKKYF6pvznIROcUuIUTip
OO1Jx/iu7sJ9FzYLmqgL8/vd9B8YuOA6uKVIWIhgm7oEaL/zXS9/Ohyf4LD0dCA+TqXleJQqtI4Z
ZNWDRpPfeDNonWS76ykWWaePuG0dTQZZOPI7R3mbKM8uejAVkMlZVJXoPALZkzcxcC3YeN9hbYuA
HwvmxwrMUe7EENOzvXg+xSIAdBlWntWthsNeaKirrPqm38+NytbYYrdX+ISbMsollJnmorltFUCg
qv+fDdUbTnfS1rIXEE1YFCVg1VVQYeCK7k7Y5kkesNa4hzk55X+7yygPZPT8dgyUKg21zKMsCZ/k
xbUbBxkryuVRXoYJ9YB9lSWzXHj0Rg3NweQRK3G9sn7Ap3Z7AUuB9YoCWCv39+VJNoDB5dfRYT13
/TMJel/FVHMPbQvvMMd8+wfKt0QMsXlCTg5t/xUeCOlaS+eisd/vPB1LIsKn/kB71qxMoXECrEve
EqYRCqgf4vGAtw==
`protect end_protected
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/reset_blk_ramfifo.vhd | 19 | 38101 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kNSODHF2BA8phv8L5aZNyOOK56HCcQ5lgKBxF8hcTzwkWRF6WnOKZaH0cAk+oZsvi02J9SlLLySq
oKFSyBG2Dw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
df+BuhfNWqGLyuHwX48C4kdWet0FAm6osy35ZO6nvLm9LeYvgiC7d+QWQpEp/leK8jaqvimQleVB
qNUNsNTBZzVm+VZnT/+N9fzr+Kn5brl7DACKZQsJ/J0EK++GrIymGQB1+7LWFg6RjvqxHctXSERU
pIxXjKUtzcqAwrR0kd8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
j4klfuw/RrSoDKuTiN/Si4GPF3r+1zWV61wAeT879HAyso4ajbQGVJETjBzL4XBayVtdsViewbVc
n3EWjppKn7DU95ziVUsafFQrG5PCVJ8TPZUJisZwRf1u8N8ojLSjd7Gi7vpDvGySyTXx9aoOQ69U
XzJmTqPAeaivz/FLFyjHWzMuc078i+06EYa3j0uxrNsDH6/IL5syM3QcJV3812LlPGSBhRN9Wynk
J5AcITSvkzy/dqcKICGyxp5ubBr16BEoG7l6F/VEXvTJm/kJnHW75YZ8OAQ3I6icKjHkLZysnDlK
KEU2K5X/pkwYnpID2ogdwsEuEQr/xxo42oEmKw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AG9C2Ti5ZMi5neBsWpJ1qwXbrUaWpaRO8Qn1fL70JVZk4SiqmPlFkL5Hz8GrFfE4eBlngUFZoung
TTZ2IeyMWjxhdHHDVda6+BqJtPiX+FBQnaCzRd4VBLDnB8KUn52eheU5F9XtqqkHq+oJV3U19TRZ
Rq+NhUtknFhYrHlVXfM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TuUXpu2xk+duDJnZONHfYiEzeCuzIA9y6Ut5Y0LAE72Cfiq+aIEHs4lmSaypPxj5+E8SKfd42Iqd
iKQPBy7GWczcAr4hdHMLEortigKfhxQvyiAB00CsQyuj949i0l26Eh+7iirhYh907kSXNLc4JeDy
uXkHZzsX9mKBsIZLMO2TtO0R4ECsHQbqo/hSpi0B8kY4ucdqtZfLpEsAJ7G3XH1L+CD4o7on7UAz
BPPpoVV+VIZR6heT9EgSZTHhg3uYl38G0Ezv8g8s1cbXnSuowx0B9mx89vkctBzRxFOLnzsFdBr8
DIKQCrHZfdOhrNHz4ZkgOrKjCDpwEkMA4ATVfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26464)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/basic_sfifo_fg.vhd | 12 | 55233 | -------------------------------------------------------------------------------
-- basic_sfifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: basic_sfifo_fg.vhd
--
-- Description:
-- This HDL file implements a basic synchronous (single clock) fifo using the
-- FIFO Generator tool. It is intended to offer a simple interface to the user
-- with the complexity of the FIFO Generator interface hidden from the user.
--
-- Note that in normal op mode (not First Word Fall Through FWFT) the data count
-- output goes to zero when the FIFO goes full. This the way FIFO Generator works.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- basic_sfifo_fg.vhd
-- |
-- |-- fifo_generator_v8_2
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $3/07/2011$
--
-- History:
-- DET 3/07/2011 Initial Version
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v11_0;
use fifo_generator_v11_0.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.proc_common_pkg.log2;
--use proc_common_v4_0.coregen_comp_defs.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
-------------------------------------------------------------------------------
entity basic_sfifo_fg is
generic (
C_DWIDTH : Integer := 32 ;
-- FIFO data Width (Read and write data ports are symetric)
C_DEPTH : Integer := 512 ;
-- FIFO Depth (set to power of 2)
C_HAS_DATA_COUNT : integer := 1 ;
-- 0 = Data Count output not needed
-- 1 = Data Count output needed
C_DATA_COUNT_WIDTH : integer := 10 ;
-- Data Count bit width (Max value is log2(C_DEPTH))
C_IMPLEMENTATION_TYPE : integer range 0 to 1 := 0;
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
C_MEMORY_TYPE : integer := 1;
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
C_PRELOAD_REGS : integer := 1;
-- 0 = normal
-- 1 = FWFT
C_PRELOAD_LATENCY : integer := 0;
-- 0 = FWFT
-- 1 = normal
C_USE_FWFT_DATA_COUNT : integer := 0;
-- 0 = normal
-- 1 for FWFT
C_SYNCHRONIZER_STAGE : integer := 2; -- valid values are 0 to 8;
C_FAMILY : string := "virtex6"
);
port (
CLK : IN std_logic := '0';
DIN : IN std_logic_vector(C_DWIDTH-1 DOWNTO 0) := (OTHERS => '0');
RD_EN : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_EN : IN std_logic := '0';
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(C_DWIDTH-1 DOWNTO 0);
EMPTY : OUT std_logic;
FULL : OUT std_logic
);
end entity basic_sfifo_fg;
architecture implementation of basic_sfifo_fg is
-- Constant Declarations ----------------------------------------------
Constant POINTER_WIDTH : integer := log2(C_DEPTH);
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a basic Sync FIFO
--
-------------------------------------------------------------------------------
I_BASIC_SFIFO : entity fifo_generator_v11_0.fifo_generator_v11_0
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => C_DWIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_DWIDTH,
C_ENABLE_RLOCS => 0, -- n0
C_FAMILY => C_FAMILY,
C_HAS_ALMOST_EMPTY => 0, -- n0
C_HAS_ALMOST_FULL => 0, -- n0
C_HAS_BACKUP => 0, -- n0
C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
C_HAS_MEMINIT_FILE => 0, -- n0
C_HAS_OVERFLOW => 0, -- n0
C_HAS_RD_DATA_COUNT => 0, -- n0
C_HAS_RD_RST => 0, -- n0
C_HAS_RST => 0, -- n0
C_HAS_SRST => 1, -- yes
C_HAS_UNDERFLOW => 0, -- n0
C_HAS_VALID => 0, -- n0
C_HAS_WR_ACK => 0, -- n0
C_HAS_WR_DATA_COUNT => 0, -- n0
C_HAS_WR_RST => 0, -- n0
C_IMPLEMENTATION_TYPE => 0, -- Common clock BRAM
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 0,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 0,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 0,
C_PROG_FULL_THRESH_NEGATE_VAL => 0,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_RD_DEPTH => C_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => POINTER_WIDTH,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_WR_DEPTH => C_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => POINTER_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_USE_ECC => 0,
C_FULL_FLAGS_RST_VAL => 0,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_HAS_INT_CLK => 0,
C_MSGON_VAL => 1,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => CLK,
rst => '0',
srst => SRST,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => DIN, -- uses this one
wr_en => WR_EN, -- uses this one
rd_en => RD_EN, -- uses this one
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
dout => DOUT, -- uses this one
full => FULL, -- uses this one
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
empty => EMPTY, -- uses this one
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => DATA_COUNT, -- uses this one
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end implementation;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/basic_sfifo_fg.vhd | 12 | 55233 | -------------------------------------------------------------------------------
-- basic_sfifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: basic_sfifo_fg.vhd
--
-- Description:
-- This HDL file implements a basic synchronous (single clock) fifo using the
-- FIFO Generator tool. It is intended to offer a simple interface to the user
-- with the complexity of the FIFO Generator interface hidden from the user.
--
-- Note that in normal op mode (not First Word Fall Through FWFT) the data count
-- output goes to zero when the FIFO goes full. This the way FIFO Generator works.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- basic_sfifo_fg.vhd
-- |
-- |-- fifo_generator_v8_2
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $3/07/2011$
--
-- History:
-- DET 3/07/2011 Initial Version
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v11_0;
use fifo_generator_v11_0.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.proc_common_pkg.log2;
--use proc_common_v4_0.coregen_comp_defs.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
-------------------------------------------------------------------------------
entity basic_sfifo_fg is
generic (
C_DWIDTH : Integer := 32 ;
-- FIFO data Width (Read and write data ports are symetric)
C_DEPTH : Integer := 512 ;
-- FIFO Depth (set to power of 2)
C_HAS_DATA_COUNT : integer := 1 ;
-- 0 = Data Count output not needed
-- 1 = Data Count output needed
C_DATA_COUNT_WIDTH : integer := 10 ;
-- Data Count bit width (Max value is log2(C_DEPTH))
C_IMPLEMENTATION_TYPE : integer range 0 to 1 := 0;
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
C_MEMORY_TYPE : integer := 1;
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
C_PRELOAD_REGS : integer := 1;
-- 0 = normal
-- 1 = FWFT
C_PRELOAD_LATENCY : integer := 0;
-- 0 = FWFT
-- 1 = normal
C_USE_FWFT_DATA_COUNT : integer := 0;
-- 0 = normal
-- 1 for FWFT
C_SYNCHRONIZER_STAGE : integer := 2; -- valid values are 0 to 8;
C_FAMILY : string := "virtex6"
);
port (
CLK : IN std_logic := '0';
DIN : IN std_logic_vector(C_DWIDTH-1 DOWNTO 0) := (OTHERS => '0');
RD_EN : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_EN : IN std_logic := '0';
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(C_DWIDTH-1 DOWNTO 0);
EMPTY : OUT std_logic;
FULL : OUT std_logic
);
end entity basic_sfifo_fg;
architecture implementation of basic_sfifo_fg is
-- Constant Declarations ----------------------------------------------
Constant POINTER_WIDTH : integer := log2(C_DEPTH);
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a basic Sync FIFO
--
-------------------------------------------------------------------------------
I_BASIC_SFIFO : entity fifo_generator_v11_0.fifo_generator_v11_0
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => C_DWIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_DWIDTH,
C_ENABLE_RLOCS => 0, -- n0
C_FAMILY => C_FAMILY,
C_HAS_ALMOST_EMPTY => 0, -- n0
C_HAS_ALMOST_FULL => 0, -- n0
C_HAS_BACKUP => 0, -- n0
C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
C_HAS_MEMINIT_FILE => 0, -- n0
C_HAS_OVERFLOW => 0, -- n0
C_HAS_RD_DATA_COUNT => 0, -- n0
C_HAS_RD_RST => 0, -- n0
C_HAS_RST => 0, -- n0
C_HAS_SRST => 1, -- yes
C_HAS_UNDERFLOW => 0, -- n0
C_HAS_VALID => 0, -- n0
C_HAS_WR_ACK => 0, -- n0
C_HAS_WR_DATA_COUNT => 0, -- n0
C_HAS_WR_RST => 0, -- n0
C_IMPLEMENTATION_TYPE => 0, -- Common clock BRAM
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 0,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 0,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 0,
C_PROG_FULL_THRESH_NEGATE_VAL => 0,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_RD_DEPTH => C_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => POINTER_WIDTH,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_WR_DEPTH => C_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => POINTER_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_USE_ECC => 0,
C_FULL_FLAGS_RST_VAL => 0,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_HAS_INT_CLK => 0,
C_MSGON_VAL => 1,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => CLK,
rst => '0',
srst => SRST,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => DIN, -- uses this one
wr_en => WR_EN, -- uses this one
rd_en => RD_EN, -- uses this one
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
dout => DOUT, -- uses this one
full => FULL, -- uses this one
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
empty => EMPTY, -- uses this one
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => DATA_COUNT, -- uses this one
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end implementation;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo3.vhd | 15 | 67496 | -------------------------------------------------------------------------------
-- $Id: srl_fifo3.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo3 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo3.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo3.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- JAM 2002-02-02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
--
-- JAM 2002-04-12 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 Added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
--
-- JAM 2002-05-01 Changed FIFO_Empty output from buffer_Empty, which had
-- a clock delay, to the not of data_Exists_I, which
-- doesn't have any delay
--
-- DCW 2004-10-15 Changed unisim.all to unisim.vcomponents.
-- Added C_FAMILY generic.
-- Added C_AWIDTH generic.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library proc_common_v4_0;
use proc_common_v4_0.all;
use proc_common_v4_0.family.all;
library unisim;
use unisim.vcomponents.all;
entity srl_fifo3 is
generic (
C_FAMILY : string := "virtex4"; -- latest and greatest
C_DWIDTH : positive := 8; -- changed to positive
C_AWIDTH : positive := 4; -- changed to positive
C_DEPTH : positive := 16 -- changed to positive
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to C_AWIDTH-1)
);
end entity srl_fifo3;
architecture imp of srl_fifo3 is
------------------------------------------------------------------------------
-- Architecture BEGIN
------------------------------------------------------------------------------
begin
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH LESS THAN 17
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_LT_17 : if (C_DEPTH < 17) generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic
);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic
);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic
);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Depth check and assertion
--------------------------------------------------------------------------
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0) ) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
--------------------------------------------------------------------------
-- Data Exists DFF Instance
--------------------------------------------------------------------------
DATA_EXISTS_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- GENERATE ADDRESS COUNTERS
--------------------------------------------------------------------------
Addr_Counters : for i in 0 to 3 generate
hsum_A(i) <= (FIFO_Read xor addr_i(i)) and
(FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
S => hsum_A(i), -- [in std_logic]
LO => addr_cy(i+1) -- [out std_logic]
);
XORCY_I : XORCY
port map (
LI => hsum_A(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
O => sum_A(i) -- [out std_logic]
);
FDRE_I : FDRE
port map (
Q => addr_i(i), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_i, -- [in std_logic]
D => sum_A(i), -- [in std_logic]
R => Reset -- [in std_logic]
);
end generate Addr_Counters;
--------------------------------------------------------------------------
-- GENERATE FIFO RAM
--------------------------------------------------------------------------
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I) -- [out std_logic]
);
end generate FIFO_RAM;
--------------------------------------------------------------------------
-- INT_ADDR_PROCESS
--------------------------------------------------------------------------
-- This process assigns the internal address to the output port
--------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from
-- the srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account
end loop; -- for srl16 addr
end process;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 16, LESS THAN 32,
-- AND VIRTEX-E AND OLDER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_16_32_VE : if ( ( (C_DEPTH > 16) and (C_DEPTH < 33) ) and
( equalIgnoreCase(C_FAMILY,"virtex") or
equalIgnoreCase(C_FAMILY,"virtexe") or
equalIgnoreCase(C_FAMILY,"spartan3e") or
equalIgnoreCase(C_FAMILY,"spartan3") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 4);
signal addr_i_1 : std_logic_vector(3 downto 0);
signal buffer_Full_1 : std_logic;
signal next_buffer_Full_1 : std_logic;
signal next_Data_Exists_1 : std_logic;
signal data_Exists_I_1 : std_logic;
signal FIFO_Write_1 : std_logic;
signal Data_In_1 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_1 : std_logic;
signal Data_Out_1 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_2 : std_logic_vector(3 downto 0);
signal buffer_Full_2 : std_logic;
signal next_buffer_Full_2 : std_logic;
signal next_Data_Exists_2 : std_logic;
signal data_Exists_I_2 : std_logic;
signal FIFO_Write_2 : std_logic;
signal Data_In_2 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_2 : std_logic;
signal Data_Out_2 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
next_Data_Exists_1 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_1(0))
and not(addr_i_1(1)) and not(addr_i_1(2))
and not(addr_i_1(3))) or data_Exists_I_1) and not
(FIFO_Read and not(FIFO_Write) and not(addr_i_1(0))
and not(addr_i_1(1)) and not(addr_i_1(2))
and not(addr_i_1(3)));
FIFO_Write_1 <= FIFO_Write;
FIFO_Write_2 <= FIFO_Write;
FIFO_Read_1 <= FIFO_Read;
FIFO_Read_2 <= FIFO_Read;
data_Exists <= data_Exists_I_1;
Data_Out <= Data_Out_2 when (data_Exists_I_2 = '1') else Data_Out_1;
Data_In_2 <= Data_Out_1;
Data_In_1 <= Data_In;
FIFO_Full <= buffer_Full_2;
next_buffer_Full_1 <= '1' when (addr_i_1 = "1111") else '0';
next_Data_Exists_2 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_2(0))
and not(addr_i_2(1)) and not(addr_i_2(2)) and not
(addr_i_2(3)) and (buffer_Full_1)) or data_Exists_I_2)
and not(FIFO_Read and not(FIFO_Write) and
not(addr_i_2(0)) and not(addr_i_2(1)) and
not(addr_i_2(2)) and not(addr_i_2(3)));
next_buffer_Full_2 <= '1' when (addr_i_2 = "1111") else '0';
FIFO_Empty <= not next_Data_Exists_1 and not next_Data_Exists_2;
-- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
--------------------------------------------------------------------------
-- Address Processes
--------------------------------------------------------------------------
ADDRS_1 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_1 <= "0000";
elsif ((buffer_Full_1='0') and (FIFO_Write='1') and
(FIFO_Read='0') and (data_Exists_I_1='1')) then
addr_i_1 <= addr_i_1 + 1;
elsif (not(addr_i_1 = "0000") and (FIFO_Read='1') and
(FIFO_Write='0') and (data_Exists_I_2='0')) then
addr_i_1 <= addr_i_1 - 1;
else
null;
end if;
end if;
end process;
ADDRS_2 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_2 <= "0000";
elsif ((buffer_Full_2='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_1 = '1') and
(data_Exists_I_2='1')) then
addr_i_2 <= addr_i_2 + 1;
elsif (not(addr_i_2 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0')) then
addr_i_2 <= addr_i_2 - 1;
else
null;
end if;
end if;
end process;
ADDR_OUT : process (addr_i_1, addr_i_2, data_Exists_I_2)
begin
if (data_Exists_I_2 = '0') then
Addr <= '0' & addr_i_1;
else
Addr <= '1' & addr_i_2;
end if;
end process;
--------------------------------------------------------------------------
-- Data Exists Instances
--------------------------------------------------------------------------
DATA_EXISTS_1_DFF : FDR
port map (
Q => data_Exists_I_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_2_DFF : FDR
port map (
Q => data_Exists_I_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Buffer Full Instances
--------------------------------------------------------------------------
BUFFER_FULL_1_DFF : FDR
port map (
Q => buffer_Full_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_2_DFF : FDR
port map (
Q => buffer_Full_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM_1 : for i in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_1, -- [in std_logic]
D => Data_In_1(i), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_1(0), -- [in std_logic]
A1 => addr_i_1(1), -- [in std_logic]
A2 => addr_i_1(2), -- [in std_logic]
A3 => addr_i_1(3), -- [in std_logic]
Q => Data_Out_1(i) -- [out std_logic]
);
end generate FIFO_RAM_1;
FIFO_RAM_2 : for i in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_2, -- [in std_logic]
D => Data_In_2(i), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_2(0), -- [in std_logic]
A1 => addr_i_2(1), -- [in std_logic]
A2 => addr_i_2(2), -- [in std_logic]
A3 => addr_i_2(3), -- [in std_logic]
Q => Data_Out_2(i) -- [out std_logic]
);
end generate FIFO_RAM_2;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 16, LESS THAN 32,
-- AND VIRTEX-2 AND NEWER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_16_32_V2 : if ( ( (C_DEPTH > 16) and (C_DEPTH < 33) ) and
( equalIgnoreCase(C_FAMILY,"virtex2") or
equalIgnoreCase(C_FAMILY,"virtex2p") or
equalIgnoreCase(C_FAMILY,"virtex4") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
constant DEPTH : std_logic_vector(0 to 4) :=
conv_std_logic_vector(C_DEPTH-1,5);
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 4);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 4);
signal sum_A : std_logic_vector(0 to 4);
signal addr_cy : std_logic_vector(0 to 5);
signal D_Out_ls : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ms : std_logic_vector(0 to C_DWIDTH-1);
signal q15 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic
);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic
);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
component MUXF5
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
S : in std_logic
);
end component;
component SRLC16E
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
Q : out std_logic;
Q15 : out std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
CE : in std_logic;
CLK : in std_logic;
D : in std_logic
);
end component;
component LUT3
generic( INIT : bit_vector := X"0" );
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
--buffer_Full <= '1' when (addr_i = "11111") else '0';
buffer_Full <= '1' when (addr_i(0) = DEPTH(4) and
addr_i(1) = DEPTH(3) and
addr_i(2) = DEPTH(2) and
addr_i(3) = DEPTH(1) and
addr_i(4) = DEPTH(0) ) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "00000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
Data_Exists <= data_Exists_I;
addr_cy(0) <= valid_Write;
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
--------------------------------------------------------------------------
-- Data Exists DFF Instance
--------------------------------------------------------------------------
DATA_EXISTS_DFF : FDR
port map (
Q => data_Exists_i, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Valid Write LUT Instance
--------------------------------------------------------------------------
-- XST CR183399 WA
-- valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
VALID_WRITE_I : LUT3
generic map ( INIT => X"8A" )
port map (
O => valid_Write,
I0 => FIFO_Write,
I1 => FIFO_Read,
I2 => buffer_Full
);
--END XST WA for CR183399
--------------------------------------------------------------------------
-- GENERATE ADDRESS COUNTERS
--------------------------------------------------------------------------
ADDR_COUNTERS : for i in 0 to 4 generate
hsum_A(I) <= (FIFO_Read xor addr_i(i)) and
(FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
S => hsum_A(i), -- [in std_logic]
LO => addr_cy(i+1) -- [out std_logic]
);
XORCY_I : XORCY
port map (
LI => hsum_A(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
O => sum_A(i) -- [out std_logic]
);
FDRE_I : FDRE
port map (
Q => addr_i(i), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_i, -- [in std_logic]
D => sum_A(i), -- [in std_logic]
R => Reset -- [in std_logic]
);
end generate Addr_Counters;
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM : for i in 0 to C_DWIDTH-1 generate
SRLC16E_LS : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls(i),
Q15 => q15(i),
A0 => addr_i(0),
A1 => addr_i(1),
A2 => addr_i(2),
A3 => addr_i(3),
CE => valid_Write,
CLK => Clk,
D => Data_In(i)
);
SRL16E_MS : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => valid_Write,
D => q15(i),
Clk => Clk,
A0 => addr_i(0),
A1 => addr_i(1),
A2 => addr_i(2),
A3 => addr_i(3),
Q => D_Out_ms(i)
);
MUXF5_I: MUXF5
port map (
O => Data_Out(i), --[out]
I0 => D_Out_ls(i), --[in]
I1 => D_Out_ms(i), --[in]
S => addr_i(4) --[in]
);
end generate FIFO_RAM;
--------------------------------------------------------------------------
-- INT_ADDR_PROCESS
--------------------------------------------------------------------------
-- This process assigns the internal address to the output port
--------------------------------------------------------------------------
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(4 - i); --flip the bits to account for srl16 addr
end loop;
end process;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 32, LESS THAN 65,
-- AND VIRTEX-E AND OLDER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_32_64_VE : if ( (C_DEPTH > 32) and (C_DEPTH < 65) and
( equalIgnoreCase(C_FAMILY,"virtex") or
equalIgnoreCase(C_FAMILY,"virtexe") or
equalIgnoreCase(C_FAMILY,"spartan3e") or
equalIgnoreCase(C_FAMILY,"spartan3") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i_1 : std_logic_vector(3 downto 0);
signal buffer_Full_1 : std_logic;
signal next_buffer_Full_1 : std_logic;
signal next_Data_Exists_1 : std_logic;
signal data_Exists_I_1 : std_logic;
signal FIFO_Write_1 : std_logic;
signal Data_In_1 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_1 : std_logic;
signal Data_Out_1 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_2 : std_logic_vector(3 downto 0);
signal buffer_Full_2 : std_logic;
signal next_buffer_Full_2 : std_logic;
signal next_Data_Exists_2 : std_logic;
signal data_Exists_I_2 : std_logic;
signal FIFO_Write_2 : std_logic;
signal Data_In_2 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_2 : std_logic;
signal Data_Out_2 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_3 : std_logic_vector(3 downto 0);
signal buffer_Full_3 : std_logic;
signal next_buffer_Full_3 : std_logic;
signal next_Data_Exists_3 : std_logic;
signal data_Exists_I_3 : std_logic;
signal FIFO_Write_3 : std_logic;
signal Data_In_3 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_3 : std_logic;
signal Data_Out_3 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_4 : std_logic_vector(3 downto 0);
signal buffer_Full_4 : std_logic;
signal next_buffer_Full_4 : std_logic;
signal next_Data_Exists_4 : std_logic;
signal data_Exists_I_4 : std_logic;
signal FIFO_Write_4 : std_logic;
signal Data_In_4 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_4 : std_logic;
signal Data_Out_4 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
FIFO_Write_1 <= FIFO_Write;
FIFO_Read_1 <= FIFO_Read;
FIFO_Write_2 <= FIFO_Write and buffer_Full_1;
FIFO_Read_2 <= FIFO_Read;
FIFO_Write_3 <= FIFO_Write and buffer_Full_2;
FIFO_Read_3 <= FIFO_Read;
FIFO_Write_4 <= FIFO_Write and buffer_Full_3;
FIFO_Read_4 <= FIFO_Read;
Data_In_1 <= Data_In;
Data_In_2 <= Data_Out_1;
Data_In_3 <= Data_Out_2;
Data_In_4 <= Data_Out_3;
FIFO_Full <= buffer_Full_4;
next_buffer_Full_1 <= '1' when (addr_i_1 = "1111") else '0';
next_buffer_Full_2 <= '1' when (addr_i_2 = "1111") else '0';
next_buffer_Full_3 <= '1' when (addr_i_3 = "1111") else '0';
next_buffer_Full_4 <= '1' when (addr_i_4 = "1111") else '0';
next_Data_Exists_1 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_1(0))
and not(addr_i_1(1)) and not(addr_i_1(2))
and not(addr_i_1(3))) or data_Exists_I_1) and
not(FIFO_Read and not(FIFO_Write)
and not(addr_i_1(0)) and not(addr_i_1(1)) and not
(addr_i_1(2)) and not(addr_i_1(3)));
next_Data_Exists_2 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_2(0))
and not(addr_i_2(1)) and not(addr_i_2(2))
and not(addr_i_2(3)) and (buffer_Full_1)) or
data_Exists_I_2) and not(FIFO_Read and not(FIFO_Write)
and not(addr_i_2(0)) and not(addr_i_2(1)) and not
(addr_i_2(2)) and not(addr_i_2(3)));
next_Data_Exists_3 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_3(0))
and not(addr_i_3(1)) and not(addr_i_3(2)) and not
(addr_i_3(3)) and (buffer_Full_2)) or data_Exists_I_3)
and not(FIFO_Read and not(FIFO_Write) and not
(addr_i_3(0)) and not(addr_i_3(1)) and not
(addr_i_3(2)) and not(addr_i_3(3)));
next_Data_Exists_4 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_4(0))
and not(addr_i_4(1)) and not(addr_i_4(2)) and not
(addr_i_4(3)) and (buffer_Full_3)) or data_Exists_I_4)
and not(FIFO_Read and not(FIFO_Write) and
not(addr_i_4(0)) and not(addr_i_4(1)) and
not(addr_i_4(2)) and not(addr_i_4(3)));
data_Exists <= data_Exists_I_1;
Data_Out <= Data_Out_4 when (data_Exists_I_4 = '1') else
Data_Out_3 when (data_Exists_I_3 = '1') else
Data_Out_2 when (data_Exists_I_2 = '1') else
Data_Out_1;
FIFO_Empty <= not data_Exists_I_1;
--------------------------------------------------------------------------
-- Address Processes
--------------------------------------------------------------------------
ADDRS_1 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_1 <= "0000";
elsif ((buffer_Full_1='0') and (FIFO_Write='1') and
(FIFO_Read='0') and (data_Exists_I_1='1')) then
addr_i_1 <= addr_i_1 + 1;
elsif (not(addr_i_1 = "0000") and (FIFO_Read='1') and
(FIFO_Write='0') and (data_Exists_I_2='0')) then
addr_i_1 <= addr_i_1 - 1;
else
null;
end if;
end if;
end process;
ADDRS_2 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_2 <= "0000";
elsif ((buffer_Full_2='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_1 = '1') and
(data_Exists_I_2='1')) then
addr_i_2 <= addr_i_2 + 1;
elsif (not(addr_i_2 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0') and (data_Exists_I_3='0')) then
addr_i_2 <= addr_i_2 - 1;
else
null;
end if;
end if;
end process;
ADDRS_3 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_3 <= "0000";
elsif ((buffer_Full_3='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_2 = '1') and
(data_Exists_I_3='1')) then
addr_i_3 <= addr_i_3 + 1;
elsif (not(addr_i_3 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0') and (data_Exists_I_4='0')) then
addr_i_3 <= addr_i_3 - 1;
else
null;
end if;
end if;
end process;
ADDRS_4 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_4 <= "0000";
elsif ((buffer_Full_4='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_3 = '1') and
(data_Exists_I_4='1')) then
addr_i_4 <= addr_i_4 + 1;
elsif (not(addr_i_4 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0')) then
addr_i_4 <= addr_i_4 - 1;
else
null;
end if;
end if;
end process;
ADDR_OUT : process (addr_i_1, addr_i_2, addr_i_3, addr_i_4,
data_Exists_I_2, data_Exists_I_3, data_Exists_I_4)
begin
if ( (data_Exists_I_2 = '0') and
(data_Exists_I_3 = '0') and
(data_Exists_I_4 = '0') )
then
Addr <= "00" & addr_i_1;
elsif ( (data_Exists_I_3 = '0') and
(data_Exists_I_4 = '0') )
then
Addr <= "01" & addr_i_2;
elsif ( (data_Exists_I_4 = '0') )
then
Addr <= "10" & addr_i_3;
else
Addr <= "11" & addr_i_4;
end if;
end process;
--------------------------------------------------------------------------
-- Data Exists Instances
--------------------------------------------------------------------------
DATA_EXISTS_1_DFF : FDR
port map (
Q => data_Exists_I_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_2_DFF : FDR
port map (
Q => data_Exists_I_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_3_DFF : FDR
port map (
Q => data_Exists_I_3, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_3, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_4_DFF : FDR
port map (
Q => data_Exists_I_4, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_4, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Buffer Full Instances
--------------------------------------------------------------------------
BUFFER_FULL_1_DFF : FDR
port map (
Q => buffer_Full_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_2_DFF : FDR
port map (
Q => buffer_Full_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_3_DFF : FDR
port map (
Q => buffer_Full_3, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_3, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_4_DFF : FDR
port map (
Q => buffer_Full_4, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_4, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM_1 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_1, -- [in std_logic]
D => Data_In_1(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_1(0), -- [in std_logic]
A1 => addr_i_1(1), -- [in std_logic]
A2 => addr_i_1(2), -- [in std_logic]
A3 => addr_i_1(3), -- [in std_logic]
Q => Data_Out_1(I) -- [out std_logic]
);
end generate FIFO_RAM_1;
FIFO_RAM_2 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_2, -- [in std_logic]
D => Data_In_2(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_2(0), -- [in std_logic]
A1 => addr_i_2(1), -- [in std_logic]
A2 => addr_i_2(2), -- [in std_logic]
A3 => addr_i_2(3), -- [in std_logic]
Q => Data_Out_2(I) -- [out std_logic]
);
end generate FIFO_RAM_2;
FIFO_RAM_3 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_3, -- [in std_logic]
D => Data_In_3(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_3(0), -- [in std_logic]
A1 => addr_i_3(1), -- [in std_logic]
A2 => addr_i_3(2), -- [in std_logic]
A3 => addr_i_3(3), -- [in std_logic]
Q => Data_Out_3(I) -- [out std_logic]
);
end generate FIFO_RAM_3;
FIFO_RAM_4 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_4, -- [in std_logic]
D => Data_In_4(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_4(0), -- [in std_logic]
A1 => addr_i_4(1), -- [in std_logic]
A2 => addr_i_4(2), -- [in std_logic]
A3 => addr_i_4(3), -- [in std_logic]
Q => Data_Out_4(I) -- [out std_logic]
);
end generate FIFO_RAM_4;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 32, LESS THAN 65,
-- AND VIRTEX-2 AND NEWER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_32_64_V2 : if ( (C_DEPTH > 32) and (C_DEPTH < 65) and
( equalIgnoreCase(C_FAMILY,"virtex2") or
equalIgnoreCase(C_FAMILY,"virtex2p") or
equalIgnoreCase(C_FAMILY,"virtex4") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
constant DEPTH : std_logic_vector(0 to 5) :=
conv_std_logic_vector(C_DEPTH-1,6);
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 5);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 5);
signal sum_A : std_logic_vector(0 to 5);
signal addr_cy : std_logic_vector(0 to 6);
signal D_Out_ls_1 : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ls_2 : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ls_3 : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ms : std_logic_vector(0 to C_DWIDTH-1);
signal Data_O_ls : std_logic_vector(0 to C_DWIDTH-1);
signal Data_O_ms : std_logic_vector(0 to C_DWIDTH-1);
signal q15_1 : std_logic_vector(0 to C_DWIDTH-1);
signal q15_2 : std_logic_vector(0 to C_DWIDTH-1);
signal q15_3 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic
);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic
);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
component MUXF5
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
S : in std_logic
);
end component;
component MUXF6
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
S : in std_logic
);
end component;
component SRLC16E
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
Q : out std_logic;
Q15 : out std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
CE : in std_logic;
CLK : in std_logic;
D : in std_logic
);
end component;
-- XST WA for CR183399
component LUT3
generic( INIT : bit_vector := X"0" );
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
-- buffer_Full <= '1' when (addr_i = "11111") else '0';
buffer_Full <= '1' when (addr_i(0) = DEPTH(5) and
addr_i(1) = DEPTH(4) and
addr_i(2) = DEPTH(3) and
addr_i(3) = DEPTH(2) and
addr_i(4) = DEPTH(1) and
addr_i(5) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "000000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists <= data_Exists_I;
addr_cy(0) <= valid_Write;
--------------------------------------------------------------------------
-- Data Exists DFF Instance
--------------------------------------------------------------------------
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Valid Write LUT Instance
--------------------------------------------------------------------------
-- XST CR183399 WA
-- valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
VALID_WRITE_I : LUT3
generic map ( INIT => X"8A" )
port map (
O => valid_Write, -- [out std_logic]
I0 => FIFO_Write, -- [in std_logic]
I1 => FIFO_Read, -- [in std_logic]
I2 => buffer_Full -- [in std_logic]
);
--END XST WA for CR183399
--------------------------------------------------------------------------
-- GENERATE ADDRESS COUNTERS
--------------------------------------------------------------------------
ADDR_COUNTERS : for i in 0 to 5 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and
(FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
S => hsum_A(i), -- [in std_logic]
LO => addr_cy(i+1) -- [out std_logic]
);
XORCY_I : XORCY
port map (
LI => hsum_A(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
O => sum_A(i) -- [out std_logic]
);
FDRE_I : FDRE
port map (
Q => addr_i(i), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_i, -- [in std_logic]
D => sum_A(i), -- [in std_logic]
R => Reset -- [in std_logic]
);
end generate ADDR_COUNTERS;
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM : for i in 0 to C_DWIDTH-1 generate
SRLC16E_LS1 : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls_1(i), --[out]
Q15 => q15_1(i), --[out]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
CE => valid_Write, --[in]
CLK => Clk, --[in]
D => Data_In(i) --[in]
);
SRLC16E_LS2 : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls_2(i), --[out]
Q15 => q15_2(i), --[out]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
CE => valid_Write, --[in]
CLK => Clk, --[in]
D => q15_1(i) --[in]
);
MUXF5_LS: MUXF5
port map (
O => Data_O_LS(i), --[out]
I0 => D_Out_ls_1(I), --[in]
I1 => D_Out_ls_2(I), --[in]
S => addr_i(4) --[in]
);
SRLC16E_LS3 : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls_3(i), --[out]
Q15 => q15_3(i), --[out]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
CE => valid_Write, --[in]
CLK => Clk, --[in]
D => q15_2(i) --[in]
);
SRL16E_MS : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => valid_Write, --[in]
D => q15_3(i), --[in]
Clk => Clk, --[in]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
Q => D_Out_ms(I) --[out]
);
MUXF5_MS: MUXF5
port map (
O => Data_O_MS(i), --[out]
I0 => D_Out_ls_3(i), --[in]
I1 => D_Out_ms(i), --[in]
S => addr_i(4) --[in]
);
MUXF6_I: MUXF6
port map (
O => Data_out(i), --[out]
I0 => Data_O_ls(i), --[in]
I1 => Data_O_ms(i), --[in]
S => addr_i(5) --[in]
);
end generate FIFO_RAM;
--------------------------------------------------------------------------
-- INT_ADDR_PROCESS
--------------------------------------------------------------------------
-- This process assigns the internal address to the output port
--------------------------------------------------------------------------
INT_ADDR_PROCESS:process (addr_i)
begin
for i in Addr'range
loop
Addr(i) <= addr_i(5 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end generate;
end architecture imp;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo_rbu.vhd | 15 | 18227 | -------------------------------------------------------------------------------
-- $Id: srl_fifo_rbu.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo_rbu - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo_rbu.vhd
--
-- Description: A small-depth FIFO with capability to back up and reread data.
-- SRL16 primitives are used for the FIFO storage.
--
-- Features:
-- - Width (arbitrary) and depth (1..16) are
-- instance selectable.
-- - Commands: read, write, and reread n.
-- - Flags: empty and full.
-- - The reread n command (executed by applying
-- a non-zero value, n, to signal Num_To_Reread
-- for one clock period) allows n
-- previously read elements to be restored to the FIFO,
-- limited, however, to the number of elements that have
-- not been overwritten. (User's responsibility to
-- assure that the elements being restored
-- are actually in the FIFO storage.)
-- - Commands may be asserted simultaneously.
-- However, if read and reread n are asserted
-- simultaneously, only the read is carried out.
-- - Overflow and underflow are detected and latched until
-- Reset. The state of the FIFO is undefined during
--------------------------------------------------------------------------------
-- status of underflow and overflow. If neither overflow
-- nor underflow needs to be detected, the
-- Overflow and Underflow output ports may be left open
-- to allow the tools to optimize away the associated
-- logic.
-- - The resources needed to address the storage scale with
-- selected depth. (e.g. a 7-deep FIFO gets by with
-- one fewer address bits than an 8-deep, etc.)
-- - The Addr output is always one less than the current
-- occupancy when the FIFO is non-empty, and is all ones
-- otherwise.
--
-- Srl_fifo_rbu is a descendent of srl_fifo and srl_fifo2,
-- but the internals are somewhat reworked. The essential
-- new feature is the read-backup capability. Other
-- differences are:
-- -The Data_Exists signal of those FIFOs--which
-- had meaning "fifo not empty"--is eliminated and
-- signal FIFO_Empty is available to determine the
-- empty/non-empty condition.
-- -The Addr output has a different definition than the
-- two ancestor FIFOs. (Srl_fifo and srl_fifo2 have
-- addr=0 when the FIFO contains one element and when
-- the FIFO is empty.)
-- -The ancestor FIFOs inhibited FIFO operations that
-- would have caused an overflow or underflow but
-- did not report the error. This FIFO allows the
-- operation (which puts the FIFO in an undefined state)
-- but reports the error.
-- -If the overflow and underflow flags are not used,
-- srl_fifo_rbu has no size disadvantage compared to
-- srl_fifo and srl_fifo2, despite the added capability
-- of reread n.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo_rbu.vhd
-- proc_common_pkg.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler for the enhancements relative to earlier
-- srl_fifos. Original srl_fifo by Goran Bilski.
--
-- History:
-- FLO 05/01/02 First Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- predecessor value by # clks: "*_p#"
library ieee;
library unisim;
library proc_common_v4_0;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.">=";
use ieee.numeric_std.TO_UNSIGNED;
use unisim.all;
use proc_common_v4_0.proc_common_pkg.log2;
entity srl_fifo_rbu is
generic (
C_DWIDTH : positive := 8;
C_DEPTH : positive := 16;
C_XON : boolean := false -- for mixed mode sims
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Addr : out std_logic_vector(0 to log2(C_DEPTH)-1);
Num_To_Reread : in std_logic_vector(0 to log2(C_DEPTH)-1);
Underflow : out std_logic;
Overflow : out std_logic
);
--Note:
--ToDo, Num_To_Reread is a good candidate testcase for unconstrained ports.
-- The user would specify--by the width of the signal that is hooked up to
-- Num_To_Reread-- how many bits are needed for the reread count.
-- If Num_To_Reread were hooked up to the null array, then the
-- reread capability would be disabled.
end entity srl_fifo_rbu;
architecture imp of srl_fifo_rbu is
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16E;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component;
component FDS is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
S : in std_logic);
end component FDS;
--function log2(n: natural) return natural is
-- variable i: integer := 1;
-- variable r: integer := 0;
--begin
-- while i < n loop
-- i := 2*i; r := r+1;
-- end loop;
-- return r;
--end log2;
function bitwise_or(s: std_logic_vector) return std_logic is
variable v: std_logic := '0';
begin
for i in s'range loop
v := v or s(i);
end loop;
return v;
end bitwise_or;
constant ADDR_BITS : integer := log2(C_DEPTH);
-- An extra bit will be carried as the empty flag.
signal addr_i : std_logic_vector(ADDR_BITS downto 0);
signal hsum_A : std_logic_vector(ADDR_BITS downto 0);
signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0);
signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0);
signal addr_cy : std_logic_vector(ADDR_BITS+1 downto 0);
signal fifo_empty_i : std_logic;
signal overflow_i : std_logic;
signal underflow_i : std_logic;
signal srl16_addr : std_logic_vector(3 downto 0);
-- Used to zero high-order bits if C_DEPTH is 7 or less.
begin -- architecture IMP
-----------------------------------------------------------------------------
-- C_DEPTH is positive, which ensures the fifo is at least 1 element deep.
-- Make sure it is not greater than 16 locations deep.
-----------------------------------------------------------------------------
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
FULL_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset='1' then
FIFO_Full <= '0';
else
if addr_i_p1 = std_logic_vector(
TO_UNSIGNED(
C_DEPTH-1,ADDR_BITS+1
)
) then
FIFO_Full <= '1';
else
FIFO_Full <= '0';
end if;
end if;
end if;
end process;
fifo_empty_i <= addr_i(ADDR_BITS);
FIFO_Empty <= fifo_empty_i;
process (Num_To_Reread)
begin
num_to_reread_zeroext <= (others => '0');
num_to_reread_zeroext(Num_To_Reread'length-1 downto 0) <= Num_To_Reread;
end process;
addr_cy(0) <= FIFO_Write;
Addr_Counters : for I in 0 to ADDR_BITS generate
hsum_A(I) <= ((FIFO_Read or num_to_reread_zeroext(i)) xor addr_i(I));
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => addr_i_p1(I)); -- [out std_logic]
FDS_I : FDS
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
D => addr_i_p1(I), -- [in std_logic]
S => Reset); -- [in std_logic]
end generate Addr_Counters;
process (addr_i)
begin
srl16_addr <= (others => '0');
srl16_addr(ADDR_BITS-1 downto 0) <= addr_i(ADDR_BITS-1 downto 0);
end process;
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => FIFO_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => srl16_addr(0), -- [in std_logic]
A1 => srl16_addr(1), -- [in std_logic]
A2 => srl16_addr(2), -- [in std_logic]
A3 => srl16_addr(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0);
UNDERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
underflow_i <= '0';
elsif underflow_i = '1' then
underflow_i <= '1'; -- Underflow sticks until reset
else
underflow_i <= fifo_empty_i and FIFO_Read;
end if;
end if;
end process;
Underflow <= underflow_i;
------------------------------------------------------------------------------
-- Overflow detection:
-- The only case of non-erroneous operation for which addr_i (including
-- the high-order bit used as the empty flag) taken as an unsigned value
-- may be greater than or equal to C_DEPTH is when the FIFO is empty.
-- No overflow is possible when FIFO_Read, since Num_To_Reread is
-- overriden in this case and the number elements can at most remain
-- unchanged (that being when there is a simultaneous FIFO_Write).
-- However, when there is no FIFO_Read and but there is either a
-- FIFO_Write or a restoration of one or more read elements, then
-- addr_i becoming greater than or equal to C_DEPTH indicates an overflow.
------------------------------------------------------------------------------
OVERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
overflow_i <= '0';
elsif overflow_i = '1' then
overflow_i <= '1'; -- Overflow sticks until Reset
elsif FIFO_Read = '0' and
(FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and
UNSIGNED(addr_i_p1) >= C_DEPTH then
overflow_i <= '1';
else
overflow_i <= '0';
end if;
end if;
end process;
Overflow <= overflow_i;
end architecture imp;
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/updn_cntr.vhd | 19 | 10193 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block
wSnbWrCNlW89Hl8c5qLI8x5XsG8/CghupqMtsGERdMSXa3EK5J/Qit0WHm+njR821JFwXT565GTk
PfQmbPHqNCS0UKLtcdc3PZz0/PLyHx2I+i3o31d/LEWCSvVej8q9Im/IsuTfRijqwwpHV38mRUJu
zFppdNstRebIZC07b2wx4/Zw/EX4cSUu0lNqeHBvLS2bm6IdBe4MgzTchruIq08TrolYM2Pec74I
8AurMryqYhC6o/Ybr8S5oyAQKm3bDPQMozGLd2GswnXOpVxdQR7+Hcyfq/5aTzsLwGcNe2JCa9i8
0p/PpVMLrZWBL9KT3BXC7LiYyvbQaSj7GlTH5LlBKPsT/zboxVe8l8P0bRe4dyxRXzeeXPmsxiOF
0/97i6LbZCS1yzEDwEIGqWsQfBYSuYjMhqx8QLIPe7l4MS6pMtVm1wAwbZnR8MvKCKwrridhlL0a
sYEM4o5StHOo/sLWgJlTvYzV4wBL1kYSyuAWv5J2XUHvmseGIdNHnQk/EHV2Q8USm6z0OKXDAyPU
Sh9aHmD9LCdkDiyrAJGrai5dRFUJ3Ddo8PUjEdkVX5acXglzprK5M9di71HwWMt5aZ0Wi/SF68sY
UXFKCBlotPMyX1Rn9f+qiGldR2tYWjyGjaeTqzgFYeTDOxuNZ9gO/D+s8NmGVG7VaSGpNswKgdiP
NbcOO8Nq2ovne7Rfr6W5Gcc6ZaQI3aXrPm5aRP+LkyT5kSCO1bNOJ4X/klsW3zGGL8Vj3wS0zdci
2dUa6AaFKd12zun70jAFPzUE5cTTAbFsMtClV9Pq9x/yrZt1elEvkGg9M4qPjj/U3+L0VPjb3Mh4
jcxEwGjb4ogTCeX0kg2z+xYoihuXrU3c07HF8yg2tM4y6HagSoYplt/3TuLuBovINEnhdIW7+Wo7
wwEIEDtWtG468YEvpPnMVZL8DQPbEFRaN7ggyg3ajrUmGdEtPcRMeD9jTiRqin2dbsO0Awpz2Rw2
fX2w6bcZuUP3r1GAVYOP/fx8tPq58+IOCQkm0F65Rto25RJaO57YXZxa+tdHA9BBpp6EA37TfFhe
GGQoH92qkzX94C97QPn9a0uRzBIZzUXMXXZUhEbG1W309NbQetXemgpFw0Te23Dj2PSYua5lmUIm
3lYjodOPINOD7P8upsOuPgxvF0mVRPbv1EtF7G1dl7MYCqLDh+ES1/GE4C+Q3Glrcgn2xP4XJJjJ
VSXnkskBosP/n19w6EzI7LlEFn6VupIkTa2s91ujn8dFvwNjW3eu7SqSR7kPuoQT/uUctwSnLu0B
1r6df9edkDQmkEkI8rbERFx6Xy410GK4t6e1wnHkXzpSwqPwbb/h2F8z9sZH90KSbm2x4hPW4uaS
Cpfk2AdWjIYpUyB9uWvujYFhEYu2FhnpepEbo0UqnGmi7nY8Bcipe/uJWMfUd0tkMxmrDDMY/PgD
8/nodOpNOcJA1euM2HklIOvK74fGp5ZEip2nXGGNBVL/YnpS4W8+dyRYe/Ai0+0mVOHcBt+vqKBw
A6xOkZcouLNPHR/tA5z+ThOnUd0NvslK1FY7BB1Vgfu4AhPX79e6f9H7HRW/WWBDso6MpASN7NQn
ZqJcZkPN7WmHcKT+Be9B4glpqx5Bb+BqHR1RsFwCRRTzrj+8JppUwrLiiEyAhcksWOXkQSpd5DRL
Igyh4e8icsYXhfVEW+rPAcgvsiFGBrIrJ0vupk7WkN7kFwNH9kgNup7/Dvv+UgDQI9Bp3wNE8/vJ
Qw3rYD7p/QbTvtEPzrqm5oLEXRObv9Iars8jrsnwJQi9JCHfEvy/Zpq7fmkvAPq+ze9/1ajaSej+
j8xVFpMSiiYQ8C0nvWWcFqVe+3yzBm1j6R57EkF7SZlh7OLrqBEv9bygNvk7l025TgL9LIVDPtkX
AlMWZfEJ2bXrWqUkDD1+wTYjPXQUvoTrKab8t5wyWg8SVVQbRiCT5+MP8ShfKgaDvuV/40VELz+p
LihJQfbg2IdRC29XBGeVnlhwhSPpE3KXVCPl5ZjwgXc6cS7OVwBn50JIy0lErtdqdAopRtXyoANC
+nsV0+rFq3JCsEAXrsfTW2yoXrMnoABqY+V6Rv4C/wd4i1aoAMp+sgKgVMVpRA7QlBRLoCAjzrF/
jiPqfrpE9WM2yNPW/P1v73UQCOitYKQmeKE5D0VnfbB8yrlhKYElulMkI+0tfSdxJB5+tVTI8RTI
J46CsXxjPoYHQkhtZuYUWmWXcgH3D9kf8iFub7ZKZHgDz9BFBh7gyRXXyX5Zdo1uhhMjH8nBUDoQ
mEMbPjVIvmmCf33HGlROPfG+avNhyVz570/9XjJMOvOJPU3HlBtSQoE6zs33xFyJCOObvzcQCJG2
aZVtSEfPBbUVI3IWTMBpkMKU4gQEbBiNQdqnmfjLvOcIJ4pag2zIOoGa23QbGC5fk3DNCZlljHhu
l1EayU8CQCoFW5R49Dba7Ic5Wb5vxEwLpssaqCPKMKDyBL9dh4GZKsLpTYlHcaRYCRocL0LrTLjY
cxFBmOuv+2gCj6z8lXG5NY/0kNQcd/+LOsYvvMAP7tGvQYm3SNRPReBZIfbx1NseTxLuLb/da/KJ
N1ZyzGrugnyChiV2/k0XRoozzu3i9tZ8L+soqLnZv0dPV+b9HBCugg/yQ1m6f4910Twi1nEzBs/B
QbF3VWpcOGoUm5p9fcILVRe9gk2fVh7JyM8dBsVi1HwJMcnxoUuWCzLqXgRVU5pF4z5QxQOim6M/
/gPWCuhV+pvogyEUrpH14U+mycKeMMXGogT0hRppCovDZgDavSlp1nlPe2kl47Q3NB6fH9/+ekOJ
rNWIEJtw/77kqXnQYQGr2guqvZqnyUZ14+ONEmBPeZHm8jhW+UQHsRk61zLNaxrJSf0XPNuV58nK
fAiB2yUy0TpzQ+qcuwjBUEoIxDqhO+K9nnPJUhSOfxzk1OPTkZxMhr9KId88i8v9DzWJyNHvIaLN
KOzhFFRDn1LO49iqeZfabx0Fqu9jj+R1xG9jLMReOz/+EVcEopTnSen2SgOBR+yFMDHc4bcJl1bu
8StrXNQOHG9CcVaWTTyfM6VUdC+YhI+gq2IUykfnqv8BNOq9FcwGKI1rBrnZKIarvOt7Ox+4O4W7
6fLp4OC11RL4jemMTFekYNM3+bwko4NZOcE9Hgh/IgzS3D/vg9wOO5qoPm0WMTTyBU4tEbEK9TMR
0C6b76r4WraPe/w6cDZKU8et8pdhftc393/xONVo6yQp22BrW7W7shIFBU/ye+iXTiK3omLftWv2
UUaY4uZJ19Pj1KKi7m+C5BFEKaDafpbVmdgdtN/OG3BMY015mHf9DawcUE/4P4vh9mJTMsXl0a7G
owb/SKrLWo1SO6tiXczT6dlzLaberrflmdPWOzxRVT4g+kth93PhesenGDq4O7uf8e+tIRQ7opSb
TiwR9kCP9BekwiYg2fT72UFGozMOL8/HNhjWMBSl/jMQxP4edpH9rQz/vUA8GF/ixNDDrPrNoLes
yXC1prvqJIYsrfrdzaoCYild0p1R4CemRPopfqqwJRXxwwLDWFksfqKxLvvZz8JA0uz70LSSQmEi
YOtBnoHakvM9/yFjprI9oEAEKtOoK/0N0Hv6zNHyp/UbMX9O0JF6iDemf7RvyqWu0Y+pza5M4CGw
x01VtrxVlxmGc941ZsBmH0tD3AaSydL6wVhzhd2Ujo/KYpSRUgTfNMdxZLLwFZBidyKc5CHmlnmi
PIwqw7VvRfsGsqQwHSDNSciJ9/nApAViPFTxDTiPzfFRjX8VmB0gHdil5x/4jSpQ+l24n5C4l7S4
K0LWz7DeDZLjDBMXlU3O3ZR4KhkYMjdlw37mjVjOK6W+339Dgz2Ft4qzXqKUA/ZGY6wJeJMHJwcv
aIwSG3SzixxwbKT7ueRbtrLPsvdHmZIF9b8ZOxypm1BXKxuZ8ZO5z5zCb5RnhkWoouZMRYexuBCN
K8bVb0DXbBLVx42pjwg2d9Rstqv3KMLxkIVyaH1+pBMH9o0tXtEqEaZhlDd69RoFbrxeEJAB2Z3S
qSb9yO1/UCIOes0WnZjgdxBb8a+NyHIzrylK70oua43cGVTGmHToqQavumxBhXl6QAP+jGP0HyqJ
ZKLTg+eeGsNb4jVzG0ND/UVOQZVtIqGq+/BJqQbY7fnLi6vb4OZkTYkIpYmLDrN9i3T3Zv5wkIhb
bFesC6DtCFJEb8EYYun7JZ2+mblPfsNLQmcC/Ra8eOvjNxPoGJshRr+v6dS2UOgHaILWxRlA+7E/
pdsfzU/J6zLpCHyoZ5EbtqKkyOgI0H8ImG4VAfR+YHdkaGhz5pPzdInbIldNl8n9kTwjCvoytVhZ
auX5mrq5AYrRXY9aqIvHg0NPGYt5fG57KPBLGhu4QLzil54zV07mUQ6PaZ+TtU6vBJeUnyzfRw5p
gSPrnGub3hbkGy7oaFINsdoIM4vYhdp80TFNLKatx4RpkJ2p3JnA1+rAZbpMnbDkcdvZTvBfXuWV
EAUeFdop6EElXsHK2sBAY0I+jHxjhL8v+uydJ0Mtu/24RnYebsamFVu54ODcmWfaqS73dBFUyHCG
f7cccuQHZrQPzd5l6tZFcwImkB7EkS9DKsMfjAAm8Ly6IDDLdvRGP8MTyKz9ZYllt4RCtD7d51KE
LMZ8aBk2rTCWdIM3DGxYViDzx+MQO/beexzZni6QLoXaOcxO0ZtAm6jelK/Z+qJWy2ZHwXcjPXSq
UIfzCjDYNuvLLzdzZfOja+8xp9qqlSEgwZxJT5/oy8A3/DhqdmXDWJE37T4eZjwb4j8ZA/Z8/DZX
ruVB/k8qzPfFtH876p4hhQlqjaFqmWxQ/zBevroLloNqPXX8g5EoAI9FC0LiWrx/3bDu+Q53rUw3
p3HpQ3KgpZGDvkm1ilulVN54SEHyPH5pUsZ7kdfw0EiTKryaggETK8yvZD5564bQ3mYs1TzAt3FT
oF5JnnN/WUgqBSKnUAjM986kAdUn2ssD3wCfAWgRjog+wY1+wzirK14hFUKZ4YQSpMc8kQOvV6L/
xMSmpnUpImOke4fnILUTJ/q1smzXIaoUDvZATHHIqfODxvu9jjxxd0yS/e6N8kq+t9Aqs4QhvGNe
K3hO9U9r2pd+GmqMA22Ne023T+xq/5031gKYMbWkKSsIcU21m44ATTMES0/5o5AsnF0QC4EGIxcU
06pAUhhrbe2sCw1fetB/x6GoS6egWvLAoWcfnqdHFyIHkvtvxBX7LlkeILavAFQWkjksihKG1DOz
JX2fvTBRFPOThKHMgOMxC7XpMInLlcKv8queRGcTkuRRI84kOL14ho6OScq/8JsdFLSvxASR+aJ3
Rgmh8CrClSueKZJqXMvOeXAySGovmAPQuVRaEEvNrrWTsw3Y/YQX6HxhMYwuKLPtYd255vg6KUom
ztcIVOK5YFLO5qEZhys5Y/tXoXCkmMRV3A/5VKRbyWdf4OzVCzV5h6KCJs4dyBXcNC3AzXl3t2lQ
vAaoYx7ti0w5NyNphC4AXnZj2YUT0ZLOIq/xE9ex5ABHj2fnbSsnJ66jIhozsKbxLA7KimDYysLi
HaVCqoP8IdrV2WX15TabZPyZ93dXqp1EFgqDqvkYyyyTx1qyYHNWBZHBST7Epayt+nn8hecgtsu3
GpolOkp0Uyfn/dR/1D0piqKzuM55CNlQPg6YU1sqDpyLVoH+07EGLcd9DT6wYaQe3nIf1sWEiV8f
eB2GdF1SG8nsHtclUfWE3SDikn99uuQUpKx3Dmi434KtWCRBhhkixNpGY1mL8v3PkGq+aV61TYn9
eXzOyO6wB73aylUtgdxeQ9sA3o0oCCEdN897mfJtJJ7O+AuS4RUTVTm1Lr6CFsIBecq3Ygi6bqJS
eaXlsFpOO57BydJDP6HiY9gxAIJ48+iFPvpW3gq9FzMFHwvz7UWXwAFsLAtox4lkiWcHdXn9ocnX
0UsArnpTwehW6tQr4SU553YuML77wavoaN8iPIgRXu/tGnSFqEW3Hu1MXfd5Ml/AkJwslvD8qa2C
LaCXQe1fQ4mw5cnmKwRn3WLyfh1n2dV2zbKjVXEUlW0VIiaUvSmHNbST9FKA+HXoj8YquE35oGLj
GpybA7Vm4/GUxixUmH0M/6B+GL/kRnyeRVCyuXEcVMZFrdsOp9a/l5fjrSFLJ/9PhyHHhqXbzMGi
xw8bYZ+TI6VxADEEDfzv0sD+70+nYuTUpkssKWrYzNzVTNQdD6loVM0IhRgnNOta6bTVYGPRl6qc
nT4KQFc5BXT24DmdX8a0YDsRA8UFvE7kZdrQTUnunQZlzABfqrKbkRL7S2WxfwdBAEOpfGyfjELB
4prIzok8MJRwQlcJnnVvG74n9NumqAopPR/rhH0DaApJnJM0CEZJcL/afIedD73tqwvMM9R+jR8t
UDLHDo/zv0K2eZRMOdZmtEuxdtsOMFhPRFVrPF8evJ2PNxx84ki1iTW/SVREQuUzDSR5CAbHUUc6
jKVu49yXxRYutVPNdYu244j453GC+/Z+9LFOkpLscm/TfmdBlcuzkJDy3rx2LA8Wjr/xC/D39Wl/
KKWzzXR9xDI5/HCIsnmQt0/71u5JJWgeur5wDsXzjdLiG6J3br4buqwbPYalv2lkunPXFr6qMVh3
QKHq1ay9j6oOEFZ0JXlxX3jKSOT7mM3BGytgLixoWlEAW1kjf6KdWd0uLjgmIEEZRRRfThq6HO99
6u7Wl/Y3S4NY0kjt0ka8q7aKKYQkLHZ80WBuXcZx9P4wC+ky5I5+8dtI0ZfQcUAKKjF3r5knSpUd
RVEUukcQTxcM8xREbGueAEn3N3fSa7BYS4CQOCFVKBVvCM3FiM0CcrSW4ePSmLFKSXnwH6esZyze
rJkmSgZU4DnnGCNK9vqTKN91XHm6BaIxl9Z1vncL/DgQ+axeEr7ZomuScGoc7SHi3IIiY13F3t+G
C98cdDSj+iS5EijyKvQ/WbNOIewerOyp+X9/Ct5wEzoSUObAAhIORugc6eYEPiek/DBFI8y+o12z
SHBcmzOvEPPiiYw+X4HYPsVJcy9GRqhBD7/biDvp5pU1lzVElYcbjn75Ol09L7WitOiUfmPBoels
WEzGv3bGQz3mhPjVareZdlysMkTVDi6sZ5GyA1VL+JVHPjuPibO5Zws9YawrFEcfc0nW6Dxa49hu
UGTHNaGvPZJXKmVaqsPkeSua3jubEzs9EkyjfhubJIUnBO6MQP7d8TeSAP9kYrpLH9kghFm6HmXq
XRU92W/nvSJIQPxJOkeS1NUXuqRUtz0/CR6otZNIR84QA92zcn5ua50BX1ool2GfAaIzwyhTA3jz
dvAqMhZQh9FwPY/Q3LGz5kNtRLtJXAUzXRSxGtZKosqd/JzT2uxoFQTTmya/y4ykuztzTHBmpEZC
i6VRxUgZAhvKI6C9cO3BWtg9g8XE/6/V4ry1Xn6BXvWLJVO5pjtVxSEjVXkLvo4cWRqnmU4li2eM
EZPlt/fra9+Xp1dBkMlJIrOraGOtvVbH1tB4tzJX/f9kVamPStmvhxH65OZDIcaD2PSyWN5Js11F
2T3wr46PtaHnUOJkbLeqrsfmYsbEbArM0XxRSaMiX3B0//X9i5v/Q+dIfhuvY8k6xDegsHcVZz6x
GP5+c2vIMAZR9eGMA4wbxSValgbOJk1Fvn9XV+zVdlhZwA8LDODZAcY7UdUpg8YQQ9wjLQ7gjsW2
uUPcU9jQShaLx0qxwcpbbKS5w+NFWp3fJJQb2UbDm0s+9irVpX8ShsgfRExB72lT1H3G
`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/ramfifo/updn_cntr.vhd | 19 | 10193 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block
wSnbWrCNlW89Hl8c5qLI8x5XsG8/CghupqMtsGERdMSXa3EK5J/Qit0WHm+njR821JFwXT565GTk
PfQmbPHqNCS0UKLtcdc3PZz0/PLyHx2I+i3o31d/LEWCSvVej8q9Im/IsuTfRijqwwpHV38mRUJu
zFppdNstRebIZC07b2wx4/Zw/EX4cSUu0lNqeHBvLS2bm6IdBe4MgzTchruIq08TrolYM2Pec74I
8AurMryqYhC6o/Ybr8S5oyAQKm3bDPQMozGLd2GswnXOpVxdQR7+Hcyfq/5aTzsLwGcNe2JCa9i8
0p/PpVMLrZWBL9KT3BXC7LiYyvbQaSj7GlTH5LlBKPsT/zboxVe8l8P0bRe4dyxRXzeeXPmsxiOF
0/97i6LbZCS1yzEDwEIGqWsQfBYSuYjMhqx8QLIPe7l4MS6pMtVm1wAwbZnR8MvKCKwrridhlL0a
sYEM4o5StHOo/sLWgJlTvYzV4wBL1kYSyuAWv5J2XUHvmseGIdNHnQk/EHV2Q8USm6z0OKXDAyPU
Sh9aHmD9LCdkDiyrAJGrai5dRFUJ3Ddo8PUjEdkVX5acXglzprK5M9di71HwWMt5aZ0Wi/SF68sY
UXFKCBlotPMyX1Rn9f+qiGldR2tYWjyGjaeTqzgFYeTDOxuNZ9gO/D+s8NmGVG7VaSGpNswKgdiP
NbcOO8Nq2ovne7Rfr6W5Gcc6ZaQI3aXrPm5aRP+LkyT5kSCO1bNOJ4X/klsW3zGGL8Vj3wS0zdci
2dUa6AaFKd12zun70jAFPzUE5cTTAbFsMtClV9Pq9x/yrZt1elEvkGg9M4qPjj/U3+L0VPjb3Mh4
jcxEwGjb4ogTCeX0kg2z+xYoihuXrU3c07HF8yg2tM4y6HagSoYplt/3TuLuBovINEnhdIW7+Wo7
wwEIEDtWtG468YEvpPnMVZL8DQPbEFRaN7ggyg3ajrUmGdEtPcRMeD9jTiRqin2dbsO0Awpz2Rw2
fX2w6bcZuUP3r1GAVYOP/fx8tPq58+IOCQkm0F65Rto25RJaO57YXZxa+tdHA9BBpp6EA37TfFhe
GGQoH92qkzX94C97QPn9a0uRzBIZzUXMXXZUhEbG1W309NbQetXemgpFw0Te23Dj2PSYua5lmUIm
3lYjodOPINOD7P8upsOuPgxvF0mVRPbv1EtF7G1dl7MYCqLDh+ES1/GE4C+Q3Glrcgn2xP4XJJjJ
VSXnkskBosP/n19w6EzI7LlEFn6VupIkTa2s91ujn8dFvwNjW3eu7SqSR7kPuoQT/uUctwSnLu0B
1r6df9edkDQmkEkI8rbERFx6Xy410GK4t6e1wnHkXzpSwqPwbb/h2F8z9sZH90KSbm2x4hPW4uaS
Cpfk2AdWjIYpUyB9uWvujYFhEYu2FhnpepEbo0UqnGmi7nY8Bcipe/uJWMfUd0tkMxmrDDMY/PgD
8/nodOpNOcJA1euM2HklIOvK74fGp5ZEip2nXGGNBVL/YnpS4W8+dyRYe/Ai0+0mVOHcBt+vqKBw
A6xOkZcouLNPHR/tA5z+ThOnUd0NvslK1FY7BB1Vgfu4AhPX79e6f9H7HRW/WWBDso6MpASN7NQn
ZqJcZkPN7WmHcKT+Be9B4glpqx5Bb+BqHR1RsFwCRRTzrj+8JppUwrLiiEyAhcksWOXkQSpd5DRL
Igyh4e8icsYXhfVEW+rPAcgvsiFGBrIrJ0vupk7WkN7kFwNH9kgNup7/Dvv+UgDQI9Bp3wNE8/vJ
Qw3rYD7p/QbTvtEPzrqm5oLEXRObv9Iars8jrsnwJQi9JCHfEvy/Zpq7fmkvAPq+ze9/1ajaSej+
j8xVFpMSiiYQ8C0nvWWcFqVe+3yzBm1j6R57EkF7SZlh7OLrqBEv9bygNvk7l025TgL9LIVDPtkX
AlMWZfEJ2bXrWqUkDD1+wTYjPXQUvoTrKab8t5wyWg8SVVQbRiCT5+MP8ShfKgaDvuV/40VELz+p
LihJQfbg2IdRC29XBGeVnlhwhSPpE3KXVCPl5ZjwgXc6cS7OVwBn50JIy0lErtdqdAopRtXyoANC
+nsV0+rFq3JCsEAXrsfTW2yoXrMnoABqY+V6Rv4C/wd4i1aoAMp+sgKgVMVpRA7QlBRLoCAjzrF/
jiPqfrpE9WM2yNPW/P1v73UQCOitYKQmeKE5D0VnfbB8yrlhKYElulMkI+0tfSdxJB5+tVTI8RTI
J46CsXxjPoYHQkhtZuYUWmWXcgH3D9kf8iFub7ZKZHgDz9BFBh7gyRXXyX5Zdo1uhhMjH8nBUDoQ
mEMbPjVIvmmCf33HGlROPfG+avNhyVz570/9XjJMOvOJPU3HlBtSQoE6zs33xFyJCOObvzcQCJG2
aZVtSEfPBbUVI3IWTMBpkMKU4gQEbBiNQdqnmfjLvOcIJ4pag2zIOoGa23QbGC5fk3DNCZlljHhu
l1EayU8CQCoFW5R49Dba7Ic5Wb5vxEwLpssaqCPKMKDyBL9dh4GZKsLpTYlHcaRYCRocL0LrTLjY
cxFBmOuv+2gCj6z8lXG5NY/0kNQcd/+LOsYvvMAP7tGvQYm3SNRPReBZIfbx1NseTxLuLb/da/KJ
N1ZyzGrugnyChiV2/k0XRoozzu3i9tZ8L+soqLnZv0dPV+b9HBCugg/yQ1m6f4910Twi1nEzBs/B
QbF3VWpcOGoUm5p9fcILVRe9gk2fVh7JyM8dBsVi1HwJMcnxoUuWCzLqXgRVU5pF4z5QxQOim6M/
/gPWCuhV+pvogyEUrpH14U+mycKeMMXGogT0hRppCovDZgDavSlp1nlPe2kl47Q3NB6fH9/+ekOJ
rNWIEJtw/77kqXnQYQGr2guqvZqnyUZ14+ONEmBPeZHm8jhW+UQHsRk61zLNaxrJSf0XPNuV58nK
fAiB2yUy0TpzQ+qcuwjBUEoIxDqhO+K9nnPJUhSOfxzk1OPTkZxMhr9KId88i8v9DzWJyNHvIaLN
KOzhFFRDn1LO49iqeZfabx0Fqu9jj+R1xG9jLMReOz/+EVcEopTnSen2SgOBR+yFMDHc4bcJl1bu
8StrXNQOHG9CcVaWTTyfM6VUdC+YhI+gq2IUykfnqv8BNOq9FcwGKI1rBrnZKIarvOt7Ox+4O4W7
6fLp4OC11RL4jemMTFekYNM3+bwko4NZOcE9Hgh/IgzS3D/vg9wOO5qoPm0WMTTyBU4tEbEK9TMR
0C6b76r4WraPe/w6cDZKU8et8pdhftc393/xONVo6yQp22BrW7W7shIFBU/ye+iXTiK3omLftWv2
UUaY4uZJ19Pj1KKi7m+C5BFEKaDafpbVmdgdtN/OG3BMY015mHf9DawcUE/4P4vh9mJTMsXl0a7G
owb/SKrLWo1SO6tiXczT6dlzLaberrflmdPWOzxRVT4g+kth93PhesenGDq4O7uf8e+tIRQ7opSb
TiwR9kCP9BekwiYg2fT72UFGozMOL8/HNhjWMBSl/jMQxP4edpH9rQz/vUA8GF/ixNDDrPrNoLes
yXC1prvqJIYsrfrdzaoCYild0p1R4CemRPopfqqwJRXxwwLDWFksfqKxLvvZz8JA0uz70LSSQmEi
YOtBnoHakvM9/yFjprI9oEAEKtOoK/0N0Hv6zNHyp/UbMX9O0JF6iDemf7RvyqWu0Y+pza5M4CGw
x01VtrxVlxmGc941ZsBmH0tD3AaSydL6wVhzhd2Ujo/KYpSRUgTfNMdxZLLwFZBidyKc5CHmlnmi
PIwqw7VvRfsGsqQwHSDNSciJ9/nApAViPFTxDTiPzfFRjX8VmB0gHdil5x/4jSpQ+l24n5C4l7S4
K0LWz7DeDZLjDBMXlU3O3ZR4KhkYMjdlw37mjVjOK6W+339Dgz2Ft4qzXqKUA/ZGY6wJeJMHJwcv
aIwSG3SzixxwbKT7ueRbtrLPsvdHmZIF9b8ZOxypm1BXKxuZ8ZO5z5zCb5RnhkWoouZMRYexuBCN
K8bVb0DXbBLVx42pjwg2d9Rstqv3KMLxkIVyaH1+pBMH9o0tXtEqEaZhlDd69RoFbrxeEJAB2Z3S
qSb9yO1/UCIOes0WnZjgdxBb8a+NyHIzrylK70oua43cGVTGmHToqQavumxBhXl6QAP+jGP0HyqJ
ZKLTg+eeGsNb4jVzG0ND/UVOQZVtIqGq+/BJqQbY7fnLi6vb4OZkTYkIpYmLDrN9i3T3Zv5wkIhb
bFesC6DtCFJEb8EYYun7JZ2+mblPfsNLQmcC/Ra8eOvjNxPoGJshRr+v6dS2UOgHaILWxRlA+7E/
pdsfzU/J6zLpCHyoZ5EbtqKkyOgI0H8ImG4VAfR+YHdkaGhz5pPzdInbIldNl8n9kTwjCvoytVhZ
auX5mrq5AYrRXY9aqIvHg0NPGYt5fG57KPBLGhu4QLzil54zV07mUQ6PaZ+TtU6vBJeUnyzfRw5p
gSPrnGub3hbkGy7oaFINsdoIM4vYhdp80TFNLKatx4RpkJ2p3JnA1+rAZbpMnbDkcdvZTvBfXuWV
EAUeFdop6EElXsHK2sBAY0I+jHxjhL8v+uydJ0Mtu/24RnYebsamFVu54ODcmWfaqS73dBFUyHCG
f7cccuQHZrQPzd5l6tZFcwImkB7EkS9DKsMfjAAm8Ly6IDDLdvRGP8MTyKz9ZYllt4RCtD7d51KE
LMZ8aBk2rTCWdIM3DGxYViDzx+MQO/beexzZni6QLoXaOcxO0ZtAm6jelK/Z+qJWy2ZHwXcjPXSq
UIfzCjDYNuvLLzdzZfOja+8xp9qqlSEgwZxJT5/oy8A3/DhqdmXDWJE37T4eZjwb4j8ZA/Z8/DZX
ruVB/k8qzPfFtH876p4hhQlqjaFqmWxQ/zBevroLloNqPXX8g5EoAI9FC0LiWrx/3bDu+Q53rUw3
p3HpQ3KgpZGDvkm1ilulVN54SEHyPH5pUsZ7kdfw0EiTKryaggETK8yvZD5564bQ3mYs1TzAt3FT
oF5JnnN/WUgqBSKnUAjM986kAdUn2ssD3wCfAWgRjog+wY1+wzirK14hFUKZ4YQSpMc8kQOvV6L/
xMSmpnUpImOke4fnILUTJ/q1smzXIaoUDvZATHHIqfODxvu9jjxxd0yS/e6N8kq+t9Aqs4QhvGNe
K3hO9U9r2pd+GmqMA22Ne023T+xq/5031gKYMbWkKSsIcU21m44ATTMES0/5o5AsnF0QC4EGIxcU
06pAUhhrbe2sCw1fetB/x6GoS6egWvLAoWcfnqdHFyIHkvtvxBX7LlkeILavAFQWkjksihKG1DOz
JX2fvTBRFPOThKHMgOMxC7XpMInLlcKv8queRGcTkuRRI84kOL14ho6OScq/8JsdFLSvxASR+aJ3
Rgmh8CrClSueKZJqXMvOeXAySGovmAPQuVRaEEvNrrWTsw3Y/YQX6HxhMYwuKLPtYd255vg6KUom
ztcIVOK5YFLO5qEZhys5Y/tXoXCkmMRV3A/5VKRbyWdf4OzVCzV5h6KCJs4dyBXcNC3AzXl3t2lQ
vAaoYx7ti0w5NyNphC4AXnZj2YUT0ZLOIq/xE9ex5ABHj2fnbSsnJ66jIhozsKbxLA7KimDYysLi
HaVCqoP8IdrV2WX15TabZPyZ93dXqp1EFgqDqvkYyyyTx1qyYHNWBZHBST7Epayt+nn8hecgtsu3
GpolOkp0Uyfn/dR/1D0piqKzuM55CNlQPg6YU1sqDpyLVoH+07EGLcd9DT6wYaQe3nIf1sWEiV8f
eB2GdF1SG8nsHtclUfWE3SDikn99uuQUpKx3Dmi434KtWCRBhhkixNpGY1mL8v3PkGq+aV61TYn9
eXzOyO6wB73aylUtgdxeQ9sA3o0oCCEdN897mfJtJJ7O+AuS4RUTVTm1Lr6CFsIBecq3Ygi6bqJS
eaXlsFpOO57BydJDP6HiY9gxAIJ48+iFPvpW3gq9FzMFHwvz7UWXwAFsLAtox4lkiWcHdXn9ocnX
0UsArnpTwehW6tQr4SU553YuML77wavoaN8iPIgRXu/tGnSFqEW3Hu1MXfd5Ml/AkJwslvD8qa2C
LaCXQe1fQ4mw5cnmKwRn3WLyfh1n2dV2zbKjVXEUlW0VIiaUvSmHNbST9FKA+HXoj8YquE35oGLj
GpybA7Vm4/GUxixUmH0M/6B+GL/kRnyeRVCyuXEcVMZFrdsOp9a/l5fjrSFLJ/9PhyHHhqXbzMGi
xw8bYZ+TI6VxADEEDfzv0sD+70+nYuTUpkssKWrYzNzVTNQdD6loVM0IhRgnNOta6bTVYGPRl6qc
nT4KQFc5BXT24DmdX8a0YDsRA8UFvE7kZdrQTUnunQZlzABfqrKbkRL7S2WxfwdBAEOpfGyfjELB
4prIzok8MJRwQlcJnnVvG74n9NumqAopPR/rhH0DaApJnJM0CEZJcL/afIedD73tqwvMM9R+jR8t
UDLHDo/zv0K2eZRMOdZmtEuxdtsOMFhPRFVrPF8evJ2PNxx84ki1iTW/SVREQuUzDSR5CAbHUUc6
jKVu49yXxRYutVPNdYu244j453GC+/Z+9LFOkpLscm/TfmdBlcuzkJDy3rx2LA8Wjr/xC/D39Wl/
KKWzzXR9xDI5/HCIsnmQt0/71u5JJWgeur5wDsXzjdLiG6J3br4buqwbPYalv2lkunPXFr6qMVh3
QKHq1ay9j6oOEFZ0JXlxX3jKSOT7mM3BGytgLixoWlEAW1kjf6KdWd0uLjgmIEEZRRRfThq6HO99
6u7Wl/Y3S4NY0kjt0ka8q7aKKYQkLHZ80WBuXcZx9P4wC+ky5I5+8dtI0ZfQcUAKKjF3r5knSpUd
RVEUukcQTxcM8xREbGueAEn3N3fSa7BYS4CQOCFVKBVvCM3FiM0CcrSW4ePSmLFKSXnwH6esZyze
rJkmSgZU4DnnGCNK9vqTKN91XHm6BaIxl9Z1vncL/DgQ+axeEr7ZomuScGoc7SHi3IIiY13F3t+G
C98cdDSj+iS5EijyKvQ/WbNOIewerOyp+X9/Ct5wEzoSUObAAhIORugc6eYEPiek/DBFI8y+o12z
SHBcmzOvEPPiiYw+X4HYPsVJcy9GRqhBD7/biDvp5pU1lzVElYcbjn75Ol09L7WitOiUfmPBoels
WEzGv3bGQz3mhPjVareZdlysMkTVDi6sZ5GyA1VL+JVHPjuPibO5Zws9YawrFEcfc0nW6Dxa49hu
UGTHNaGvPZJXKmVaqsPkeSua3jubEzs9EkyjfhubJIUnBO6MQP7d8TeSAP9kYrpLH9kghFm6HmXq
XRU92W/nvSJIQPxJOkeS1NUXuqRUtz0/CR6otZNIR84QA92zcn5ua50BX1ool2GfAaIzwyhTA3jz
dvAqMhZQh9FwPY/Q3LGz5kNtRLtJXAUzXRSxGtZKosqd/JzT2uxoFQTTmya/y4ykuztzTHBmpEZC
i6VRxUgZAhvKI6C9cO3BWtg9g8XE/6/V4ry1Xn6BXvWLJVO5pjtVxSEjVXkLvo4cWRqnmU4li2eM
EZPlt/fra9+Xp1dBkMlJIrOraGOtvVbH1tB4tzJX/f9kVamPStmvhxH65OZDIcaD2PSyWN5Js11F
2T3wr46PtaHnUOJkbLeqrsfmYsbEbArM0XxRSaMiX3B0//X9i5v/Q+dIfhuvY8k6xDegsHcVZz6x
GP5+c2vIMAZR9eGMA4wbxSValgbOJk1Fvn9XV+zVdlhZwA8LDODZAcY7UdUpg8YQQ9wjLQ7gjsW2
uUPcU9jQShaLx0qxwcpbbKS5w+NFWp3fJJQb2UbDm0s+9irVpX8ShsgfRExB72lT1H3G
`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/wr_dc_fwft_ext_as.vhd | 19 | 13630 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v
0RIeWd32HQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f
MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6
+aBOwyo0CpKkCUVEbxg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+
QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls
9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW
IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ
oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP
Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q
rlaoXYJxfrB3B6n9clU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW
p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7
CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ
Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX
Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/axi_reg_slice.vhd | 19 | 17522 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jPOKnt2dHOagW4dFov86UptHPGMdrE6d2ZgqMnfJehhzqeTiVLl89did3kf45SSrRMnQy9YGjxY6
jqpfslmzag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TbXlwhQ0d0UG8+CBDSNOnRgRBfh1oNNVi5QwoMGV3zJAlkTsnTywwNiy3IArHTxG6Niq+d59upyT
QOuldsHqtyc6KQBpxueCYJG7Fv1OIOGGq8mGjrkLmbJVhJEwBvPv4mlhsXKQ+/UhmQDpF2ZyKhkK
EbgpRIm7ap2EmEdPduA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iaTK7nKuH82rPJSrGYALVeHLyxEbb+9Rh0wJiyQuCqzY3/f+ne/dT7ytF39Hm0BXD9csWKwQp3QC
vOqzo1FyLi+w9Ik3lkb4njvMdZauHueYbVoku659dslyFGV84Aivwjcg0Y5de7FqsEonjWrVPTE4
0oo4m4QHuK8VN0pa+LmuzTIHDEzIPM6IMp8H0IstAk4VaGHg6wlCrG0u2kbbhcyaOKk2xzxiDfSu
gcUy11TT1zHFME/fHUU4VO3aHMSGacP3N+kgMah6x7bBUjBd2rfEXkVcl+/1g+qp0xW2BzItYrMY
Q1wtoE+N2GipiyxU+AmrXQ4zQNqO11zaj/N6Ig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QkbQ08NIPb90+bNjwXDlVNk6WbvhfydYhJZqryulAczmjZMBvdwitIPmanwzKj9BPStsPNHXyOKf
9PFA9l/uvQOwVNRTz3G2U0+6+YFy3j+qj97mRopffETTpncxm/BoroKpRNN1DrgSjygcTkfrt06N
1lOXW+551KWRUPA+fGE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LXGnS/C7HF/SjGcWlSWMUKmilNZr5UhJNWaaWr/ybus0u0ctzmNkXcydCyfmEQe8OngFPF/IKSaG
XMrlZODcxs6BdW6TBJGvkBlKfbvIYg7iCmAit8JvgZpuYsROJrZ/IapJ9XCUZT5PW0Y/S/PoGs0O
fXalNP4hoIYlP5OYjMaSowkFFmCMq49fHUdBBmi6thqlMFhrdpbAhfGoJVYkjStWry+O4YcFvpKw
Q8WXsOAh5J64eppUG0x86EZ8HpsK6EGAeT39tAy+jNSSIcnklat3mhXxMF+BE67OS/DRt5H346yK
YrLlKC5qbVgH7HjzWMBFYeVVtUec0iic45xLPw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block
5GACN4z2tO/gYo6MO1yovLXtkkEwYwPKOapvu689pkt1QtCUAKG04IRO2Eb7RImDWtK4KojPI/Ta
oAWrMZr5qA2YQJB7xT3BIfqrN3VqZGCWCl/OR4zCkvn1BVqVSEegr+WTt1/R3Jx1TbBi3abiznsD
Szx+o6Z2v2Rv4xg50T4lrlUXCNccTEXQ5BKfX8mLMjZ2JhQ14x7leJrObEBicrToPKHTXXFO1pGV
c02xmXVdpE3ExpnnZ8/pMhcUnP+nvo+VrbtOjVsrZzeftmlSqipjqScDCV6yNrGBwD55Ma1QTNK+
y58huzOWfuXae4m++Oz86nbEUVhHmcPUlKsrRxLz/ScJseKA8ARe+mYuCeJioYT2/oQNKgV4ZiVG
spa46nZSYbqrjPNDAcThx/crrPygIGf3NY7FRNkd+Gzkry7R7CcX12IJqVaiEQN3MyjDz1epVSUz
8q8O9iRugYEQfYKhYBq7wbTSH7zJrujQU3JVAXs0YezhPszCG64YQVd6qeTX2R5h6LntuZr8YS+g
qamWj6rQf8JanCWWsjEPzoPhCn3YE5lk0Z7fBZJM/v7z0GPMJCAqKTUfD0NT7QsLgZlJZp7bhpOQ
1k7nvaIYPWYHlu6TT8o2msW5MnxSm8AeSngct+ZGWKSz35wvbRmVZLKnan1AoAx6lylfDHuP97Rs
HykkT59jilSkDaX+xAtrfS6fSifDHk8pHaGXYDkJrzXhuGOGmkkSIsF5JU1KpsgBT7zuPPW9NXlP
4BiOy6QZ8cQdS5OZ1uE62dZnWeSHvXun5GTHF63g8UuuTBHkAZj4r46fEdLiRmAvnP2jsomjdTEc
FnbTrWXfZpsta096et58a1V8cDd3VQ4brGKBUuxg/AAxUUIEdbGdpoI5pjIx/xfL3Y4fBB4VI7St
hJdxzLN+UI+H6Ia8w66W1lEAIgpxe+ak6vBirKD7GjFxpoBFSH0qNi9F3tDyzpgqLRqPKC0Qid2m
dE0Jnp9PWVTORQqZgYZzCBaEfIfOX4s3rWvma+dDUnomuCh0kixCSmyUz75MIqSXAPt7cBO49jOU
4BOrsZuAq0O1DwP8LXdeeXSbo78qyJw3jgKKHZN7rzn8IEkLb/Xn6Ehh3paftkiaYmO96JRGJobk
1XPfhP8HP0ZDC6pfPYE2oDhufnt3EG1bHuuycjq3ZebzZOUZ3VLDFd2B029jDuKLSfYvIqlPTExe
JrekrEB4iogBt4A33fCw+W4Tmom8i++rsux71xTTpNUVOpmm/z31rVTSEhMMGXt2EHThwMviFppm
nh92jmJbeQ0B4ahrNlvXgQwN9QEp0RqUGL+vqKdZN/4SiWc3eNgMydqYyQ06LXhdmUSr8Wjpnekz
2lPZYH9YzW3+46EP98kKIM67HbS1IWiYuMnmCIzRE5VTUWEDe/iaZp6M107mpjudJv98i5D87ONW
w7MZfFm76/OKNs7ygj9ozfjTYNPrwbZwLffEAeJCAl8qWTK/yhWp9V1IWWI3dg8IyDesLE1EARjt
4PXZY6r55vKwyXnWihZkXGAemUAOdOu1uOR2LOayX5JpW+WUbNrqyOqKxrkxVfFMOMvD9O79Kwc6
2vZ2QIGBooJodE0+6WofwgWmeR13mTtguaNExKPJoNR8YwdD+Fu3LmplnsZvRHlnpJTYmfCOWw/W
OVy467eOBlEcmu4Agx7lH/Obp+qccTos2hxj4AIURsYjJ1P0kd3SzH2GFasqFadhPSwYIsYw0naK
vglWwQkt3cxz1qlnjjEMGL63OdIega34sVnkF76Pi1KDm6GuPIBTTUl67nCyyb/Q0zc0U8EatLhQ
vNKCLaMZAZcI1k8y1qPo0SS6B2yO4CnMBRCVUQwuWKzVwcEfrEq7iR+YPDptyKtnmNySkxSijipb
KcmvjUvvf8zu/YGQhlLniFKNhwOzty59ndjiEETX9ATxXF5LwCEBgMBmsAamuOsAsFDmflr53mPn
MgQdXALIXLeBFI9ZlJO9DWhDbuNjkilwWw6pPhS/JnxyUkljbWMpR4knptQ8xQq78f/S/PnH6PFH
Ew0T/huAzrvlkqLMZEBI59ClAEUrztZFMy/0V2/qgcPecF9EFy1hgvS2c2x/MnOOwTsad/mb6UFQ
+89M11SI1Il+7J/T0RoKhPim057Y+RdnnTAJhJEN6IOd8J8WdbFO0yRoFiEcYk01b7NSYYOAdWzY
YJVVbz62nw3v1vHAOG18w6AY8Jx5qK4+GuA7IUvXWyIbWrIDGj4K67ILLIV0brym7JERmvwUUMfW
X8axdVE2BBvx+4Zuo6q6kV92DEv46T1s8Q4HmZfSrafTw/fVvfX6H7htEBGjSEimEYAmKOy9c0Ng
M5axkw5FVRNqJIongXKfFvE9jOHgmwhS9QH0iBk8nqRVcPMNl5js0s5QAlRNlpFrmUhilDQvLHSg
Y1FnR+WekkLXJabZOTxqAIIFTlhqVpxdmScOP9qkjH3fr0whwf7mz2+Nz0bAYbrVz+NqVfdVnDrf
Zq189OFE4EjKy+/4oHUrNyEAzPwX1nkgJvrzNI+BGftcr4RZkqSPEwYFlp6fetqH3eXUNfvl5twO
fN8uX1M547A6f954ExZjdjgjEL1W4kWyq6H3mi1Ot+HI915Fu/0Dk2YhKUgM9ipOn/hvOJmeNe3X
BPrf/4+PH+lcH0Afk+RnCnqlbncBox4C5CIfRWEEjBHWGUw6u3puJWt7VdfkojlEyMQc3hXQWQrp
O43Y2z407O+UXhWa2hj4Z2CYxaQmJCJDUjTuXYCaFbBHYQCaLj4oDqlhsHzHzcz0a018QVCF0Tow
OnLur6xTIe0+f5jY8R4KbdKWcAW9StMgePsBpcbw3fTNpzN54pKjmfK7rKblGtQHYHSZa6TZo2Ci
RqiwqvjGgNG9wr40jClUV/JL0gF5fsHtIbi4ml9Euh/bKUCTHbtREZPEhjxP4x1McoIUm1lvzhx+
PZtZSuFbQNVtgUyaxzn6taxVTqOh1RHcFSkQNOnCoPqP3zP35PYa4LjBO8r/xaUqMGsJYJwyFaDc
VF+NeNkePftOKQ3aZ4AAQ1PM2HlmcWCfcuAeXNBNVomJb4Ts1CszR12w0QyJCESxDcmayC6hi/C4
ynWxtYkKH+6MB0Sg16RdLfeICKIIirUt1EvHItIimMVlfqPvj3qk/ELuvlFINjiTTP1y65F6pG78
wO1NR5/CI5+SsPYvUgEoovTX2mrF4LRgY08jTxUpzUBhlHgMds5KAB8XweVoTT5N//rvk+v5PnKT
+v0t7gMa/rjH3BYgfa1uinv1Vjt7UjfcJP597igizkpldD9mpdWMmVyRXk/qbHJwaZDru+lVhXiG
xC857xrxNHzwsyOLQcpcQN65nbHnTB+cRV5LHCMQLzvLp0kEhZelhl8qZUA9+ZDWxwHh7bRO1wd6
Cx5Kr3rBEQjNGvPVy49izNliiyMThU0OXOEttqowsjrxghe+DkZGThAP46yOM5vBcaVOACjRqQWl
qrjB7Hz8IfhynPzpjH0a6416AwcyVKaXVr37eEeJaHvIqnCZypiTjjru2I8iZd8HMSIwExjEM/Qw
LQsN6xCwCZTt20ERDyJ7UIcm4rqFAGdJwqUxo2W+sgjVwUytuDh5CR5QUbDbNiyXBaVTHvqaCkJz
TmWUUUG5vZG/cJVQm0n1nM5ypJH9kI48x6ucrFKWzx/dx/JbsIGZLc62HzYDXQN1yAjgT/cdzyJ2
GUSts1riluCWkgnAS1nAJd4nlAzeBvd+O6MBT4rV4NLV7r7pnptVj/Tds7y8EM8KZbM5kuk3kfgc
vUycUjjyXbtrCR0V8gRVCmGF7u1NQrMux04VAYjeFIorQvFvbl6V/+w+lle8nj5qi0IVIYNl3sSK
eVZ5+ZODlF/ebBnk3XAuIzPPGras3rdgYUFlvdeip7oLsl8SRZ2I++e6hUlp8Z5XR7DBns11WuO+
OztyHzhRW3TykMlSbkti5irUaPU4pV6lBB1yEEkJx/MWuGVPh8TTh8L9oVxHtzm28ytBFyF83ZUf
X5Mk7j9sUKM39lgcb3Q49/Z+3jhsudJ3BR9WQqHC6lsQm/RBthhY/hOy1hYyZx1Vj9aFnX2eeahW
wEyyVYhfKGVn+vOW2FpKwgzDsq6Mk1xzy7yqen5jCUGxcIyIHFug8+jFHYUjijsMsOxWlf1ToL5G
bFuflj9xtuolbSn2k1qUBjnssGvF6/k5gifyxVwQWhkclBedLTcYjKn9Qg8wplRzuwwu1/aNSoWR
NVLpEmHRENGIn7wv1drR65/Yj+d+lfG2BEkmeVTA5ibz5y6ac7Ks4X/opggKXfnAOuATMCpMPYHq
8Zbq0lKhFFAQW96QSbD4DAnwhbcUir75HZ01Im+/SV65bNYyUiahlg/1dPEYEXelreGAGE34SHwd
rSc7kuMi2cDXlYlOBPxCfdkrJtWCBsoCz7BoMi8JcaIxq3m3N2mguhlXPxJ0mg5z4wBm0W6AGmCI
uIm4qXDquALE3R0juG0jqNxzOpqa2F37xXphcz5NSU+8ot26E+kdS91z+D9lmWvVrD+BjBTVSILK
mFKr3kXfIIFaBM36dOEwokN5jSQnby+aZOSBcQ0+o7JnWCEoZZl6RrRkPWhiCbm6w9LkUKhcCpF+
kxnuh1BWkdxWlgeW4/jJGBtBR2yoWv9CAzRV1lntbqoo1tZIwjkIQ/dvEsrlXvTwwE7dmszqP0g2
xgW9R+deZMU1kDGNr4Ls/L8R+wU6UFPKwLN70KY9g3oePNbJbTwtzk+znX192hQl6w4KLA1x39bx
W+ibGOHuy6Kc/UuU1HWcULomLiL3JMrNW/L7SJItaXMyX7BJx5tZHNGrv/3DZzbD0Zib2yyLtUsy
9K3tcfaIJFvsUlqkfEhwrwUSX2YE9/NEdFEEd4Ug0ZeBtWTGBnxYmgFTLbum2E1AnL2HYBkLJCIY
pxaQYHU/Cr4bs2140Jy/R+kkcZoutAu+OfSuSTGS4eaiCbENY24mWg5JAV7pL/QtGS6d/80e0Pny
hbUlQ1B0fEGyn2vkOW8hk04/1SvreLl/UXu4cAWnrJBSpOXZwBV3iuYSjF1o0nEvyo9TcthPvnvH
Nr1a5od8V61qh8pY5I73uHbV0j27rhpW8IBGJTpcN+H3HfH5jPXCsSGeOp4y+/8webYE0oKBFu5V
J2u7A95kpl0GLHyVPgLR+mcTlDUv/7pvd6U3hkRSRsI2tGrzrU2MoJ7Y4ZouTAY7lhbqbaS9IL7J
6YddXMPZksmB7TaeXdvR+4n12KiIEd0TGWz5eLLRQWU1av3SSEbZsSaqwZ7H7zqEe5m+0eGpxeDT
P2gODMpc9LhjJR2acxaorT0FB7JPjDh9RKIZVg2tSU2LANuBuMP6Wf6+ZP+FIt5/oCpwDPQsFHkP
rux/6o60ED5c2rVfGyDua9pg8x02IZ7kzFIGNd0inTuTn1XNuPVWQI6phQkj1/daRX8BqBz0Pdhx
mou3c5jdkqRmo93ye31+OWyqCorIAwNMxyqw3BXizBXTAfK1MrtEIIrzLhFLW8obU5u3thv49A1+
8WfDW6wBFypaLfKn+U2puwBqzUtyR6n0mHxoYhfpHcwSWZhGOCsABhD/ViNU5ZW8qlxl0w1+OVZ4
fpw4NhqpqELxQi4o/PlkmXBfkTQER355Rq5sMvVElRds+ULY/CxXsR/frlkEl4Itvxh/ggi/U0wN
voPPW5BZUYG3FJ9oP0MPDND8rnAF/XdSJt5WRhQCSo7UVoIxQX2NlaQpJ1aflw8FkBsTYBEuk8IK
wFp1tLKOwTlt0Xd8fNx3iD3HLHK2+I7kxQzFEMD7rzS5aT57CaWCoVpaixkhEF+Xmu2ih26pysWN
ZjN85EEpFhtNWewSQZ4lU1ceLCzg5TX/jb7hOYl+SiqZqoqwtKxtDjxFYbohR+73VlkeU9lXZSO8
hsoYr1pEWlafEFfGnl+E6XZbDUDFyMiTkWJ9MqG1mnavAOTD0fzit5ft6VEMkzCxEku4QnHD00x2
NiRZxiwP2+DIakhIcJyoGLg0ol3v6Xrb3wVwPbAXLBQJrcif+em+A226F9edTF8QrnG8C4zodGP4
2xaxxJC1J3FQ0gFISlPqqmnndFGQuvelT1G059bKeKVtV9fYCmCbCHebiQ6BdbAxMpLHKFOfpJgn
k1eAeIF6J+pZ2QT67t2TVJiyMNKWPRdMOxGADYcj25QSLz1TIzmcaUpnrCJpWCA4zZKAs5Df/G3K
OzZeg0X+6Z0lViJjoDfE0mK6TVRluSWyRwXs3sxHKL3aEAVohe1YE3DwyyaPklL721RXl7YejbWW
hXDQn1wzzqAncVb6QdQRlzUi0UAmV/rFBYxwYVf0jzSrG501YO0toAi89SRmrfBWd2siSschRvZ6
olnfXRRO+DTPmJr30Ljy3YBz/F5LL+qi/ufxHaQzThkCypQqlKRajLKFvJUoxN1lg0tAS9xptqcs
9DTPnuK/g2AmdDS0izgvktzFWi06HKarjuCzcqQNkEgmJq4tUSoVrxpQPFo2z1Q+OrdgJiAwNdrh
NUOG08s1AZJr1qRTUGFPme5OulHi24OAPMPhBuAmVPd1PVAGCowsy368RkfbTuLspw7pLSnkYkAU
ElTcTynz/3cHu9lIIEE+esSdEayhEZy7ydUcSwv4Ac+GqqTVlHMarbhi9C5cK7ZL2DJLhCXIaIoS
4RP1Wa/bOKQqQMkpxEj+rVGTvQL2DaDM6F0VhsBLT9Nv5z1FeoquGu3VoimXud3cMdc92EuDS2lN
GGiUzF7wW3xkSq/q552S7qLHOJ1yxzZgtziKrmoHBSB5o79vIjLP5Qr2QoBZx1bE2PClN7FMVRDI
V2vrfBjOrwk9SOHJIVwAbAJAS85Gku2JZ/adusWP9NwatjiBHyuH1qlQt6Z+Lc2/NpCfRU6oKjZG
Rc3SBfC+TniGXTbzQAfpOl3W6bmKyVwr6SdJbDZGxiKMX/Gqgz3n27GxIyQQGfsWdHhpIJsktTX6
ys2SVSgV+f5iZkUkI02okiO76sLJY84UHGJWsNdEMI57g38oRvRJTmRpxynHTEQZ0zoBtB0cHeal
Ag6xLhAiWICvVV6/69bXGe07XzEAWgO+2hNI8kXhg6Q/0UN3S2waznzksEXhdZ4FU7MDX+Cgatpd
eslcHuXhotDK7ZSXAcM+KCvqWZ0znzcMCxBy9/iH5NG89INTeLJGIcuiCs/vO+ixQs8VgSKZ7DA7
+O5VhZWIuCd7HX3RscYZ1M+2zCgGR0omXyISfj/BvTKFFDAw8P0zaOy7icdT0WXl0DeEhGSKPCIO
bUuz8H4uFGtnwbo6yYWq14X7Cp9FNI0CQOsCSyO/88dJbWsEMXp+ZMCd96x9UpzvX0nrLilBemUq
JIdD9cwYgBRCFUM1U9CV64ZOPmTqdDKGRDLf4ct6arjnAvgrMXfyajWKE5PiZ+iB4Aq4O0Br3rNQ
0WtzFHgV+84dcNPljmA9iHAseNs4Du7R1/j9j2LZdSU0YWGwzFnF/12jMx4uSwrK7zoRYH56eNXl
1H62npzpG4IAIj2QO196i3qtE8RRbO+w6u236yHrblyxi3BI6ByMgSvskn9kr4sgqdQRL9h+2syv
Uab0QnKuCIVowXK6kGDebppE0KDFol4m24rKvmC4j7t6wmEvzvrIggyEfwTfKdtELuMOUx5J0nOh
QiHHlV4Pv46MeNa5fI+MNhFCkrxzSaCq/sxobE0A24QftY5qabo+ihRDN7DVsQfhOMRsaI/XsAac
ix8lD6XnGUxY/Iko7QzuL0X1gUn71kKjp1y+c/rHLD4HtMY+OCY6iT0jX7eYKbKCnvjSmf8aLnUg
iHR0YaUssQjh9YgwE0/s5eM7jaKU0hHp4XDXze0e7yBBqdvvCYGBamzpN+0DCWx+PIkcCLY1dGFQ
3C1rJBzF2GFABW1/GuLx00pxWi9u/uuwCNHsCmWwtCfVIkvOgrFG0e5Hh42AChSmugSEohRurMl+
m/AXAo8nAewfLXVCRF7MLGkYb9KYTDExhkXrwzGqcJxRahNPKo5D+cCamuWMwO1gfsSmaL+PZ6rN
neBRRNk35Scf9pg0XG4r0tjImF1pm0X4Ua0W2SVzlKV+fsMWhFH4P2HKD7NN+oidRjj/IAaLs802
GElAHcxa7nVmeZAWSu3MPI4FKBLuzpaggVd8BDCiMoTX87X1RAn1jObN6rrytf8HxiPsamAIfc+8
P/E4T+qH10biGlTvZBIL+apjgKA6sSLGVguBHvoSXTHwqDN9XN1Gde/RJRk3ezD+qKcB9HafTNvb
cN8F+HJvUBMZTvo6Z1ixR8xSikdzB0pD7ZO2uh14g6Z2Qi00QYplx0TL1ezwre+VlYtTQV8xofT+
VYzxwmdzKLrYlCzk4ORyKRVFzQfp1H6tev92Xc+MfKDh+lDFpeNyHI885A5vwsd5EPbvMwzECLOL
PjhplcFSO6XhJuumQmRLhRof9ktbxmAlT4Js2UqcZ6LVntoPqd2mFsqO9hhlQ6T9MSG0plgJJYqS
YkfJyJccgewulInT8B1+pnihyxaTIECUSV9uPbKzSe6A5P0duwAy1SyOFIq09tduDhtagctMO41K
HcANUFbB2wvCXWQ7vRKn3+Z7y84cK3/uWG+hgjtNUMIaLYYglxVKJ/A9qKRW4ArTmdXsfdETh789
VF28Fn4K5prmN5E+ZcJJIQLhRLhxBHeuc09auYwmwbq8Wu4yGi2okaiPs1anQA7MwK6oNcHCTl9k
pG9VMPkQ2vCkN1Ehndku3zNGkQAv4Ja2XQvHNEdO6Hk1ZLxS0PRgqh0ktk45Cip04H4gL243qsf5
f7FartbJK2IqQp1NEF0FSKfEYcHIdDdx2NLj+ppewxCK76mLttAoDJbLbFweq7/xmlHJLZbwokGa
snSvC+h09kMNSWmiS0o6ss6Pmkb3t/S4If7ceIbx/iNijo/CTJZXvosHrR4HWA7kbj1Q3FBDmpds
wADM1T296yQBKNpJE5ekkmlG4SFuDjHsmPtQ2pONvn3vijl9RcUPMo+nmBucPuQERcnoDo/udJw7
+xaRWAm1aIsozeqMAy9lfnjJtFpjL3TFEp0DeOh7n4ioL9pt0UlI4+wKfgB5ldHKv7wSPSY3MHCi
BJjlYJvdNdgxdTPsiJo9HFDNlpjLHL+FXtKstWYiCO2cYbpfxEkfrwvUa6ZFJUZhm4iz2nzl8IoM
vdUkOA8Ard3D6bAwpv7EKWpoF3uHkjuv36DaYzeTjFaUaMAVM8RL0Rh7Vu87kFzQMvey2e6v1p1a
eTlBjVNTf7hsI7zH8SFjYjo5J6Wl1bQQ5oj5KnDjHyLY1ueE/5kigq8+vmmG1Fu4T186y7fwiKIc
MP9utTTvp55uhQonj/OGQ6g+NUCpxFq2aFqMk1vJUBQpSrOvPBcBQSDHAAANxYdd19pmrG9KTSoE
ClHdDftqe3MYoT6I5wDarW3KbQ3DA0qREcrvfxKxnDd8iwf0pi7uADO09OTJ0vGnGdpuvNzsBI9s
e1NWb3+AMHg0NKzW5jasBMs9YfPsh4iEhxLnen3xpvnWtJfJAicZ6r0ors0k6ZbUT9AsHRDahjM0
eg40bf086NGDCUcyjfUxmExlso6YDJBa1MIrqeJH9A37l/0g/K4JY9XRvVzFizuCZaSGljuN1Gn6
xAKulk0mcsxYQLWXc3OxMtnGv7FScsemPNqGwqAQSbbaxGFh+ubnf2iAwUznWk/NYsGPmEqLuzGv
4HHY7e3yz03Sk7KB6qemp9FO4B34+5C2Z/8Lk1YhI+H1F6ar5WKo1TO3JfHsjuiJ1aJaWfOMGs6k
d+V1F7GVpddKtzWVydNQUCQDzxz4RJW44WoUtlqObV3lvyw0MNadyE3NHk5AlNW5CCdW4UyKR6Ol
3L+eGi4JXhux5CQp2B6MY8+ky3FSKmPVbNgDc/2WI0Pqv9ObTYpsOz+t2LOKTw9wGae67XzNq2qp
u6eLxeXmhjTECWKpl5uAGld/ggtTP4zBjGljeHCNkDoT25AQLaOo6kqXgjjd5X8zvlH+lUNhSKW4
aIqLP6uxm1UvjayTfUOT8LMzonA/fi/iW7BtX/tVCmOmHjO2soGoCXlfFeZG4nMHIuUqVRkLz0tL
gC9U0JfkIbCzjerOXQcdws1ZxbKNckkGY0Kp1MppCe68J3u0JFbu6uKMLZMjlwIePyqq4oHmuBuP
oiLQKUstoYCzpgZCJPfo3rcZVWFUWc7RPQvxxokJ1NSJ5pO9F1qeNN0lj9S6x+3y/sWkMmZzEMA0
RcCmzvqoJRWkUABPWY0k1QBHC+yXfqYaIcpmTkE2dzftqT1dU5D3MzuyUSwCFPYH1nJ+98X30XfK
NJZq8K3psq7lz1kBChF4w9K6ok0LyEnN4aaAvjP1FGIbYGOzLM4tYzkO0gqNdHmTcwgHvDuVi2DE
DOfF5c6Y+HCihbqEmhZhsnhEPIUyoIFvmpZJQvKA+vPdHmuze/787120WTQnYS/C1UVwjHOlfwwF
dek3C7DZAZyPUUr2vLre/YKR1ufwi4lDPuKPnsnSv8tWycGVe4JpzHSLMBEVcfk6wtf6mNm/N5Ag
NW8bGZKC3uOkAJqlvvjALoUP36IRgu+0srfPH5QtGOALQutUJlzfpLXWzswYes0s/yHQkMSO8iZV
VLhB7V0bzU83b0FM/ir7yPRGgPIe2W8oRHHvoWRomeXAqb8XN4hz22Y7OCCvM41FrXG0qn2R2gGH
fZ3hnOGK2Y49Pi123mEE8AxfR9rcTGi4Tjo+f4Qeg/tzMHrPQV4raTU+orU2lTJXX+KKBIIcZ1fl
hG3wE0FE2gCope+8cxr3asyEz/DGXPpqyIqRXtaPOKknkw6kK5/zbI1pSOPTRh5pNBLZeI+fp8PP
QZRyaFVXLeCmfcT1kMxr0sD6oUZnH+575X6OVWtoHmkcndIexVnG+BAeKhdoqnOVso1r2zPIL1DH
54H8vv5laWi4CzyKXBqevgWlryoRvEMRDL2Uhj2easS4GZYrE7hlvBQ7or+FiCBml/HinCjGf3yx
0A5XIBAtzH+L5WiHoiSUgAe7RQXR5qcuQZxHUN2YbQtxcPgd/YgGwiNeunzTrAs11ha9HNaEWGWm
eIbpDvvsvuT5Yq//kUyib1cv9L2WOgu1KL49ohP9AvEu7u3U4hl3EEsyZVAxlM/7AuUyF2nIfVG4
y8+Nd7YWqjsTelFsP7yPgvYWm52OKsnfYVca4gROYFGqIWDkJthPqtYuyXClCUpTLcNrLYWwh0rp
frzEOCDiygQtvEGK70Zr9XiqbMclaGRl6PWw8UsZqRQwjM8mjAkhkDMoRDYfGT/WyNXxWceg7qt/
A4lTDRNEq1S5/SpSmousxwGwu5il1oLNWiuYDKn2qBI88zYOqlGC5vmG94a4FWSuJkUC5XIC58KF
fQFptuf3ri1/rx6VIadt6fsd5y+BsK0NiRsZSbdLM3Uydyo0gAVNenfytdZDx+fkG6xImmnJMlFh
2c9Ddh87NyZj+/x6woPhA1KOwgZTgLmLNPOKLvaUinMIOS4QqEBb46FDH62ZKh54L6jpbPS/tMsE
bz2YznMNhEAMvE9zd8sHV5rX2Au/v7KttCUWNpf78xjTe1dbJPJAf3WT2TCtepaBNMtSSwnYV6Cz
ZofgDs1kNpP5Vacjofnqdrxs2ypPFnAVHOYvQDnZnwwFuduPS2CZzWky7vAXT7cb1uExuebWh/uj
ItyNyrCRox+7fugz7ciQ/2WxVtjJUusFyMOS4RGR9kQO1zRPT9FtvSFHpuH0zUGiJBXQhbhAdRi/
w/3Cd7OVCwOxIT4MvBoCplPAoGgLgUvAJftUccZfJYWRV8sVptGu65W75uC4YPnUYulQB+ZVwwKS
Jr+gtkSAT1zIYpFiSRDttzjV3OU4UBXbS2hBTUiAX8eNuYrYAK0fpP/RnXXKnoOSET6LImLu+gyg
8H0/wKN8bOUBm9m6zvHLvzI8ThB+jZvxIe5BGxeYvxPaJYUeRnRbcpbQf1kiV/hgcAlK1+HgQney
ApGxThrzB+X1RIWPAq9VRz+uzzbGeTUY1xY1KHt2UqPQQQyhaF0eKUlGRbo/AahRMHlvbYNCqFtR
PY5MEocvmNJ+ohA0JzJY6qjpyF/2SHBiB63pnCcKCtyAkzALnQeMx0WxN0dWHSV+mOAoMOcK9Hmf
tGfrv9JUVm+NobHzy8drg21RgKJb1Kd/COarKFu8p5gpwvA75eSi+wxQTu/GdImdqC+0Swab0/JD
uOQEs2MSWgZWRatVC0ehvi8hO/WqxU64zVBVgEjrozU/CC4U/SoI/wdvp3XEDXssDdUQ7PtrJ42I
5/41eTO8JSXaV34JaKqMPosiUUQmwkZWBuGkid2xw6KkBmkFyTmYSuy93g22/BWzjNCoC0fbmPhO
WxNls/ikA3P8H1qa8j/jWgIkd+7wQB5zVeM0Ho3pugTC1/LPUQ7bNU/AUgSq7TwOZvBmMnUgVpVY
eEilGwkR42shTKtaaIMxxBF+ORq/zjGXTZdgpzxUN6LwIKxth3j2ihDnRFtk7ZRgTGDOXvAFzFkY
wFMQ0YlxK6qtmrXKNUFQyTkS/IQXeiORtXJqpAoOExFoH4XcENoG3NoplBuwqjGfSnDOoFi/dRpS
MOOqC7Ambdi8t/MYS+8F3d2gl0+nIpQG4trFqSoWuQ26TMf4aQ/oIxMuYdMxjdkkP5pmW2Sos8zu
1K3VoHJzNodNYrE617YjMbq+ESCKPCLLnFnZ1JtTulYhXxwaK4E1jz7vJ81IPYp/QuojArbVESTY
TNJqLKp30jZZmAedr4x4P/6r8G5FSQoHrEey6G/DRnCdAzHOBVlFo+ta3eaJPXLi8JuwLqNuPWWL
ZVe5hDcTYQ/asI5djGljwpujYL/Xn8wMjewrOpCjJnkzVD8wrJxgE7/i/Ya/z6rrY67CAsKqZQB2
4Xo0ZnNPFZeavxpDrorUBwo6V4jjFUrSUYS1bUHLdWr8dj2/twqRUVPrFb5rqSaRAmR5/H3RtMRY
q2QrTJWYlgRIK/HW2O4jTwz3gYi9IxJJvwkx5Y6CFdEy81dM352lV21DYuZc+LRoJJfBFOs47YhQ
9cNSbFLVdfGGL5/IaioYfb+yZLPHFzqcyr440g/M1FlH7cVU+p/3Qqv+kdRmXfQ/wQOkSstaCZ+e
E/dW6PrVVEWHTkzA4kgqzsqBYDx7qj0dGpRf1qXIdf2bd3p2h+sEJyisEpWXvcF6KyX/IXCR1N7/
ZPh5gHpWwv+7ZsviBwPW4q/KJ1cOx9znKornQjQnDF87t0MR74dKPhFg0lstCewBkqCqebbk3vU9
m67iFOnQWW++12wu3nAKYEFoYIzYFYX/TfEXMjDiFDGyVWFvSqymJD8mJiqHYUSmS5TFq219NMn5
XKUN90xg3qhUYQxQtIQG9sL2oLugeoLOCq4Z4njuebOHIS45SmzsxEIhWqdQiM+umg/avPyFHkEx
xy/VFi8URa+aEnZXzZf7ot3vpp1HFsNgztO6iRnVm2UQ7dUdue9aXP/F9OAbjJkYdjgoToU9ZPMj
NE/05pbyieXHVa2YzY6B3e/LvOdyRY6Kp09Qed8kaKhiUuaHaUiFV4Zlu1pKfpLL+h94UMduRd2K
3dCFcmu369uAXFIWdwWm+W2fF4cLaieLF9Hl/sz/QHWYbiBPDqtxjkjnv10sp7FFPWMSlBy6ovHG
rC775q1+Oa8YA7keQ8KSykyHBejZLzoZK1guT5mnTNFcuQ350ZgVrKwIwjCCnnFVI4iTJW4pdpgw
LOiUOX3N4K9IIZIZsXWvGjHRIb0ExeZvs1s8Tzn06Se1dPXX3MtgS1pWLn3C/VLWiBXNEqc/MuNd
vb/nFkEmDslxJzcgpeeYo5xsCk4S24uCjwlR9VJPZQr84Q8xbUQz8caAIlY9vg+I1QVoxTmppHQe
1qqlz0+BxwWFNNlOu1nzssraD1ENe0MRcXXyNRMrnmBcMs65WoCHGWsc0jH65csL+gEn0m256zEe
9YVn4S99PUpcm+swqPZDS1ANraPqGMx7+eB8/Fj11aRgkWggIMLGssDqFqTf30YYE1668/n8hGbM
cDh8fWfry6ekjnr/qgki0mAUuNMUO2nRoxdN+30fYL+WUvHunnGEBcjcSv+VCtJUbuqSIm7W2+/z
aM09+Pr1RBduPHKBRWabxAhG8awmASBHLCfm31hNkvW80UOcF8mP1UT1esWnDt/+TqKQX1b5dNU7
ruMgGNCnShFW23jP4lZeBs8WoNmA4AIoZ3oqURkIuD+m508qnse8ZhoMxuQ4xLlJyTQxD6mDaojR
FMmaXo0sCISWJGNZQLVF2lIKqpvj5ZQNS9bDasg2iz+/TjdCMvVXwyED9QQryU2SRfhllRKKxCeQ
vzGjzl0xacTFbmo/4wWeZql2AS7SDw0sgi1YntYGiBKJqRZCqOlGWTD6kN1eOzojuxFExBMxPWxY
TmQ7F4QVwmjOlgx0FOWYZX4CBYnaLe5ifBdbBbipwCuLD3KE7j3EHJB4fV3A5pGGhCMxaGbbZqGa
ZCvjYHXxnogjNywkiRdYXGChwAxAbK0qISycAFtzd0eUwCO49GaRRyl9qLrihpP1CGcGbODvw6RP
db8+Th3BiX7NeTqjaCGO7WOb1neMVqtsInLXovfZAIKstqqaNSSg8yMrsVgtw2+iTUEwQ8ykb2UE
lBjV2RCBq0cpgkiqH3Fd9NnBIzAit5Y1ZvnwASV+ybwCr0PHlsT3/zo72k0lCdxi9yMGdk5Ip5PL
I/lHLEPzKcWxTfq+/WPn3j8/7pJ+zq2TQ7vx8vcOq2kT5M1EruN9eMpUKhxR0IkuEfyc/6Nca7ad
CzLOqkNQSjIaPl0OBaBGfTL+yuJJvUBITheBLj93F2UsguQESR53SEYhPLQxB9hNBNjoq3j5FKFY
4zplmKFaiSteBKHUP9Suybl6GQltRL1N3xSG/1oRem0IHDsKgCNHVQ74En0NXty/TeapanpAp57a
u8hl
`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo_rbu_f.vhd | 15 | 16038 | -------------------------------------------------------------------------------
-- $Id: srl_fifo_rbu_f.vhd,v 1.1.4.2 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo_rbu_f.vhd
--
-- Description: A small-to-medium depth FIFO with optional
-- capability to back up and reread data. For
-- data storage, the SRL elements native to the
-- target FGPA family are used. If the FIFO depth
-- exceeds the available depth of the SRL elements,
-- then SRLs are cascaded and MUXFN elements are
-- used to select the output of the appropriate SRL stage.
--
-- Features:
-- - Width and depth are arbitrary, but each doubling of
-- depth, starting from the native SRL depth, adds
-- a level of MUXFN. Generally, in performance-oriented
-- applications, the fifo depth may need to be limited to
-- not exceed the SRL cascade depth supported by local
-- fast interconnect or the number of MUXFN levels.
-- However, deeper fifos will correctly build.
-- - Commands: read, write, and reread n.
-- - Flags: empty and full.
-- - The reread n command (executed by applying
-- a non-zero value, n, to signal Num_To_Reread
-- for one clock period) allows n
-- previously read elements to be restored to the FIFO,
-- limited, however, to the number of elements that have
-- not been overwritten. (It is the user's responsibility
-- to assure that the elements being restored are
-- actually in the FIFO storage; once the depth of the
-- FIFO has been written, the maximum number that can
-- be restored is equal to the vacancy.)
-- The reread capability does not cost extra LUTs or FFs.
-- - Commands may be asserted simultaneously.
-- However, if read and reread n are asserted
-- simultaneously, only the read is carried out.
-- - Overflow and underflow are detected and latched until
-- Reset. The state of the FIFO is undefined during
-- status of underflow or overflow.
-- Underflow can occur only by reading the FIFO when empty.
-- Overflow can occur either from a write, a reread n,
-- or a combination of both that would result in more
-- elements occupying the FIFO that its C_DEPTH.
-- - Any of the signals FIFO_Full, Underflow, or Overflow
-- left unconnected can be expected to be trimmed.
-- - The Addr output is always one less than the current
-- occupancy when the FIFO is non-empty, and is all ones
-- otherwise. Therefore, the value <FIFO_Empty, Addr>--
-- i.e. FIFO_Empty concatenated on the left with Addr--
-- when taken as a signed value, is one less than the
-- current occupancy.
-- This information can be used to generate additional
-- flags, if needed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo_rbu_f.vhd
-- dynshreg_f.vhd
-- cntr_incr_decr_addn_f.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
-- ~~~~~~
-- FLO 2007-12-12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
-- FLO 2008-11-25
-- ^^^^^^
-- Changed to functionally equivalent code to generate FIFO_Full. The new code
-- steers the current XST toward a better implementation. CR 496211.
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- predecessor value by # clks: "*_p#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.">=";
use ieee.numeric_std.TO_UNSIGNED;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
entity srl_fifo_rbu_f is
generic (
C_DWIDTH : natural;
C_DEPTH : positive := 16;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1);
Num_To_Reread : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Underflow : out std_logic;
Overflow : out std_logic
);
end entity srl_fifo_rbu_f;
architecture imp of srl_fifo_rbu_f is
function bitwise_or(s: std_logic_vector) return std_logic is
variable v: std_logic := '0';
begin
for i in s'range loop v := v or s(i); end loop;
return v;
end bitwise_or;
constant ADDR_BITS : integer := clog2(C_DEPTH);
-- An extra bit will be carried as the empty flag.
signal addr_i : std_logic_vector(ADDR_BITS downto 0);
signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0);
signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0);
signal fifo_empty_i : std_logic;
signal overflow_i : std_logic;
signal underflow_i : std_logic;
signal fifo_full_p1 : std_logic;
begin
fifo_empty_i <= addr_i(ADDR_BITS);
Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0);
FIFO_Empty <= fifo_empty_i;
num_to_reread_zeroext <= '0' & Num_To_Reread;
----------------------------------------------------------------------------
-- The FIFO address counter. Addresses the next element to be read.
-- All ones when the FIFO is empty.
----------------------------------------------------------------------------
CNTR_INCR_DECR_ADDN_F_I : entity proc_common_v4_0.cntr_incr_decr_addn_f
generic map (
C_SIZE => ADDR_BITS + 1,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Reset => Reset,
Incr => FIFO_Write,
Decr => FIFO_Read,
N_to_add => num_to_reread_zeroext,
Cnt => addr_i,
Cnt_p1 => addr_i_p1
);
----------------------------------------------------------------------------
-- The dynamic shift register that holds the FIFO elements.
----------------------------------------------------------------------------
DYNSHREG_F_I : entity proc_common_v4_0.dynshreg_f
generic map (
C_DEPTH => C_DEPTH,
C_DWIDTH => C_DWIDTH,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Clken => FIFO_Write,
Addr => addr_i(ADDR_BITS-1 downto 0),
Din => Data_In,
Dout => Data_Out
);
----------------------------------------------------------------------------
-- Full flag.
----------------------------------------------------------------------------
fifo_full_p1 <= '1' when ( addr_i_p1
= std_logic_vector(
TO_UNSIGNED(C_DEPTH-1, ADDR_BITS+1)
)
)
else '0';
FULL_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset='1' then
FIFO_Full <= '0';
else
FIFO_Full <= fifo_full_p1;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Underflow detection.
----------------------------------------------------------------------------
UNDERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
underflow_i <= '0';
elsif underflow_i = '1' then
underflow_i <= '1'; -- Underflow sticks until reset
else
underflow_i <= fifo_empty_i and FIFO_Read;
end if;
end if;
end process;
Underflow <= underflow_i;
----------------------------------------------------------------------------
-- Overflow detection.
-- The only case of non-erroneous operation for which addr_i (including
-- the high-order bit used as the empty flag) taken as an unsigned value
-- may be greater than or equal to C_DEPTH is when the FIFO is empty.
-- No overflow is possible when FIFO_Read, since Num_To_Reread is
-- overriden in this case and the number elements can at most remain
-- unchanged (that being when there is a simultaneous FIFO_Write).
-- However, when there is no FIFO_Read and there is either a
-- FIFO_Write or a restoration of one or more read elements, or both, then
-- addr_i, extended by the carry-out bit, becoming greater than
-- or equal to C_DEPTH indicates an overflow.
----------------------------------------------------------------------------
OVERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
overflow_i <= '0';
elsif overflow_i = '1' then
overflow_i <= '1'; -- Overflow sticks until Reset
elsif FIFO_Read = '0' and
(FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and
UNSIGNED(addr_i_p1) >= C_DEPTH then
overflow_i <= '1';
else
overflow_i <= '0';
end if;
end if;
end process;
Overflow <= overflow_i;
end architecture imp;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo_rbu_f.vhd | 15 | 16038 | -------------------------------------------------------------------------------
-- $Id: srl_fifo_rbu_f.vhd,v 1.1.4.2 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo_rbu_f.vhd
--
-- Description: A small-to-medium depth FIFO with optional
-- capability to back up and reread data. For
-- data storage, the SRL elements native to the
-- target FGPA family are used. If the FIFO depth
-- exceeds the available depth of the SRL elements,
-- then SRLs are cascaded and MUXFN elements are
-- used to select the output of the appropriate SRL stage.
--
-- Features:
-- - Width and depth are arbitrary, but each doubling of
-- depth, starting from the native SRL depth, adds
-- a level of MUXFN. Generally, in performance-oriented
-- applications, the fifo depth may need to be limited to
-- not exceed the SRL cascade depth supported by local
-- fast interconnect or the number of MUXFN levels.
-- However, deeper fifos will correctly build.
-- - Commands: read, write, and reread n.
-- - Flags: empty and full.
-- - The reread n command (executed by applying
-- a non-zero value, n, to signal Num_To_Reread
-- for one clock period) allows n
-- previously read elements to be restored to the FIFO,
-- limited, however, to the number of elements that have
-- not been overwritten. (It is the user's responsibility
-- to assure that the elements being restored are
-- actually in the FIFO storage; once the depth of the
-- FIFO has been written, the maximum number that can
-- be restored is equal to the vacancy.)
-- The reread capability does not cost extra LUTs or FFs.
-- - Commands may be asserted simultaneously.
-- However, if read and reread n are asserted
-- simultaneously, only the read is carried out.
-- - Overflow and underflow are detected and latched until
-- Reset. The state of the FIFO is undefined during
-- status of underflow or overflow.
-- Underflow can occur only by reading the FIFO when empty.
-- Overflow can occur either from a write, a reread n,
-- or a combination of both that would result in more
-- elements occupying the FIFO that its C_DEPTH.
-- - Any of the signals FIFO_Full, Underflow, or Overflow
-- left unconnected can be expected to be trimmed.
-- - The Addr output is always one less than the current
-- occupancy when the FIFO is non-empty, and is all ones
-- otherwise. Therefore, the value <FIFO_Empty, Addr>--
-- i.e. FIFO_Empty concatenated on the left with Addr--
-- when taken as a signed value, is one less than the
-- current occupancy.
-- This information can be used to generate additional
-- flags, if needed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo_rbu_f.vhd
-- dynshreg_f.vhd
-- cntr_incr_decr_addn_f.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
-- ~~~~~~
-- FLO 2007-12-12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
-- FLO 2008-11-25
-- ^^^^^^
-- Changed to functionally equivalent code to generate FIFO_Full. The new code
-- steers the current XST toward a better implementation. CR 496211.
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- predecessor value by # clks: "*_p#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.">=";
use ieee.numeric_std.TO_UNSIGNED;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
entity srl_fifo_rbu_f is
generic (
C_DWIDTH : natural;
C_DEPTH : positive := 16;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1);
Num_To_Reread : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Underflow : out std_logic;
Overflow : out std_logic
);
end entity srl_fifo_rbu_f;
architecture imp of srl_fifo_rbu_f is
function bitwise_or(s: std_logic_vector) return std_logic is
variable v: std_logic := '0';
begin
for i in s'range loop v := v or s(i); end loop;
return v;
end bitwise_or;
constant ADDR_BITS : integer := clog2(C_DEPTH);
-- An extra bit will be carried as the empty flag.
signal addr_i : std_logic_vector(ADDR_BITS downto 0);
signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0);
signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0);
signal fifo_empty_i : std_logic;
signal overflow_i : std_logic;
signal underflow_i : std_logic;
signal fifo_full_p1 : std_logic;
begin
fifo_empty_i <= addr_i(ADDR_BITS);
Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0);
FIFO_Empty <= fifo_empty_i;
num_to_reread_zeroext <= '0' & Num_To_Reread;
----------------------------------------------------------------------------
-- The FIFO address counter. Addresses the next element to be read.
-- All ones when the FIFO is empty.
----------------------------------------------------------------------------
CNTR_INCR_DECR_ADDN_F_I : entity proc_common_v4_0.cntr_incr_decr_addn_f
generic map (
C_SIZE => ADDR_BITS + 1,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Reset => Reset,
Incr => FIFO_Write,
Decr => FIFO_Read,
N_to_add => num_to_reread_zeroext,
Cnt => addr_i,
Cnt_p1 => addr_i_p1
);
----------------------------------------------------------------------------
-- The dynamic shift register that holds the FIFO elements.
----------------------------------------------------------------------------
DYNSHREG_F_I : entity proc_common_v4_0.dynshreg_f
generic map (
C_DEPTH => C_DEPTH,
C_DWIDTH => C_DWIDTH,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Clken => FIFO_Write,
Addr => addr_i(ADDR_BITS-1 downto 0),
Din => Data_In,
Dout => Data_Out
);
----------------------------------------------------------------------------
-- Full flag.
----------------------------------------------------------------------------
fifo_full_p1 <= '1' when ( addr_i_p1
= std_logic_vector(
TO_UNSIGNED(C_DEPTH-1, ADDR_BITS+1)
)
)
else '0';
FULL_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset='1' then
FIFO_Full <= '0';
else
FIFO_Full <= fifo_full_p1;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Underflow detection.
----------------------------------------------------------------------------
UNDERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
underflow_i <= '0';
elsif underflow_i = '1' then
underflow_i <= '1'; -- Underflow sticks until reset
else
underflow_i <= fifo_empty_i and FIFO_Read;
end if;
end if;
end process;
Underflow <= underflow_i;
----------------------------------------------------------------------------
-- Overflow detection.
-- The only case of non-erroneous operation for which addr_i (including
-- the high-order bit used as the empty flag) taken as an unsigned value
-- may be greater than or equal to C_DEPTH is when the FIFO is empty.
-- No overflow is possible when FIFO_Read, since Num_To_Reread is
-- overriden in this case and the number elements can at most remain
-- unchanged (that being when there is a simultaneous FIFO_Write).
-- However, when there is no FIFO_Read and there is either a
-- FIFO_Write or a restoration of one or more read elements, or both, then
-- addr_i, extended by the carry-out bit, becoming greater than
-- or equal to C_DEPTH indicates an overflow.
----------------------------------------------------------------------------
OVERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
overflow_i <= '0';
elsif overflow_i = '1' then
overflow_i <= '1'; -- Overflow sticks until Reset
elsif FIFO_Read = '0' and
(FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and
UNSIGNED(addr_i_p1) >= C_DEPTH then
overflow_i <= '1';
else
overflow_i <= '0';
end if;
end if;
end process;
Overflow <= overflow_i;
end architecture imp;
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo_rbu_f.vhd | 15 | 16038 | -------------------------------------------------------------------------------
-- $Id: srl_fifo_rbu_f.vhd,v 1.1.4.2 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo_rbu_f.vhd
--
-- Description: A small-to-medium depth FIFO with optional
-- capability to back up and reread data. For
-- data storage, the SRL elements native to the
-- target FGPA family are used. If the FIFO depth
-- exceeds the available depth of the SRL elements,
-- then SRLs are cascaded and MUXFN elements are
-- used to select the output of the appropriate SRL stage.
--
-- Features:
-- - Width and depth are arbitrary, but each doubling of
-- depth, starting from the native SRL depth, adds
-- a level of MUXFN. Generally, in performance-oriented
-- applications, the fifo depth may need to be limited to
-- not exceed the SRL cascade depth supported by local
-- fast interconnect or the number of MUXFN levels.
-- However, deeper fifos will correctly build.
-- - Commands: read, write, and reread n.
-- - Flags: empty and full.
-- - The reread n command (executed by applying
-- a non-zero value, n, to signal Num_To_Reread
-- for one clock period) allows n
-- previously read elements to be restored to the FIFO,
-- limited, however, to the number of elements that have
-- not been overwritten. (It is the user's responsibility
-- to assure that the elements being restored are
-- actually in the FIFO storage; once the depth of the
-- FIFO has been written, the maximum number that can
-- be restored is equal to the vacancy.)
-- The reread capability does not cost extra LUTs or FFs.
-- - Commands may be asserted simultaneously.
-- However, if read and reread n are asserted
-- simultaneously, only the read is carried out.
-- - Overflow and underflow are detected and latched until
-- Reset. The state of the FIFO is undefined during
-- status of underflow or overflow.
-- Underflow can occur only by reading the FIFO when empty.
-- Overflow can occur either from a write, a reread n,
-- or a combination of both that would result in more
-- elements occupying the FIFO that its C_DEPTH.
-- - Any of the signals FIFO_Full, Underflow, or Overflow
-- left unconnected can be expected to be trimmed.
-- - The Addr output is always one less than the current
-- occupancy when the FIFO is non-empty, and is all ones
-- otherwise. Therefore, the value <FIFO_Empty, Addr>--
-- i.e. FIFO_Empty concatenated on the left with Addr--
-- when taken as a signed value, is one less than the
-- current occupancy.
-- This information can be used to generate additional
-- flags, if needed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo_rbu_f.vhd
-- dynshreg_f.vhd
-- cntr_incr_decr_addn_f.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
-- ~~~~~~
-- FLO 2007-12-12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
-- FLO 2008-11-25
-- ^^^^^^
-- Changed to functionally equivalent code to generate FIFO_Full. The new code
-- steers the current XST toward a better implementation. CR 496211.
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- predecessor value by # clks: "*_p#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.">=";
use ieee.numeric_std.TO_UNSIGNED;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
entity srl_fifo_rbu_f is
generic (
C_DWIDTH : natural;
C_DEPTH : positive := 16;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1);
Num_To_Reread : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Underflow : out std_logic;
Overflow : out std_logic
);
end entity srl_fifo_rbu_f;
architecture imp of srl_fifo_rbu_f is
function bitwise_or(s: std_logic_vector) return std_logic is
variable v: std_logic := '0';
begin
for i in s'range loop v := v or s(i); end loop;
return v;
end bitwise_or;
constant ADDR_BITS : integer := clog2(C_DEPTH);
-- An extra bit will be carried as the empty flag.
signal addr_i : std_logic_vector(ADDR_BITS downto 0);
signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0);
signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0);
signal fifo_empty_i : std_logic;
signal overflow_i : std_logic;
signal underflow_i : std_logic;
signal fifo_full_p1 : std_logic;
begin
fifo_empty_i <= addr_i(ADDR_BITS);
Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0);
FIFO_Empty <= fifo_empty_i;
num_to_reread_zeroext <= '0' & Num_To_Reread;
----------------------------------------------------------------------------
-- The FIFO address counter. Addresses the next element to be read.
-- All ones when the FIFO is empty.
----------------------------------------------------------------------------
CNTR_INCR_DECR_ADDN_F_I : entity proc_common_v4_0.cntr_incr_decr_addn_f
generic map (
C_SIZE => ADDR_BITS + 1,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Reset => Reset,
Incr => FIFO_Write,
Decr => FIFO_Read,
N_to_add => num_to_reread_zeroext,
Cnt => addr_i,
Cnt_p1 => addr_i_p1
);
----------------------------------------------------------------------------
-- The dynamic shift register that holds the FIFO elements.
----------------------------------------------------------------------------
DYNSHREG_F_I : entity proc_common_v4_0.dynshreg_f
generic map (
C_DEPTH => C_DEPTH,
C_DWIDTH => C_DWIDTH,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Clken => FIFO_Write,
Addr => addr_i(ADDR_BITS-1 downto 0),
Din => Data_In,
Dout => Data_Out
);
----------------------------------------------------------------------------
-- Full flag.
----------------------------------------------------------------------------
fifo_full_p1 <= '1' when ( addr_i_p1
= std_logic_vector(
TO_UNSIGNED(C_DEPTH-1, ADDR_BITS+1)
)
)
else '0';
FULL_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset='1' then
FIFO_Full <= '0';
else
FIFO_Full <= fifo_full_p1;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Underflow detection.
----------------------------------------------------------------------------
UNDERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
underflow_i <= '0';
elsif underflow_i = '1' then
underflow_i <= '1'; -- Underflow sticks until reset
else
underflow_i <= fifo_empty_i and FIFO_Read;
end if;
end if;
end process;
Underflow <= underflow_i;
----------------------------------------------------------------------------
-- Overflow detection.
-- The only case of non-erroneous operation for which addr_i (including
-- the high-order bit used as the empty flag) taken as an unsigned value
-- may be greater than or equal to C_DEPTH is when the FIFO is empty.
-- No overflow is possible when FIFO_Read, since Num_To_Reread is
-- overriden in this case and the number elements can at most remain
-- unchanged (that being when there is a simultaneous FIFO_Write).
-- However, when there is no FIFO_Read and there is either a
-- FIFO_Write or a restoration of one or more read elements, or both, then
-- addr_i, extended by the carry-out bit, becoming greater than
-- or equal to C_DEPTH indicates an overflow.
----------------------------------------------------------------------------
OVERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
overflow_i <= '0';
elsif overflow_i = '1' then
overflow_i <= '1'; -- Overflow sticks until Reset
elsif FIFO_Read = '0' and
(FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and
UNSIGNED(addr_i_p1) >= C_DEPTH then
overflow_i <= '1';
else
overflow_i <= '0';
end if;
end if;
end process;
Overflow <= overflow_i;
end architecture imp;
| mit |
kietdlam/Dator | manage_ui/static/bower_components/ace-builds/demo/kitchen-sink/docs/vhdl.vhd | 472 | 830 | library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/eval_timer.vhd | 15 | 11230 | -------------------------------------------------------------------------------
-- $Id: eval_timer.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- eval_timer.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: eval_timer.vhd
-- Version: v1.00a
-- Description: 40-bit counter that enables IP to be used in an evaluation
-- mode. Once the counter expires, the eval_timeout signal
-- asserts and can be used to reset the IP.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- eval_timer.vhd
--
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 09/12/01 -- Created from PCI eval timer
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No generics
--
-- Definition of Ports:
-- Clk -- clock
-- Rst -- active high reset
-- Eval_timeout -- timer has expired
-------------------------------------------------------------------------------
entity eval_timer is
port (
Clk : in std_logic;
Rst : in std_logic;
Eval_timeout : out std_logic
);
end entity eval_timer;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of eval_timer is
-----------------------------------------------------------------------------
-- Constant Declarations
-----------------------------------------------------------------------------
constant NUM_BITS : integer := 8;
-----------------------------------------------------------------------------
-- Signal Declarations
-----------------------------------------------------------------------------
signal co : std_logic_vector(0 to 4); -- carry out
signal ceo : std_logic_vector(0 to 4); -- count enable out
signal ceo_d1 : std_logic_vector(0 to 4); -- registered count enable out
signal zeros : std_logic_vector(NUM_BITS-1 downto 0);
-----------------------------------------------------------------------------
-- Component Declarations
-----------------------------------------------------------------------------
component Counter is
generic(
C_NUM_BITS : Integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0);
Carry_Out : out std_logic
);
end component Counter;
component FDRE
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component;
component FDR
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component;
begin -- VHDL_RTL
-- set the load value to zero
zeros <= (others => '0');
-- Generate a 40-bit counter from 5 8-bit counters. Register the carry-out between counters
-- to avoid timing problems.
COUNTER_GEN: for i in 0 to 4 generate
-- first 8-bit counter
FIRST: if i = 0 generate
COUNT_0_I: Counter
generic map (C_NUM_BITS => NUM_BITS)
port map ( Clk => Clk,
Rst => Rst,
Load_in => zeros,
Count_Enable => '1',
Count_Load => '0',
Count_Down => '0',
Count_out => open,
Carry_Out => co(0)
);
-- register the carry out to create the count enable out
ceo(i) <= co(i);
FDR_0_I: FDR
port map (
Q => ceo_d1(i),
C => Clk,
D => ceo(i),
R => Rst
);
end generate FIRST;
-- all other eight bit counters and the carry out register
ALL_OTHERS: if i /= 0 generate
COUNT_I: Counter
generic map (C_NUM_BITS => NUM_BITS)
port map ( Clk => Clk,
Rst => Rst,
Load_in => zeros,
Count_Enable => ceo_d1(i-1),
Count_Load => '0',
Count_Down => '0',
Count_out => open,
Carry_Out => co(i)
);
-- register the carry out AND the count enable to create the count enable out
ceo(i) <= co(i) and ceo_d1(i-1);
FDR_0_I: FDR
port map (
Q => ceo_d1(i),
C => Clk,
D => ceo(i),
R => Rst
);
end generate ALL_OTHERS;
end generate COUNTER_GEN;
-- Using the final carry out as a CE, clock a '1' to assert and hold the eval_timeout signal.
FDRE_I: FDRE
port map (
Q => eval_timeout, --[out]
C => Clk, --[in]
CE => ceo_d1(4), --[in]
D => '1', --[in]
R => Rst --[in]
);
end imp;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/coregen_comp_defs.vhd | 12 | 52359 | -------------------------------------------------------------------------------
-- $Id:$
-------------------------------------------------------------------------------
-- coregen_comp_defs - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: coregen_comp_defs.vhd
-- Version: initial
-- Description:
-- Component declarations for all black box netlists generated by
-- running COREGEN and FIFO Generator when XST elaborated the client core
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- coregen_comp_defs.vhd
-- |
-- |--- XilinxCoreLib.fifo_generator_v9_2
-- |--- XilinxCoreLib.fifo_generator_v9_3
-- |
-- |--- XilinxCoreLib.blk_mem_gen_v7_1
-- |--- XilinxCoreLib.blk_mem_gen_v7_3
--
-------------------------------------------------------------------------------
-- Author: DET
-- History:
-- DET 02/01/2008 Initial Version
--
-- DET 2/01/2008 for proc_common_v4_0
-- ~~~~~~
-- - Adapted coregen_comp_defs.vhd from proc_common_v2_00_a to create
-- this file.
-- - Changed instance of sync fifo to use new wrapper file that will adapt
-- to FIFO Generator primitive.
-- - Replaced "edk_generatecore" with "generatecore" utility call
-- - Removed the CAM component
-- ^^^^^^
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 4.3
-- - Added Block Memory Generator Component Version 2.7
-- ^^^^^^
--
-- MSH 2/26/2009 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 5.1
-- - Added Block Memory Generator Component Version 3.1
-- ^^^^^^
--
-- DET 3/2/2009 for EDK 11.1
-- ~~~~~~
-- - Added new Parameters and ports for Fifo Generatore 5.1.
-- ^^^^^^
--
-- DET 3/30/2009 EDK 11.2
-- ~~~~~~
-- - Had to reorder parameter list of FIFO Generator 4.3 component to match
-- the corresponding Verilog model due to NCSIM positional order
-- dependancy of parameters in vhdl/verilog use case.
-- ^^^^^^
--
-- DET 4/8/2009 EDK 11.2
-- ~~~~~~
-- - Added blk_mem_gen_v3_2
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Added fifo_generator_v5_2
-- ^^^^^^
--
-- DET 2/9/2010 For EDK 12.1
-- ~~~~~~
-- - Added fifo_generator_v5_3
-- - Added blk_mem_gen_v3_3
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Added fifo_generator_v6_1
-- - Added blk_mem_gen_v4_1
-- ^^^^^^
--
-- DET 3/17/2010 Initial
-- ~~~~~~
-- -- Per CR554253
-- - Incorporated changes to comment out FLOP_DELAY parameter from the
-- blk_mem_gen_v4_1 component. This parameter is on the XilinxCoreLib
-- model for blk_mem_gen_v4_1 but is declared as a TIME type for the
-- vhdl version and an integer for the verilog.
-- ^^^^^^
--
-- DET 10/04/2010 EDK 13.1
-- ~~~~~~
-- - Added fifo_generator_v7_3
-- - Added blk_mem_gen_v5_2
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Replaced fifo_generator v7.3 with v8.1
-- - Added blk_mem_gen_v6_1
-- ^^^^^^
--
-- DET 12/17/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR587494
-- - Removed blk_mem_gen v6_1
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- - Update to use blk_mem_gen_v6_2
-- - Remove out of date components.
-- ^^^^^^
--
-- DET 3/3/2011 EDK 13.2
-- ~~~~~~
-- - Removed C_ELABORATION_DIR parameter from the component decalarion
-- ^^^^^^
--
-- DET 3/7/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR596052
-- - Added removed fifo generator and Blk Mem Gen components back into
-- coregen_comp_defs.
-- ^^^^^^
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
library fifo_generator_v11_0;
use fifo_generator_v11_0.all;
library blk_mem_gen_v8_1;
use blk_mem_gen_v8_1.all;
PACKAGE coregen_comp_defs IS
--------------------------------------------------------
-- Declare general attributes used in this file
-- for defining each component being used with
-- the generatecore utility
attribute box_type: string;
attribute GENERATOR_DEFAULT: string;
-------------------------------------------------------
-------------------------------------------------------------------------------------
-- Start FIFO Generator Component for fifo_generator_v11_0
-- The Component declaration for fifo_generator_v11_0 pulled from the
-- Coregen version of
-- file: fifo_generator_v11_0_comp.vhd.
--
-- This component is used for both dual clock (async) and synchronous fifos
-- implemented with BRAM or distributed RAM. Hard FIFO simulation support may not
-- be provided in FIFO Generator V10.0 so not supported here.
--
-- Note: AXI ports and parameters added for this version of FIFO Generator.
--
-------------------------------------------------------------------------------------
COMPONENT fifo_generator_v11_0
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_COMMON_CLOCK : integer := 0;
C_COUNT_TYPE : integer := 0;
C_DATA_COUNT_WIDTH : integer := 2;
C_DEFAULT_VALUE : string := "";
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_ENABLE_RLOCS : integer := 0;
C_FAMILY : string := "virtex6";
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_BACKUP : integer := 0;
C_HAS_DATA_COUNT : integer := 0;
C_HAS_INT_CLK : integer := 0;
C_HAS_MEMINIT_FILE : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 0;
C_HAS_RD_RST : integer := 0;
C_HAS_RST : integer := 1;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 0;
C_HAS_WR_RST : integer := 0;
C_IMPLEMENTATION_TYPE : integer := 0;
C_INIT_WR_PNTR_VAL : integer := 0;
C_MEMORY_TYPE : integer := 1;
C_MIF_FILE_NAME : string := "";
C_OPTIMIZATION_MODE : integer := 0;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PRIM_FIFO_TYPE : string := "4kx4";
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 2;
C_RD_DEPTH : integer := 256;
C_RD_FREQ : integer := 1;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FIFO16_FLAGS : integer := 0;
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 2;
C_WR_DEPTH : integer := 256;
C_WR_FREQ : integer := 1;
C_WR_PNTR_WIDTH : integer := 8;
C_WR_RESPONSE_LATENCY : integer := 1;
C_MSGON_VAL : integer := 1;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI4 Stream; 2: AXI4/AXI3
C_AXI_TYPE : integer := 0; -- 1: AXI4; 2: AXI4 Lite; 3: AXI3
C_HAS_AXI_WR_CHANNEL : integer := 0;
C_HAS_AXI_RD_CHANNEL : integer := 0;
C_HAS_SLAVE_CE : integer := 0;
C_HAS_MASTER_CE : integer := 0;
C_ADD_NGC_CONSTRAINT : integer := 0;
C_USE_COMMON_OVERFLOW : integer := 0;
C_USE_COMMON_UNDERFLOW : integer := 0;
C_USE_DEFAULT_SETTINGS : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH : integer := 4;
C_AXI_ADDR_WIDTH : integer := 32;
C_AXI_DATA_WIDTH : integer := 64;
C_AXI_LEN_WIDTH : integer := 8;
C_AXI_LOCK_WIDTH : integer := 2;
C_HAS_AXI_ID : integer := 0;
C_HAS_AXI_AWUSER : integer := 0;
C_HAS_AXI_WUSER : integer := 0;
C_HAS_AXI_BUSER : integer := 0;
C_HAS_AXI_ARUSER : integer := 0;
C_HAS_AXI_RUSER : integer := 0;
C_AXI_ARUSER_WIDTH : integer := 1;
C_AXI_AWUSER_WIDTH : integer := 1;
C_AXI_WUSER_WIDTH : integer := 1;
C_AXI_BUSER_WIDTH : integer := 1;
C_AXI_RUSER_WIDTH : integer := 1;
-- AXI Streaming
C_HAS_AXIS_TDATA : integer := 0;
C_HAS_AXIS_TID : integer := 0;
C_HAS_AXIS_TDEST : integer := 0;
C_HAS_AXIS_TUSER : integer := 0;
C_HAS_AXIS_TREADY : integer := 1;
C_HAS_AXIS_TLAST : integer := 0;
C_HAS_AXIS_TSTRB : integer := 0;
C_HAS_AXIS_TKEEP : integer := 0;
C_AXIS_TDATA_WIDTH : integer := 64;
C_AXIS_TID_WIDTH : integer := 8;
C_AXIS_TDEST_WIDTH : integer := 4;
C_AXIS_TUSER_WIDTH : integer := 4;
C_AXIS_TSTRB_WIDTH : integer := 4;
C_AXIS_TKEEP_WIDTH : integer := 4;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 5 = Common Clock Built-in FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH : integer := 1;
C_IMPLEMENTATION_TYPE_WDCH : integer := 1;
C_IMPLEMENTATION_TYPE_WRCH : integer := 1;
C_IMPLEMENTATION_TYPE_RACH : integer := 1;
C_IMPLEMENTATION_TYPE_RDCH : integer := 1;
C_IMPLEMENTATION_TYPE_AXIS : integer := 1;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Sync FIFO
-- 3 = Low Latency Async FIFO
C_APPLICATION_TYPE_WACH : integer := 0;
C_APPLICATION_TYPE_WDCH : integer := 0;
C_APPLICATION_TYPE_WRCH : integer := 0;
C_APPLICATION_TYPE_RACH : integer := 0;
C_APPLICATION_TYPE_RDCH : integer := 0;
C_APPLICATION_TYPE_AXIS : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH : integer := 0;
C_USE_ECC_WDCH : integer := 0;
C_USE_ECC_WRCH : integer := 0;
C_USE_ECC_RACH : integer := 0;
C_USE_ECC_RDCH : integer := 0;
C_USE_ECC_AXIS : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH : integer := 0;
C_ERROR_INJECTION_TYPE_RACH : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH : integer := 32;
C_DIN_WIDTH_WDCH : integer := 64;
C_DIN_WIDTH_WRCH : integer := 2;
C_DIN_WIDTH_RACH : integer := 32;
C_DIN_WIDTH_RDCH : integer := 64;
C_DIN_WIDTH_AXIS : integer := 1;
C_WR_DEPTH_WACH : integer := 16;
C_WR_DEPTH_WDCH : integer := 1024;
C_WR_DEPTH_WRCH : integer := 16;
C_WR_DEPTH_RACH : integer := 16;
C_WR_DEPTH_RDCH : integer := 1024;
C_WR_DEPTH_AXIS : integer := 1024;
C_WR_PNTR_WIDTH_WACH : integer := 4;
C_WR_PNTR_WIDTH_WDCH : integer := 10;
C_WR_PNTR_WIDTH_WRCH : integer := 4;
C_WR_PNTR_WIDTH_RACH : integer := 4;
C_WR_PNTR_WIDTH_RDCH : integer := 10;
C_WR_PNTR_WIDTH_AXIS : integer := 10;
C_HAS_DATA_COUNTS_WACH : integer := 0;
C_HAS_DATA_COUNTS_WDCH : integer := 0;
C_HAS_DATA_COUNTS_WRCH : integer := 0;
C_HAS_DATA_COUNTS_RACH : integer := 0;
C_HAS_DATA_COUNTS_RDCH : integer := 0;
C_HAS_DATA_COUNTS_AXIS : integer := 0;
C_HAS_PROG_FLAGS_WACH : integer := 0;
C_HAS_PROG_FLAGS_WDCH : integer := 0;
C_HAS_PROG_FLAGS_WRCH : integer := 0;
C_HAS_PROG_FLAGS_RACH : integer := 0;
C_HAS_PROG_FLAGS_RDCH : integer := 0;
C_HAS_PROG_FLAGS_AXIS : integer := 0;
-- 0: No Programmable FULL
-- 1: Single Programmable FULL Threshold Constant
-- 3: Single Programmable FULL Threshold Input Port
C_PROG_FULL_TYPE_WACH : integer := 5;
C_PROG_FULL_TYPE_WDCH : integer := 5;
C_PROG_FULL_TYPE_WRCH : integer := 5;
C_PROG_FULL_TYPE_RACH : integer := 5;
C_PROG_FULL_TYPE_RDCH : integer := 5;
C_PROG_FULL_TYPE_AXIS : integer := 5;
-- Single Programmable FULL Threshold Constant Assert Value
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer := 1023;
-- 0: No Programmable EMPTY
-- 1: Single Programmable EMPTY Threshold Constant
-- 3: Single Programmable EMPTY Threshold Input Port
C_PROG_EMPTY_TYPE_WACH : integer := 5;
C_PROG_EMPTY_TYPE_WDCH : integer := 5;
C_PROG_EMPTY_TYPE_WRCH : integer := 5;
C_PROG_EMPTY_TYPE_RACH : integer := 5;
C_PROG_EMPTY_TYPE_RDCH : integer := 5;
C_PROG_EMPTY_TYPE_AXIS : integer := 5;
-- Single Programmable EMPTY Threshold Constant Assert Value
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer := 1022;
C_REG_SLICE_MODE_WACH : integer := 0;
C_REG_SLICE_MODE_WDCH : integer := 0;
C_REG_SLICE_MODE_WRCH : integer := 0;
C_REG_SLICE_MODE_RACH : integer := 0;
C_REG_SLICE_MODE_RDCH : integer := 0;
C_REG_SLICE_MODE_AXIS : integer := 0
);
PORT(
------------------------------------------------------------------------------
-- Input and Output Declarations
------------------------------------------------------------------------------
-- Conventional FIFO Interface Signals
backup : in std_logic := '0';
backup_marker : in std_logic := '0';
clk : in std_logic := '0';
rst : in std_logic := '0';
srst : in std_logic := '0';
wr_clk : in std_logic := '0';
wr_rst : in std_logic := '0';
rd_clk : in std_logic := '0';
rd_rst : in std_logic := '0';
din : in std_logic_vector(C_DIN_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
rd_en : in std_logic := '0';
-- optional inputs
prog_empty_thresh : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_assert : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_negate : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_assert : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_negate : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
int_clk : in std_logic := '0';
injectdbiterr : in std_logic := '0';
injectsbiterr : in std_logic := '0';
dout : out std_logic_vector(C_DOUT_WIDTH-1 downto 0) := (others => '0');
full : out std_logic := '0';
almost_full : out std_logic := '0';
wr_ack : out std_logic := '0';
overflow : out std_logic := '0';
empty : out std_logic := '1';
almost_empty : out std_logic := '1';
valid : out std_logic := '0';
underflow : out std_logic := '0';
data_count : out std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
rd_data_count : out std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
wr_data_count : out std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
prog_full : out std_logic := '0';
prog_empty : out std_logic := '1';
sbiterr : out std_logic := '0';
dbiterr : out std_logic := '0';
-- axi global signal
m_aclk : in std_logic := '0';
s_aclk : in std_logic := '0';
s_aresetn : in std_logic := '1'; -- Active low reset, default value set to 1
m_aclk_en : in std_logic := '0';
s_aclk_en : in std_logic := '0';
-- axi full/lite slave write channel (write side)
s_axi_awid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_awaddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_awlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_awsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_awlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_awcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awuser : in std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_awvalid : in std_logic := '0';
s_axi_awready : out std_logic := '0';
s_axi_wid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_wdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_wstrb : in std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
s_axi_wlast : in std_logic := '0';
s_axi_wuser : in std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_wvalid : in std_logic := '0';
s_axi_wready : out std_logic := '0';
s_axi_bid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_bresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_buser : out std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_bvalid : out std_logic := '0';
s_axi_bready : in std_logic := '0';
-- axi full/lite master write channel (read side)
m_axi_awid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_awaddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_awlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_awsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_awlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_awcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awuser : out std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_awvalid : out std_logic := '0';
m_axi_awready : in std_logic := '0';
m_axi_wid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_wdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_wstrb : out std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
m_axi_wlast : out std_logic := '0';
m_axi_wuser : out std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_wvalid : out std_logic := '0';
m_axi_wready : in std_logic := '0';
m_axi_bid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_bresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_buser : in std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_bvalid : in std_logic := '0';
m_axi_bready : out std_logic := '0';
-- axi full/lite slave read channel (write side)
s_axi_arid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_araddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_arlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_arsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_arlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_arcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_aruser : in std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_arvalid : in std_logic := '0';
s_axi_arready : out std_logic := '0';
s_axi_rid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_rdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_rresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_rlast : out std_logic := '0';
s_axi_ruser : out std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_rvalid : out std_logic := '0';
s_axi_rready : in std_logic := '0';
-- axi full/lite master read channel (read side)
m_axi_arid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_araddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_arlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_arsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_arlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_arcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_aruser : out std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_arvalid : out std_logic := '0';
m_axi_arready : in std_logic := '0';
m_axi_rid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_rdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_rresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_rlast : in std_logic := '0';
m_axi_ruser : in std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_rvalid : in std_logic := '0';
m_axi_rready : out std_logic := '0';
-- axi streaming slave signals (write side)
s_axis_tvalid : in std_logic := '0';
s_axis_tready : out std_logic := '0';
s_axis_tdata : in std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
s_axis_tstrb : in std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
s_axis_tkeep : in std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
s_axis_tlast : in std_logic := '0';
s_axis_tid : in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
s_axis_tdest : in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
s_axis_tuser : in std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi streaming master signals (read side)
m_axis_tvalid : out std_logic := '0';
m_axis_tready : in std_logic := '0';
m_axis_tdata : out std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
m_axis_tstrb : out std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
m_axis_tkeep : out std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
m_axis_tlast : out std_logic := '0';
m_axis_tid : out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
m_axis_tdest : out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
m_axis_tuser : out std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi full/lite write address channel signals
axi_aw_injectsbiterr : in std_logic := '0';
axi_aw_injectdbiterr : in std_logic := '0';
axi_aw_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_sbiterr : out std_logic := '0';
axi_aw_dbiterr : out std_logic := '0';
axi_aw_overflow : out std_logic := '0';
axi_aw_underflow : out std_logic := '0';
axi_aw_prog_full : out std_logic := '0';
axi_aw_prog_empty : out std_logic := '1';
-- axi_aw_almost_full : out std_logic := '0';
-- axi_aw_almost_empty : out std_logic := '1';
-- axi full/lite write data channel signals
axi_w_injectsbiterr : in std_logic := '0';
axi_w_injectdbiterr : in std_logic := '0';
axi_w_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_sbiterr : out std_logic := '0';
axi_w_dbiterr : out std_logic := '0';
axi_w_overflow : out std_logic := '0';
axi_w_underflow : out std_logic := '0';
axi_w_prog_full : out std_logic := '0';
axi_w_prog_empty : out std_logic := '1';
-- axi_w_almost_full : out std_logic := '0';
-- axi_w_almost_empty : out std_logic := '1';
-- axi full/lite write response channel signals
axi_b_injectsbiterr : in std_logic := '0';
axi_b_injectdbiterr : in std_logic := '0';
axi_b_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_sbiterr : out std_logic := '0';
axi_b_dbiterr : out std_logic := '0';
axi_b_overflow : out std_logic := '0';
axi_b_underflow : out std_logic := '0';
axi_b_prog_full : out std_logic := '0';
axi_b_prog_empty : out std_logic := '1';
-- axi_b_almost_full : out std_logic := '0';
-- axi_b_almost_empty : out std_logic := '1';
-- axi full/lite read address channel signals
axi_ar_injectsbiterr : in std_logic := '0';
axi_ar_injectdbiterr : in std_logic := '0';
axi_ar_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_sbiterr : out std_logic := '0';
axi_ar_dbiterr : out std_logic := '0';
axi_ar_overflow : out std_logic := '0';
axi_ar_underflow : out std_logic := '0';
axi_ar_prog_full : out std_logic := '0';
axi_ar_prog_empty : out std_logic := '1';
-- axi_ar_almost_full : out std_logic := '0';
-- axi_ar_almost_empty : out std_logic := '1';
-- axi full/lite read data channel signals
axi_r_injectsbiterr : in std_logic := '0';
axi_r_injectdbiterr : in std_logic := '0';
axi_r_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_sbiterr : out std_logic := '0';
axi_r_dbiterr : out std_logic := '0';
axi_r_overflow : out std_logic := '0';
axi_r_underflow : out std_logic := '0';
axi_r_prog_full : out std_logic := '0';
axi_r_prog_empty : out std_logic := '1';
-- axi_r_almost_full : out std_logic := '0';
-- axi_r_almost_empty : out std_logic := '1';
-- axi streaming fifo related signals
axis_injectsbiterr : in std_logic := '0';
axis_injectdbiterr : in std_logic := '0';
axis_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_sbiterr : out std_logic := '0';
axis_dbiterr : out std_logic := '0';
axis_overflow : out std_logic := '0';
axis_underflow : out std_logic := '0';
axis_prog_full : out std_logic := '0';
axis_prog_empty : out std_logic := '1'
-- axis_almost_full : out std_logic := '0';
-- axis_almost_empty : out std_logic := '1'
);
END COMPONENT;
-- End FIFO Generator Component ---------------------------------------
-------------------------------------------------------------------------------------
-- Start Block Memory Generator Component for blk_mem_gen_v8_1
-- Component declaration for blk_mem_gen_v8_1 pulled from the
-- /proj/xbuilds/ids_14.4_P.49d.2.0/lin64/14.4/ISE_DS/ISE/vhdl/src/XilinxCoreLib
-- file: blk_mem_gen_v8_1.v
-- Verilog file used to match paramter order for NCSIM compatibility
-------------------------------------------------------------------------------------
component blk_mem_gen_v8_1 IS
GENERIC (
C_FAMILY : STRING := "virtex6";
C_XDEVICEFAMILY : STRING := "virtex6";
C_ELABORATION_DIR : STRING := "";
C_INTERFACE_TYPE : INTEGER := 0;
C_USE_BRAM_BLOCK : INTEGER := 0;
C_ENABLE_32BIT_ADDRESS : INTEGER := 0;
C_AXI_TYPE : INTEGER := 0;
C_AXI_SLAVE_TYPE : INTEGER := 0;
C_HAS_AXI_ID : INTEGER := 0;
C_AXI_ID_WIDTH : INTEGER := 4;
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 8;
C_ALGORITHM : INTEGER := 2;
C_PRIM_TYPE : INTEGER := 3;
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_INIT_FILE : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "";
C_RST_TYPE : STRING := "SYNC";
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "";
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_A : INTEGER := 32;
C_READ_WIDTH_A : INTEGER := 32;
C_WRITE_DEPTH_A : INTEGER := 64;
C_READ_DEPTH_A : INTEGER := 64;
C_ADDRA_WIDTH : INTEGER := 6;
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "";
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_B : INTEGER := 32;
C_READ_WIDTH_B : INTEGER := 32;
C_WRITE_DEPTH_B : INTEGER := 64;
C_READ_DEPTH_B : INTEGER := 64;
C_ADDRB_WIDTH : INTEGER := 6;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 1;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0
);
PORT (
CLKA : IN STD_LOGIC := '0';
RSTA : IN STD_LOGIC := '0';
ENA : IN STD_LOGIC := '1';
REGCEA : IN STD_LOGIC := '1';
WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
CLKB : IN STD_LOGIC := '0';
RSTB : IN STD_LOGIC := '0';
ENB : IN STD_LOGIC := '1';
REGCEB : IN STD_LOGIC := '1';
WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
INJECTSBITERR : IN STD_LOGIC := '0';
INJECTDBITERR : IN STD_LOGIC := '0';
SBITERR : OUT STD_LOGIC := '0';
DBITERR : OUT STD_LOGIC := '0';
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_AClk : IN STD_LOGIC := '0';
S_ARESETN : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Write (write side)
S_AXI_AWID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN STD_LOGIC := '0';
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WLAST : IN STD_LOGIC := '0';
S_AXI_WVALID : IN STD_LOGIC := '0';
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN STD_LOGIC := '0';
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC := '0';
S_AXI_INJECTDBITERR : IN STD_LOGIC := '0';
S_AXI_SBITERR : OUT STD_LOGIC := '0';
S_AXI_DBITERR : OUT STD_LOGIC := '0';
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT; --blk_mem_gen_v8_1
-- The following tells XST that blk_mem_gen_v8_1 is a black box which
-- should be generated command given by the value of this attribute
-- Note the fully qualified SIM (JAVA class) name that forms the
-- basis of the core
-- ATTRIBUTE box_type OF blk_mem_gen_v8_1 : COMPONENT IS "black_box";
-- ATTRIBUTE generator_default OF blk_mem_gen_v8_1 : COMPONENT IS
-- "generatecore com.xilinx.ip.blk_mem_gen_v8_1.blk_mem_gen_v8_1 -a map_qvirtex_to=virtex map_qrvirtex_to=virtex map_virtexe_to=virtex map_qvirtex2_to=virtex2 map_qrvirtex2_to=virtex2 map_spartan2_to=virtex map_spartan2e_to=virtex map_virtex5_to=virtex4 map_spartan3a_to=spartan3e spartan3an_to=spartan3e spartan3adsp_to=spartan3e ";
-- End Block Memory Generator Component for v7_1 -------------------------------
END coregen_comp_defs;
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_axi_read_wrapper.vhd | 27 | 57813 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gqDFw5NFAM6CTSTQpb6ewV0dkTDze+wC3QoGAxwxbjcNW9/DsOht+2F009+7g6jE2OnhGLtqTq+c
HspFg2GBAA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OROCzcjj1wgCYlIqlabkGZopoXwccuhDPoDiFwbBlsbzl7flKX8tC5m+07o0XejIs9tQT70vCTz8
eor9UB573WqZyEwu6nS7RfReZTn9rXIEfFTmb5LNQYR53WQufFJWXVGGzbi12Azu0TUMNBykYjra
GCJvYkOLjulS+N02/QU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
y306+4wGPVAAsHa7Tcr0Z+Y/dNy6G34dYeGbx7ATqkdiT3xoZwFMriTbyxCB/BNDpEEpWtR2x6B5
1geIXl7xRsYW2a/OzYZ1VgC14cIMMrlyvjd+Q0oeBhNwIf7zzOU0YeLe10Ln0VhNNlM9hG1yxJpm
PklN0o7dbe4z3qSMhzdrqG9CNO1AfE0zEYRDe4xK7ci9EcGBPeIBnjhSSGUwaUeKV6BzeVeTBH5k
pFfAdDfvgi3P1VwvurSSAL/VyrhWR7M2OhP7fekXRqEU99K00pFciI0NAEcJPUl8pbYtjc86ccu3
OmuQ0fZKcUeaRlPX6glqeiiehMLm/EPWzCdMgg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gSn/ibMO73s4UyV+DQBAOvPjnov0A3ONpbzDn5S1gDHbJc8laliw/uAOvABs0KKAN8Q7GKr5UYxh
qWYO6FhJPBG8V6RCU+sAaoeSnleJb/buC83HgJws4chUKE1EbA08UnkA2E57wCSfAlSkdEQl5xrl
E4NsCY7zrBmnjMH1Xu4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lI1FhNfWvnI088CMtuEIyHMeXPGNhtlppeaUXaQvRzrpC6F1bRvO696fznybaYq7K8VPJB0YyXVb
8oCJzTtV2jMI6KoF+McAzbvubpz0ru0XOCjjvcTsZJ3kGxHGUlKh6xdlB0Gez6kASJJe4GeTuEaI
VZNg+Q6ea8OLPKgQf7VICmBv1vM4svyVLDI/pSGiGOmfSMrfWDP60zo6tHpkaDS7uHEj2WN7lXT+
Q8c1SGnQvLeKyHV/kGG66fpNSvILAslBR0l5Xt1/csaBtahK2IV70dxaZkLZ2c3pylf+SxXTt7v2
CzVvxEgWwmwKjiuhBgmVM6qeL7+tokO6P+FlQw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_gen_v8_1_defaults.vhd | 27 | 32589 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WrSZEf64fUAl1kVl9HWWVm9JOgHMmzn0fv0uusEaRSoZ0YHKAX+sj6D4gL2WXWrV9+rdMofvPwNs
9A6zs8psHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R/iTmfCVAo0uuZTRynJ9b5Z2gujQ7+Xxv1u+96JME6mwR6F6/MPV4ayotodCx+xcD+9l4Ktib8Ml
C05jFwQ5vFi+09RjQvyvxQAR5CtE87QE5Bg2A3Gt5QmE+m7ZfJiQZgi5YQHL3kAHS0jfaofTkZIU
6VFVSW/fcrod0Swq7VE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RAfB7dvLyt2uCWNWspMeHiLYPG4TlOk+8Dptz+NhWH6nMzYrNkf7IWIjXk3hEVf7lwT/X64pynoh
QoCCtl9AW1iC77VMTIu5MgFRizuZMUfXZ0crSPULV2aGonx9nQ5JKx8TiRv5BTWxeAsuh1lT/5p6
2v08ZCt1Nwa8GPmEeFnTZsTB1B0jFzZQMa3GGdV0nEcSjDo4bLIkw9sMEBW2OdUuvE5yIHF6Z7++
/wzulmNKOqQpmeHrq3r1VKkMUHNzsDpLkGo5HMiTmEUJr/s3uq2EhCIq1agWSVbcEjS5uDaYcwdG
D4cRvgOxtT5sxpWA4fivRX7vvCyun+C2e4pYew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MsyF52v9pEo5RpJJtfhlgAJQ/9a172C6pJMP5S/aXQMuRuv2+JV5wCeynUZSXHj38Ger421EXuQd
EmO2OIKWiz2pShaEh/NwF+InGDF0QzD16vAgn24LAOYAOX1lcCquf4w2rs7e+0dn2PO/GYRn4rxl
E65F1qdRiZlUeVoRHdk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
klspBE6zapxwDIEksFW+V3vEj3afpsQxyK1CWGpsw53FDriMhZB4hONIr9yRSN9nitmQ+6cnlGM3
S4Cxnkb334zdXXX5YoppEYaAdCcB5nDsYhSpn4PyPhd2ANmiSIXxEjiEJ9MDJlVIobzrtkNgFEWA
QkqC/Eky3QLBOqPuDJIgkf5UFynGEkI3eWzGSyuNAHTTYXfoLlYBh8nelaKS5vgYh7jpllyo5l6k
hn08k3sWZKuN1S8dwb88eFGM6hwg1UoX7pTnUY5yGPZZS0JEiN6WVWRmh72r5l3yyFZOFNcvByJJ
z349Odlh9AHKI6joGGP9sLtbKDrZfmu9y/SSsA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd | 19 | 49663 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MxpeY9fwU4EddFSpExWohS5o9i8UPinR6kQv/f7rVpVjW9v1XPHFNv5NQBBqnxbGk/3GroOhKYHi
zeZXd9sb8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
genV68U/jEyVif/FXdfTRcDdNLXMaB4JkzDnEPHISJLebDAxHBqab4xQb3vzSMzS4EZxJxM3czS7
l6/Pa+/lUNH4iHFgH3/d34ImoXy9UrVsNWI4O1k56f8CO5JZkX0ENM2JUr2+jZNnrmepHCpz3pyr
N2xknPLUPWomWT5p45Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4dyOi6X0ND7jxJKLfQYpMzBQUnXRUvqhIlWd2qdz2OgGY9VUivCAp2239OkMu2rIWSpkdV3gd8Tn
4E+XnpveIi4nHAn1AdqR2yW6qJRqYI/CpvcG8E7ZhuUiWSAPiQ/jcxRmeyzLFdVhgEV4hed5vk+9
Qi0C1DUHqDNPvc06f+xZUSTzBSqXkxyUqGIa+j3ZmCrjq04hmRDILUEkjqmR0K0TOLNdsLd81gAl
LqIfeuzK3hLcVWnnJG54RzS/q6bahPN8UaYhtJREcAC9BD1S+QEdDXRxFczj2T1LQBL5rSryR8bI
LV6YqNl+85SCCMZmZV8Io9S7fDVIrhzNm4Kcmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PIdLn+S6alHzFt/ir7zZvMPdMeYQTL6BrWSuIGxsOazGugSdn7m2jtyII74LXXAGUQ0h11spxnUf
W/HpoHHxg6pfmAZclwmfvLsFiVi0w0hNMmIWoR8TGPdAC93Y5+aRfoAJNuDfUDfLzdBM4O7G2ZFx
YGYpvBcNhzcFFuSCCK4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkGw0OOEdMUjhZKEmICwPPGTbEeQxk+K4HH0ah7Z5cm5dbbyDDJyn1CdBy6WY7ZD/SXDbXp0Ibi6
BH7Y9BzUsE3rhTUVWQo0OMHXc+hE0CnmrdIq6Yy3Wkf73IKl+pu+66Qo9W7SdJGNPpreGME4X4AM
zBwAv9xByRwGoY45EIIGTaE7VL15piKgLihjK8Y2Ee8q921qHsI62b9osdj+stH9M0nIgGIwpsIA
DiUOa8Naw0kRMS8QCXDqKr1fJ0jPj3cnclvP9Taz8J5tp8Sf8I6bs8irg+MGD1MgQIfeKkimA5VH
MerNz8gbn3+/Vz2X2+nKanM3LebAMLyCO8EBfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35024)
`protect data_block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`protect end_protected
| mit |
frankvanbever/MIPS_processor | ALU.vhd | 1 | 3635 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:28 02/27/2013
-- Design Name:
-- Module Name: ALU - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision: 0.1 - first version -- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
--! Use standard library
library IEEE;
--! use logic elements
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_unsigned.all;
--! this is a 32-bit alu with 2 32-bit inputs and a 32 bit output, it also has a zero output bit, it needs 4 control bits from the ALU control to function
entity ALU is
Port ( ALU_Input_1 : in STD_LOGIC_VECTOR (31 downto 0); --! alu input 1 (rs)
ALU_Input_2 : in STD_LOGIC_VECTOR (31 downto 0); --! alu input 2 (rt)
ALU_Zero : out STD_LOGIC; --! alu zero output
ALU_Result : out STD_LOGIC_VECTOR (31 downto 0); --! alu 32 bit output
ALU_Control_In : in STD_LOGIC_VECTOR (3 downto 0) --! input from alu control
);
end ALU;
--! @brief This is a 32 bit ALU for the MIPS processor
--! @details the ALU is able to do following functions: AND,OR, add, substract, set on less then, NOR (see ALU_Control)
--! @details the zero output bit is set if the result of the ALU is 0
architecture Behavioral of ALU is
shared variable Result: Std_logic_vector (31 downto 0); --! Register to store Result of alu
shared variable Result64:Std_logic_vector(63 downto 0);
shared variable Hi:Std_logic_vector(31 downto 0); --! Hi reg for mult
shared variable Lo:Std_logic_vector(31 downto 0); --! Lo reg for mult
begin
ALU_Result_Calc: process(ALU_Input_1,ALU_Input_2,ALU_Control_In)
begin
if (ALU_Control_In = "0000") then
Result := ALU_Input_1 AND ALU_Input_2; -- AND
elsif(ALU_Control_In = "0001") then
Result := ALU_Input_1 OR ALU_Input_2; -- OR
elsif(ALU_Control_In = "0010") then
Result := ALU_Input_1 + ALU_Input_2; -- add
elsif (ALU_Control_In = "0110") then
Result := ALU_Input_1 - ALU_Input_2; -- substract
elsif (ALU_Control_In = "0111") then
if( ( ALU_Input_1 - ALU_Input_2 ) > X"80000000" ) then --if input2 > input1
Result:=X"00000001"; --set result to 1
else -- else (input2<=input1)
Result:=X"00000000"; -- set to zero
end if; -- set on les then calculation
elsif (ALU_Control_In = "1100") then
Result := ALU_Input_1 NOR ALU_Input_2; -- NOR
elsif (ALU_Control_In = "1101") then
Result64 := ALU_Input_1*ALU_Input_2; -- mult
Hi := Result64(63 downto 32);
Lo:=Result64(31 downto 0); -- puts data in registers
Result:=Lo; -- puts the Lo data also in result so can be used to directly write to rd
elsif(ALU_Control_In="1110")then --puts Lo out
Result:=Lo;
elsif(ALU_Control_In="1111")then --puts Hi out
Result:=Hi;
else
Result := X"10101010"; --error code
end if;
--why is the switch case gone here?
-- if(ALU_Control_In="0111")then -- set on less then changes the result
-- if(Result<X"00000000") then --if input2 > input1
-- Result:=X"00000001"; --set result to 1
-- else -- else (input2<=input1)
-- Result:=X"00000000"; -- set to zero
-- end if;
-- end if;
if(Result=X"00000000")then --set the zero line
ALU_Zero<='1';
else
ALU_Zero<='0';
end if;
ALU_Result <=Result;
end process ALU_Result_Calc;
end Behavioral;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/checkbit_handler_64.vhd | 7 | 78226 | -------------------------------------------------------------------------------
-- checkbit_handler_64.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: checkbit_handler_64.vhd
--
-- Description: Generates the ECC checkbits for the input vector of
-- 64-bit data widths.
--
-- VHDL-Standard: VHDL'93/02
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity checkbit_handler_64 is
generic (
C_ENCODE : boolean := true;
C_REG : boolean := false;
C_USE_LUT6 : boolean := true);
port (
Clk : in std_logic;
DataIn : in std_logic_vector (63 downto 0);
CheckIn : in std_logic_vector (7 downto 0);
CheckOut : out std_logic_vector (7 downto 0);
Syndrome : out std_logic_vector (7 downto 0);
Syndrome_7 : out std_logic_vector (11 downto 0);
Syndrome_Chk : in std_logic_vector (0 to 7);
Enable_ECC : in std_logic;
UE_Q : in std_logic;
CE_Q : in std_logic;
UE : out std_logic;
CE : out std_logic
);
end entity checkbit_handler_64;
library unisim;
use unisim.vcomponents.all;
-- library axi_bram_ctrl_v1_02_a;
-- use axi_bram_ctrl_v1_02_a.all;
architecture IMP of checkbit_handler_64 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
component XOR18 is
generic (
C_USE_LUT6 : boolean);
port (
InA : in std_logic_vector(0 to 17);
res : out std_logic);
end component XOR18;
component Parity is
generic (
C_USE_LUT6 : boolean;
C_SIZE : integer);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Res : out std_logic);
end component Parity;
-- component ParityEnable
-- generic (
-- C_USE_LUT6 : boolean;
-- C_SIZE : integer);
-- port (
-- InA : in std_logic_vector(0 to C_SIZE - 1);
-- Enable : in std_logic;
-- Res : out std_logic);
-- end component ParityEnable;
signal data_chk0 : std_logic_vector(0 to 34);
signal data_chk1 : std_logic_vector(0 to 34);
signal data_chk2 : std_logic_vector(0 to 34);
signal data_chk3 : std_logic_vector(0 to 30);
signal data_chk4 : std_logic_vector(0 to 30);
signal data_chk5 : std_logic_vector(0 to 30);
signal data_chk6 : std_logic_vector(0 to 6);
signal data_chk6_xor : std_logic;
-- signal data_chk7_a : std_logic_vector(0 to 17);
-- signal data_chk7_b : std_logic_vector(0 to 17);
-- signal data_chk7_i : std_logic;
-- signal data_chk7_xor : std_logic;
-- signal data_chk7_i_xor : std_logic;
-- signal data_chk7_a_xor : std_logic;
-- signal data_chk7_b_xor : std_logic;
begin -- architecture IMP
-- Add bits for 64-bit ECC
-- 0 <= 0 1 3 4 6 8 10 11 13 17 19 21 23 25 26 28 30
-- 32 34 36 38 40 42 44 46 48 50 52 54 56 57 59 61 63
data_chk0 <= DataIn(0) & DataIn(1) & DataIn(3) & DataIn(4) & DataIn(6) & DataIn(8) & DataIn(10) &
DataIn(11) & DataIn(13) & DataIn(15) & DataIn(17) & DataIn(19) & DataIn(21) &
DataIn(23) & DataIn(25) & DataIn(26) & DataIn(28) & DataIn(30) &
DataIn(32) & DataIn(34) & DataIn(36) & DataIn(38) & DataIn(40) &
DataIn(42) & DataIn(44) & DataIn(46) & DataIn(48) & DataIn(50) &
DataIn(52) & DataIn(54) & DataIn(56) & DataIn(57) & DataIn(59) &
DataIn(61) & DataIn(63) ;
-- 18 + 17 = 35
---------------------------------------------------------------------------
-- 1 <= 0 2 3 5 6 9 10 12 13 16 17 20 21 24 25 27 28 31
-- 32 35 36 39 40 43 44 47 48 51 52 55 56 58 59 62 63
data_chk1 <= DataIn(0) & DataIn(2) & DataIn(3) & DataIn(5) & DataIn(6) & DataIn(9) & DataIn(10) &
DataIn(12) & DataIn(13) & DataIn(16) & DataIn(17) & DataIn(20) & DataIn(21) &
DataIn(24) & DataIn(25) & DataIn(27) & DataIn(28) & DataIn(31) &
DataIn(32) & DataIn(35) & DataIn(36) & DataIn(39) & DataIn(40) &
DataIn(43) & DataIn(44) & DataIn(47) & DataIn(48) & DataIn(51) &
DataIn(52) & DataIn(55) & DataIn(56) & DataIn(58) & DataIn(59) &
DataIn(62) & DataIn(63) ;
-- 18 + 17 = 35
---------------------------------------------------------------------------
-- 2 <= 1 2 3 7 8 9 10 14 15 16 17 22 23 24 25 29 30 31
-- 32 37 38 39 40 45 46 47 48 53 54 55 56 60 61 62 63
data_chk2 <= DataIn(1) & DataIn(2) & DataIn(3) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) &
DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25) & DataIn(29) & DataIn(30) & DataIn(31) &
DataIn(32) & DataIn(37) & DataIn(38) & DataIn(39) & DataIn(40) & DataIn(45) &
DataIn(46) & DataIn(47) & DataIn(48) & DataIn(53) & DataIn(54) & DataIn(55) &
DataIn(56) & DataIn(60) & DataIn(61) & DataIn(62) & DataIn(63) ;
-- 18 + 17 = 35
---------------------------------------------------------------------------
-- 3 <= 4 5 6 7 8 9 10 18 19 20 21 22 23 24 25
-- 33 34 35 36 37 38 39 40 49 50 51 52 53 54 55 56
data_chk3 <= DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) &
DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25) &
DataIn(33) & DataIn(34) & DataIn(35) & DataIn(36) & DataIn(37) & DataIn(38) & DataIn(39) &
DataIn(40) & DataIn(49) & DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) &
DataIn(55) & DataIn(56) ;
-- 15 + 16 = 31
---------------------------------------------------------------------------
-- 4 <= 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
-- 41-56
data_chk4 <= DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) &
DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25) &
DataIn(41) & DataIn(42) & DataIn(43) & DataIn(44) & DataIn(45) & DataIn(46) & DataIn(47) &
DataIn(48) & DataIn(49) & DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) &
DataIn(55) & DataIn(56) ;
-- 15 + 16 = 31
---------------------------------------------------------------------------
-- 5 <= 26 - 31
-- 32 - 56
data_chk5 <= DataIn(26) & DataIn(27) & DataIn(28) & DataIn(29) & DataIn(30) & DataIn(31) &
DataIn(32) & DataIn(33) & DataIn(34) & DataIn(35) & DataIn(36) & DataIn(37) &
DataIn(38) & DataIn(39) & DataIn(40) & DataIn(41) & DataIn(42) & DataIn(43) &
DataIn(44) & DataIn(45) & DataIn(46) & DataIn(47) & DataIn(48) & DataIn(49) &
DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) & DataIn(55) &
DataIn(56) ;
-- 18 + 13 = 31
---------------------------------------------------------------------------
-- New additional checkbit for 64-bit data
-- 6 <= 57 - 63
data_chk6 <= DataIn(57) & DataIn(58) & DataIn(59) & DataIn(60) & DataIn(61) & DataIn(62) &
DataIn(63) ;
-- Encode bits for writing data
Encode_Bits : if (C_ENCODE) generate
-- signal data_chk0_i : std_logic_vector(0 to 17);
-- signal data_chk0_xor : std_logic;
-- signal data_chk0_i_xor : std_logic;
-- signal data_chk1_i : std_logic_vector(0 to 17);
-- signal data_chk1_xor : std_logic;
-- signal data_chk1_i_xor : std_logic;
-- signal data_chk2_i : std_logic_vector(0 to 17);
-- signal data_chk2_xor : std_logic;
-- signal data_chk2_i_xor : std_logic;
-- signal data_chk3_i : std_logic_vector(0 to 17);
-- signal data_chk3_xor : std_logic;
-- signal data_chk3_i_xor : std_logic;
-- signal data_chk4_i : std_logic_vector(0 to 17);
-- signal data_chk4_xor : std_logic;
-- signal data_chk4_i_xor : std_logic;
-- signal data_chk5_i : std_logic_vector(0 to 17);
-- signal data_chk5_xor : std_logic;
-- signal data_chk5_i_xor : std_logic;
-- signal data_chk6_i : std_logic;
-- signal data_chk0_xor_reg : std_logic;
-- signal data_chk0_i_xor_reg : std_logic;
-- signal data_chk1_xor_reg : std_logic;
-- signal data_chk1_i_xor_reg : std_logic;
-- signal data_chk2_xor_reg : std_logic;
-- signal data_chk2_i_xor_reg : std_logic;
-- signal data_chk3_xor_reg : std_logic;
-- signal data_chk3_i_xor_reg : std_logic;
-- signal data_chk4_xor_reg : std_logic;
-- signal data_chk4_i_xor_reg : std_logic;
-- signal data_chk5_xor_reg : std_logic;
-- signal data_chk5_i_xor_reg : std_logic;
-- signal data_chk6_i_reg : std_logic;
-- signal data_chk7_a_xor_reg : std_logic;
-- signal data_chk7_b_xor_reg : std_logic;
-- Checkbit (0)
signal data_chk0_a : std_logic_vector (0 to 5);
signal data_chk0_b : std_logic_vector (0 to 5);
signal data_chk0_c : std_logic_vector (0 to 5);
signal data_chk0_d : std_logic_vector (0 to 5);
signal data_chk0_e : std_logic_vector (0 to 5);
signal data_chk0_f : std_logic_vector (0 to 4);
signal data_chk0_a_xor : std_logic;
signal data_chk0_b_xor : std_logic;
signal data_chk0_c_xor : std_logic;
signal data_chk0_d_xor : std_logic;
signal data_chk0_e_xor : std_logic;
signal data_chk0_f_xor : std_logic;
signal data_chk0_a_xor_reg : std_logic;
signal data_chk0_b_xor_reg : std_logic;
signal data_chk0_c_xor_reg : std_logic;
signal data_chk0_d_xor_reg : std_logic;
signal data_chk0_e_xor_reg : std_logic;
signal data_chk0_f_xor_reg : std_logic;
-- Checkbit (1)
signal data_chk1_a : std_logic_vector (0 to 5);
signal data_chk1_b : std_logic_vector (0 to 5);
signal data_chk1_c : std_logic_vector (0 to 5);
signal data_chk1_d : std_logic_vector (0 to 5);
signal data_chk1_e : std_logic_vector (0 to 5);
signal data_chk1_f : std_logic_vector (0 to 4);
signal data_chk1_a_xor : std_logic;
signal data_chk1_b_xor : std_logic;
signal data_chk1_c_xor : std_logic;
signal data_chk1_d_xor : std_logic;
signal data_chk1_e_xor : std_logic;
signal data_chk1_f_xor : std_logic;
signal data_chk1_a_xor_reg : std_logic;
signal data_chk1_b_xor_reg : std_logic;
signal data_chk1_c_xor_reg : std_logic;
signal data_chk1_d_xor_reg : std_logic;
signal data_chk1_e_xor_reg : std_logic;
signal data_chk1_f_xor_reg : std_logic;
-- Checkbit (2)
signal data_chk2_a : std_logic_vector (0 to 5);
signal data_chk2_b : std_logic_vector (0 to 5);
signal data_chk2_c : std_logic_vector (0 to 5);
signal data_chk2_d : std_logic_vector (0 to 5);
signal data_chk2_e : std_logic_vector (0 to 5);
signal data_chk2_f : std_logic_vector (0 to 4);
signal data_chk2_a_xor : std_logic;
signal data_chk2_b_xor : std_logic;
signal data_chk2_c_xor : std_logic;
signal data_chk2_d_xor : std_logic;
signal data_chk2_e_xor : std_logic;
signal data_chk2_f_xor : std_logic;
signal data_chk2_a_xor_reg : std_logic;
signal data_chk2_b_xor_reg : std_logic;
signal data_chk2_c_xor_reg : std_logic;
signal data_chk2_d_xor_reg : std_logic;
signal data_chk2_e_xor_reg : std_logic;
signal data_chk2_f_xor_reg : std_logic;
-- Checkbit (3)
signal data_chk3_a : std_logic_vector (0 to 5);
signal data_chk3_b : std_logic_vector (0 to 5);
signal data_chk3_c : std_logic_vector (0 to 5);
signal data_chk3_d : std_logic_vector (0 to 5);
signal data_chk3_e : std_logic_vector (0 to 5);
signal data_chk3_a_xor : std_logic;
signal data_chk3_b_xor : std_logic;
signal data_chk3_c_xor : std_logic;
signal data_chk3_d_xor : std_logic;
signal data_chk3_e_xor : std_logic;
signal data_chk3_f_xor : std_logic;
signal data_chk3_a_xor_reg : std_logic;
signal data_chk3_b_xor_reg : std_logic;
signal data_chk3_c_xor_reg : std_logic;
signal data_chk3_d_xor_reg : std_logic;
signal data_chk3_e_xor_reg : std_logic;
signal data_chk3_f_xor_reg : std_logic;
-- Checkbit (4)
signal data_chk4_a : std_logic_vector (0 to 5);
signal data_chk4_b : std_logic_vector (0 to 5);
signal data_chk4_c : std_logic_vector (0 to 5);
signal data_chk4_d : std_logic_vector (0 to 5);
signal data_chk4_e : std_logic_vector (0 to 5);
signal data_chk4_a_xor : std_logic;
signal data_chk4_b_xor : std_logic;
signal data_chk4_c_xor : std_logic;
signal data_chk4_d_xor : std_logic;
signal data_chk4_e_xor : std_logic;
signal data_chk4_f_xor : std_logic;
signal data_chk4_a_xor_reg : std_logic;
signal data_chk4_b_xor_reg : std_logic;
signal data_chk4_c_xor_reg : std_logic;
signal data_chk4_d_xor_reg : std_logic;
signal data_chk4_e_xor_reg : std_logic;
signal data_chk4_f_xor_reg : std_logic;
-- Checkbit (5)
signal data_chk5_a : std_logic_vector (0 to 5);
signal data_chk5_b : std_logic_vector (0 to 5);
signal data_chk5_c : std_logic_vector (0 to 5);
signal data_chk5_d : std_logic_vector (0 to 5);
signal data_chk5_e : std_logic_vector (0 to 5);
signal data_chk5_a_xor : std_logic;
signal data_chk5_b_xor : std_logic;
signal data_chk5_c_xor : std_logic;
signal data_chk5_d_xor : std_logic;
signal data_chk5_e_xor : std_logic;
signal data_chk5_f_xor : std_logic;
signal data_chk5_a_xor_reg : std_logic;
signal data_chk5_b_xor_reg : std_logic;
signal data_chk5_c_xor_reg : std_logic;
signal data_chk5_d_xor_reg : std_logic;
signal data_chk5_e_xor_reg : std_logic;
signal data_chk5_f_xor_reg : std_logic;
-- Checkbit (6)
signal data_chk6_a : std_logic;
signal data_chk6_b : std_logic;
signal data_chk6_a_reg : std_logic;
signal data_chk6_b_reg : std_logic;
-- Checkbit (7)
signal data_chk7_a : std_logic_vector (0 to 5);
signal data_chk7_b : std_logic_vector (0 to 5);
signal data_chk7_c : std_logic_vector (0 to 5);
signal data_chk7_d : std_logic_vector (0 to 5);
signal data_chk7_e : std_logic_vector (0 to 5);
signal data_chk7_f : std_logic_vector (0 to 4);
signal data_chk7_a_xor : std_logic;
signal data_chk7_b_xor : std_logic;
signal data_chk7_c_xor : std_logic;
signal data_chk7_d_xor : std_logic;
signal data_chk7_e_xor : std_logic;
signal data_chk7_f_xor : std_logic;
signal data_chk7_a_xor_reg : std_logic;
signal data_chk7_b_xor_reg : std_logic;
signal data_chk7_c_xor_reg : std_logic;
signal data_chk7_d_xor_reg : std_logic;
signal data_chk7_e_xor_reg : std_logic;
signal data_chk7_f_xor_reg : std_logic;
begin
-----------------------------------------------------------------------------
-- For timing improvements, if check bit XOR logic
-- needs to be pipelined. Add register level here
-- after 1st LUT level.
REG_BITS : if (C_REG) generate
begin
REG_CHK: process (Clk)
begin
if (Clk'event and Clk = '1' ) then
-- Checkbit (0)
-- data_chk0_xor_reg <= data_chk0_xor;
-- data_chk0_i_xor_reg <= data_chk0_i_xor;
data_chk0_a_xor_reg <= data_chk0_a_xor;
data_chk0_b_xor_reg <= data_chk0_b_xor;
data_chk0_c_xor_reg <= data_chk0_c_xor;
data_chk0_d_xor_reg <= data_chk0_d_xor;
data_chk0_e_xor_reg <= data_chk0_e_xor;
data_chk0_f_xor_reg <= data_chk0_f_xor;
-- Checkbit (1)
-- data_chk1_xor_reg <= data_chk1_xor;
-- data_chk1_i_xor_reg <= data_chk1_i_xor;
data_chk1_a_xor_reg <= data_chk1_a_xor;
data_chk1_b_xor_reg <= data_chk1_b_xor;
data_chk1_c_xor_reg <= data_chk1_c_xor;
data_chk1_d_xor_reg <= data_chk1_d_xor;
data_chk1_e_xor_reg <= data_chk1_e_xor;
data_chk1_f_xor_reg <= data_chk1_f_xor;
-- Checkbit (2)
-- data_chk2_xor_reg <= data_chk2_xor;
-- data_chk2_i_xor_reg <= data_chk2_i_xor;
data_chk2_a_xor_reg <= data_chk2_a_xor;
data_chk2_b_xor_reg <= data_chk2_b_xor;
data_chk2_c_xor_reg <= data_chk2_c_xor;
data_chk2_d_xor_reg <= data_chk2_d_xor;
data_chk2_e_xor_reg <= data_chk2_e_xor;
data_chk2_f_xor_reg <= data_chk2_f_xor;
-- Checkbit (3)
-- data_chk3_xor_reg <= data_chk3_xor;
-- data_chk3_i_xor_reg <= data_chk3_i_xor;
data_chk3_a_xor_reg <= data_chk3_a_xor;
data_chk3_b_xor_reg <= data_chk3_b_xor;
data_chk3_c_xor_reg <= data_chk3_c_xor;
data_chk3_d_xor_reg <= data_chk3_d_xor;
data_chk3_e_xor_reg <= data_chk3_e_xor;
data_chk3_f_xor_reg <= data_chk3_f_xor;
-- Checkbit (4)
-- data_chk4_xor_reg <= data_chk4_xor;
-- data_chk4_i_xor_reg <= data_chk4_i_xor;
data_chk4_a_xor_reg <= data_chk4_a_xor;
data_chk4_b_xor_reg <= data_chk4_b_xor;
data_chk4_c_xor_reg <= data_chk4_c_xor;
data_chk4_d_xor_reg <= data_chk4_d_xor;
data_chk4_e_xor_reg <= data_chk4_e_xor;
data_chk4_f_xor_reg <= data_chk4_f_xor;
-- Checkbit (5)
-- data_chk5_xor_reg <= data_chk5_xor;
-- data_chk5_i_xor_reg <= data_chk5_i_xor;
data_chk5_a_xor_reg <= data_chk5_a_xor;
data_chk5_b_xor_reg <= data_chk5_b_xor;
data_chk5_c_xor_reg <= data_chk5_c_xor;
data_chk5_d_xor_reg <= data_chk5_d_xor;
data_chk5_e_xor_reg <= data_chk5_e_xor;
data_chk5_f_xor_reg <= data_chk5_f_xor;
-- Checkbit (6)
-- data_chk6_i_reg <= data_chk6_i;
data_chk6_a_reg <= data_chk6_a;
data_chk6_b_reg <= data_chk6_b;
-- Checkbit (7)
-- data_chk7_a_xor_reg <= data_chk7_a_xor;
-- data_chk7_b_xor_reg <= data_chk7_b_xor;
data_chk7_a_xor_reg <= data_chk7_a_xor;
data_chk7_b_xor_reg <= data_chk7_b_xor;
data_chk7_c_xor_reg <= data_chk7_c_xor;
data_chk7_d_xor_reg <= data_chk7_d_xor;
data_chk7_e_xor_reg <= data_chk7_e_xor;
data_chk7_f_xor_reg <= data_chk7_f_xor;
end if;
end process REG_CHK;
-- Perform the last XOR after the register stage
-- CheckOut(0) <= data_chk0_xor_reg xor data_chk0_i_xor_reg;
CheckOut(0) <= data_chk0_a_xor_reg xor
data_chk0_b_xor_reg xor
data_chk0_c_xor_reg xor
data_chk0_d_xor_reg xor
data_chk0_e_xor_reg xor
data_chk0_f_xor_reg;
-- CheckOut(1) <= data_chk1_xor_reg xor data_chk1_i_xor_reg;
CheckOut(1) <= data_chk1_a_xor_reg xor
data_chk1_b_xor_reg xor
data_chk1_c_xor_reg xor
data_chk1_d_xor_reg xor
data_chk1_e_xor_reg xor
data_chk1_f_xor_reg;
-- CheckOut(2) <= data_chk2_xor_reg xor data_chk2_i_xor_reg;
CheckOut(2) <= data_chk2_a_xor_reg xor
data_chk2_b_xor_reg xor
data_chk2_c_xor_reg xor
data_chk2_d_xor_reg xor
data_chk2_e_xor_reg xor
data_chk2_f_xor_reg;
-- CheckOut(3) <= data_chk3_xor_reg xor data_chk3_i_xor_reg;
CheckOut(3) <= data_chk3_a_xor_reg xor
data_chk3_b_xor_reg xor
data_chk3_c_xor_reg xor
data_chk3_d_xor_reg xor
data_chk3_e_xor_reg xor
data_chk3_f_xor_reg;
-- CheckOut(4) <= data_chk4_xor_reg xor data_chk4_i_xor_reg;
CheckOut(4) <= data_chk4_a_xor_reg xor
data_chk4_b_xor_reg xor
data_chk4_c_xor_reg xor
data_chk4_d_xor_reg xor
data_chk4_e_xor_reg xor
data_chk4_f_xor_reg;
-- CheckOut(5) <= data_chk5_xor_reg xor data_chk5_i_xor_reg;
CheckOut(5) <= data_chk5_a_xor_reg xor
data_chk5_b_xor_reg xor
data_chk5_c_xor_reg xor
data_chk5_d_xor_reg xor
data_chk5_e_xor_reg xor
data_chk5_f_xor_reg;
-- CheckOut(6) <= data_chk6_i_reg;
CheckOut(6) <= data_chk6_a_reg xor data_chk6_b_reg;
-- CheckOut(7) <= data_chk7_a_xor_reg xor data_chk7_b_xor_reg;
CheckOut(7) <= data_chk7_a_xor_reg xor
data_chk7_b_xor_reg xor
data_chk7_c_xor_reg xor
data_chk7_d_xor_reg xor
data_chk7_e_xor_reg xor
data_chk7_f_xor_reg;
end generate REG_BITS;
NO_REG_BITS: if (not C_REG) generate
begin
-- CheckOut(0) <= data_chk0_xor xor data_chk0_i_xor;
CheckOut(0) <= data_chk0_a_xor xor
data_chk0_b_xor xor
data_chk0_c_xor xor
data_chk0_d_xor xor
data_chk0_e_xor xor
data_chk0_f_xor;
-- CheckOut(1) <= data_chk1_xor xor data_chk1_i_xor;
CheckOut(1) <= data_chk1_a_xor xor
data_chk1_b_xor xor
data_chk1_c_xor xor
data_chk1_d_xor xor
data_chk1_e_xor xor
data_chk1_f_xor;
-- CheckOut(2) <= data_chk2_xor xor data_chk2_i_xor;
CheckOut(2) <= data_chk2_a_xor xor
data_chk2_b_xor xor
data_chk2_c_xor xor
data_chk2_d_xor xor
data_chk2_e_xor xor
data_chk2_f_xor;
-- CheckOut(3) <= data_chk3_xor xor data_chk3_i_xor;
CheckOut(3) <= data_chk3_a_xor xor
data_chk3_b_xor xor
data_chk3_c_xor xor
data_chk3_d_xor xor
data_chk3_e_xor xor
data_chk3_f_xor;
-- CheckOut(4) <= data_chk4_xor xor data_chk4_i_xor;
CheckOut(4) <= data_chk4_a_xor xor
data_chk4_b_xor xor
data_chk4_c_xor xor
data_chk4_d_xor xor
data_chk4_e_xor xor
data_chk4_f_xor;
-- CheckOut(5) <= data_chk5_xor xor data_chk5_i_xor;
CheckOut(5) <= data_chk5_a_xor xor
data_chk5_b_xor xor
data_chk5_c_xor xor
data_chk5_d_xor xor
data_chk5_e_xor xor
data_chk5_f_xor;
-- CheckOut(6) <= data_chk6_i;
CheckOut(6) <= data_chk6_a xor data_chk6_b;
-- CheckOut(7) <= data_chk7_a_xor xor data_chk7_b_xor;
CheckOut(7) <= data_chk7_a_xor xor
data_chk7_b_xor xor
data_chk7_c_xor xor
data_chk7_d_xor xor
data_chk7_e_xor xor
data_chk7_f_xor;
end generate NO_REG_BITS;
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Checkbit 0 built up using 2x XOR18
-------------------------------------------------------------------------------
-- XOR18_I0_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk0 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk0_xor); -- [out std_logic]
--
-- data_chk0_i <= data_chk0 (18 to 34) & '0';
--
-- XOR18_I0_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk0_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk0_i_xor); -- [out std_logic]
--
-- -- CheckOut(0) <= data_chk0_xor xor data_chk0_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk0_a <= data_chk0 (0 to 5);
data_chk0_b <= data_chk0 (6 to 11);
data_chk0_c <= data_chk0 (12 to 17);
data_chk0_d <= data_chk0 (18 to 23);
data_chk0_e <= data_chk0 (24 to 29);
data_chk0_f <= data_chk0 (30 to 34);
PARITY_CHK0_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_a_xor ); -- [out std_logic]
PARITY_CHK0_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_b_xor ); -- [out std_logic]
PARITY_CHK0_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_c_xor ); -- [out std_logic]
PARITY_CHK0_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_d_xor ); -- [out std_logic]
PARITY_CHK0_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_e_xor ); -- [out std_logic]
PARITY_CHK0_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk0_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_f_xor ); -- [out std_logic]
-------------------------------------------------------------------------------
-- Checkbit 1 built up using 2x XOR18
-------------------------------------------------------------------------------
-- XOR18_I1_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk1 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk1_xor); -- [out std_logic]
--
-- data_chk1_i <= data_chk1 (18 to 34) & '0';
--
-- XOR18_I1_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk1_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk1_i_xor); -- [out std_logic]
--
-- -- CheckOut(1) <= data_chk1_xor xor data_chk1_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk1_a <= data_chk1 (0 to 5);
data_chk1_b <= data_chk1 (6 to 11);
data_chk1_c <= data_chk1 (12 to 17);
data_chk1_d <= data_chk1 (18 to 23);
data_chk1_e <= data_chk1 (24 to 29);
data_chk1_f <= data_chk1 (30 to 34);
PARITY_chk1_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_a_xor ); -- [out std_logic]
PARITY_chk1_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_b_xor ); -- [out std_logic]
PARITY_chk1_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_c_xor ); -- [out std_logic]
PARITY_chk1_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_d_xor ); -- [out std_logic]
PARITY_chk1_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_e_xor ); -- [out std_logic]
PARITY_chk1_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk1_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_f_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 2 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I2_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk2 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk2_xor); -- [out std_logic]
--
-- data_chk2_i <= data_chk2 (18 to 34) & '0';
--
-- XOR18_I2_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk2_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk2_i_xor); -- [out std_logic]
--
-- -- CheckOut(2) <= data_chk2_xor xor data_chk2_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk2_a <= data_chk2 (0 to 5);
data_chk2_b <= data_chk2 (6 to 11);
data_chk2_c <= data_chk2 (12 to 17);
data_chk2_d <= data_chk2 (18 to 23);
data_chk2_e <= data_chk2 (24 to 29);
data_chk2_f <= data_chk2 (30 to 34);
PARITY_chk2_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_a_xor ); -- [out std_logic]
PARITY_chk2_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_b_xor ); -- [out std_logic]
PARITY_chk2_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_c_xor ); -- [out std_logic]
PARITY_chk2_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_d_xor ); -- [out std_logic]
PARITY_chk2_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_e_xor ); -- [out std_logic]
PARITY_chk2_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk2_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_f_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 3 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I3_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk3 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk3_xor); -- [out std_logic]
--
-- data_chk3_i <= data_chk3 (18 to 30) & "00000";
--
-- XOR18_I3_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk3_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk3_i_xor); -- [out std_logic]
--
-- -- CheckOut(3) <= data_chk3_xor xor data_chk3_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk3_a <= data_chk3 (0 to 5);
data_chk3_b <= data_chk3 (6 to 11);
data_chk3_c <= data_chk3 (12 to 17);
data_chk3_d <= data_chk3 (18 to 23);
data_chk3_e <= data_chk3 (24 to 29);
data_chk3_f_xor <= data_chk3 (30);
PARITY_chk3_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_a_xor ); -- [out std_logic]
PARITY_chk3_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_b_xor ); -- [out std_logic]
PARITY_chk3_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_c_xor ); -- [out std_logic]
PARITY_chk3_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_d_xor ); -- [out std_logic]
PARITY_chk3_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_e_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 4 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I4_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk4 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk4_xor); -- [out std_logic]
--
-- data_chk4_i <= data_chk4 (18 to 30) & "00000";
--
-- XOR18_I4_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk4_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk4_i_xor); -- [out std_logic]
--
-- -- CheckOut(4) <= data_chk4_xor xor data_chk4_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk4_a <= data_chk4 (0 to 5);
data_chk4_b <= data_chk4 (6 to 11);
data_chk4_c <= data_chk4 (12 to 17);
data_chk4_d <= data_chk4 (18 to 23);
data_chk4_e <= data_chk4 (24 to 29);
data_chk4_f_xor <= data_chk4 (30);
PARITY_chk4_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_a_xor ); -- [out std_logic]
PARITY_chk4_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_b_xor ); -- [out std_logic]
PARITY_chk4_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_c_xor ); -- [out std_logic]
PARITY_chk4_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_d_xor ); -- [out std_logic]
PARITY_chk4_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_e_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 5 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I5_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk5 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk5_xor); -- [out std_logic]
--
-- data_chk5_i <= data_chk5 (18 to 30) & "00000";
--
-- XOR18_I5_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk5_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk5_i_xor); -- [out std_logic]
--
-- -- CheckOut(5) <= data_chk5_xor xor data_chk5_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk5_a <= data_chk5 (0 to 5);
data_chk5_b <= data_chk5 (6 to 11);
data_chk5_c <= data_chk5 (12 to 17);
data_chk5_d <= data_chk5 (18 to 23);
data_chk5_e <= data_chk5 (24 to 29);
data_chk5_f_xor <= data_chk5 (30);
PARITY_chk5_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_a_xor ); -- [out std_logic]
PARITY_chk5_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_b_xor ); -- [out std_logic]
PARITY_chk5_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_c_xor ); -- [out std_logic]
PARITY_chk5_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_d_xor ); -- [out std_logic]
PARITY_chk5_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_e_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 6 built up from 1 LUT6 + 1 XOR
------------------------------------------------------------------------------------------------
Parity_chk6_I : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk6 (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk6_xor); -- [out std_logic]
-- data_chk6_i <= data_chk6_xor xor data_chk6(6);
-- Push register stage to 1st ECC XOR logic stage (when enabled, C_REG)
data_chk6_a <= data_chk6_xor;
data_chk6_b <= data_chk6(6);
-- CheckOut(6) <= data_chk6_xor xor data_chk6(6);
-- CheckOut(6) <= data_chk6_i;
-- Overall checkbit
-- New checkbit (7) for 64-bit ECC
-- 7 <= 0 1 2 4 5 7 10 11 12 14 17 18 21 23 24 26 27 29
-- 32 33 36 38 39 41 44 46 47 50 51 53 56 57 58 60 63
------------------------------------------------------------------------------------------------
-- Checkbit 6 built up from 2x XOR18
------------------------------------------------------------------------------------------------
-- data_chk7_a <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(4) & DataIn(5) & DataIn(7) & DataIn(10) &
-- DataIn(11) & DataIn(12) & DataIn(14) & DataIn(17) & DataIn(18) & DataIn(21) &
-- DataIn(23) & DataIn(24) & DataIn(26) & DataIn(27) & DataIn(29) ;
--
-- data_chk7_b <= DataIn(32) & DataIn(33) & DataIn(36) & DataIn(38) & DataIn(39) &
-- DataIn(41) & DataIn(44) & DataIn(46) & DataIn(47) & DataIn(50) &
-- DataIn(51) & DataIn(53) & DataIn(56) & DataIn(57) & DataIn(58) &
-- DataIn(60) & DataIn(63) & '0';
--
-- XOR18_I7_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk7_a, -- [in std_logic_vector(0 to 17)]
-- res => data_chk7_a_xor); -- [out std_logic]
--
--
-- XOR18_I7_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk7_b, -- [in std_logic_vector(0 to 17)]
-- res => data_chk7_b_xor); -- [out std_logic]
-- Move register stage to earlier in LUT XOR logic when enabled (for C_ENCODE only)
-- Break up data_chk7_a & data_chk7_b into the following 6-input LUT XOR combinations.
data_chk7_a <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(4) & DataIn(5) & DataIn(7);
data_chk7_b <= DataIn(10) & DataIn(11) & DataIn(12) & DataIn(14) & DataIn(17) & DataIn(18);
data_chk7_c <= DataIn(21) & DataIn(23) & DataIn(24) & DataIn(26) & DataIn(27) & DataIn(29);
data_chk7_d <= DataIn(32) & DataIn(33) & DataIn(36) & DataIn(38) & DataIn(39) & DataIn(41);
data_chk7_e <= DataIn(44) & DataIn(46) & DataIn(47) & DataIn(50) & DataIn(51) & DataIn(53);
data_chk7_f <= DataIn(56) & DataIn(57) & DataIn(58) & DataIn(60) & DataIn(63);
PARITY_CHK7_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_a_xor ); -- [out std_logic]
PARITY_CHK7_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_b_xor ); -- [out std_logic]
PARITY_CHK7_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_c_xor ); -- [out std_logic]
PARITY_CHK7_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_d_xor ); -- [out std_logic]
PARITY_CHK7_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_e_xor ); -- [out std_logic]
PARITY_CHK7_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk7_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_f_xor ); -- [out std_logic]
-- Merge all data bits
-- CheckOut(7) <= data_chk7_xor xor data_chk7_i_xor;
-- data_chk7_i <= data_chk7_a_xor xor data_chk7_b_xor;
-- CheckOut(7) <= data_chk7_i;
end generate Encode_Bits;
--------------------------------------------------------------------------------------------------
-- Decode bits to get syndrome and UE/CE signals
--------------------------------------------------------------------------------------------------
Decode_Bits : if (not C_ENCODE) generate
signal syndrome_i : std_logic_vector(0 to 7) := (others => '0');
-- Unused signal syndrome_int_7 : std_logic;
signal chk0_1 : std_logic_vector(0 to 6);
signal chk1_1 : std_logic_vector(0 to 6);
signal chk2_1 : std_logic_vector(0 to 6);
signal data_chk3_i : std_logic_vector(0 to 31);
signal chk3_1 : std_logic_vector(0 to 3);
signal data_chk4_i : std_logic_vector(0 to 31);
signal chk4_1 : std_logic_vector(0 to 3);
signal data_chk5_i : std_logic_vector(0 to 31);
signal chk5_1 : std_logic_vector(0 to 3);
signal data_chk6_i : std_logic_vector(0 to 7);
signal data_chk7 : std_logic_vector(0 to 71);
signal chk7_1 : std_logic_vector(0 to 11);
-- signal syndrome7_a : std_logic;
-- signal syndrome7_b : std_logic;
signal syndrome_0_to_2 : std_logic_vector(0 to 2);
signal syndrome_3_to_6 : std_logic_vector(3 to 6);
signal syndrome_3_to_6_multi : std_logic;
signal syndrome_3_to_6_zero : std_logic;
signal ue_i_0 : std_logic;
signal ue_i_1 : std_logic;
begin
------------------------------------------------------------------------------------------------
-- Syndrome bit 0 built up from 5 LUT6, 1 LUT5 and 1 7-bit XOR
------------------------------------------------------------------------------------------------
-- chk0_1(3) <= CheckIn(0);
chk0_1(6) <= CheckIn(0); -- 64-bit ECC
Parity_chk0_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(0)); -- [out std_logic]
Parity_chk0_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(1)); -- [out std_logic]
Parity_chk0_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(2)); -- [out std_logic]
-- Checkbit 0
-- 18-bit for 32-bit data
-- 35-bit for 64-bit data
Parity_chk0_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(18 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(3)); -- [out std_logic]
Parity_chk0_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(24 to 29), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(4)); -- [out std_logic]
Parity_chk0_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk0(30 to 34), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(5)); -- [out std_logic]
-- Parity_chk0_7 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
-- port map (
-- InA => chk0_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(0)); -- [out std_logic]
Parity_chk0_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => chk0_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(0)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 1 built up from 5 LUT6, 1 LUT5 and 1 7-bit XOR
------------------------------------------------------------------------------------------------
-- chk1_1(3) <= CheckIn(1);
chk1_1(6) <= CheckIn(1); -- 64-bit ECC
Parity_chk1_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(0)); -- [out std_logic]
Parity_chk1_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(1)); -- [out std_logic]
Parity_chk1_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(2)); -- [out std_logic]
-- Checkbit 1
-- 18-bit for 32-bit data
-- 35-bit for 64-bit data
Parity_chk1_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(18 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(3)); -- [out std_logic]
Parity_chk1_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(24 to 29), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(4)); -- [out std_logic]
Parity_chk1_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk1(30 to 34), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(5)); -- [out std_logic]
-- Parity_chk1_7 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
-- port map (
-- InA => chk1_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(1)); -- [out std_logic]
Parity_chk1_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => chk1_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(1)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 2 built up from 5 LUT6, 1 LUT5 and 1 7-bit XOR
------------------------------------------------------------------------------------------------
-- chk2_1(3) <= CheckIn(2);
chk2_1(6) <= CheckIn(2); -- 64-bit ECC
Parity_chk2_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(0)); -- [out std_logic]
Parity_chk2_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(1)); -- [out std_logic]
Parity_chk2_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(2)); -- [out std_logic]
-- Checkbit 2
-- 18-bit for 32-bit data
-- 35-bit for 64-bit data
Parity_chk2_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(18 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(3)); -- [out std_logic]
Parity_chk2_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(24 to 29), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(4)); -- [out std_logic]
Parity_chk2_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk2(30 to 34), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(5)); -- [out std_logic]
-- Parity_chk2_7 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
-- port map (
-- InA => chk2_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(2)); -- [out std_logic]
Parity_chk2_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => chk2_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(2)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 3 built up from 4 LUT8 and 1 LUT4
------------------------------------------------------------------------------------------------
data_chk3_i <= data_chk3 & CheckIn(3);
Parity_chk3_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(0)); -- [out std_logic]
Parity_chk3_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(1)); -- [out std_logic]
-- 15-bit for 32-bit ECC
-- 31-bit for 64-bit ECC
Parity_chk3_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(16 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(2)); -- [out std_logic]
Parity_chk3_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(24 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(3)); -- [out std_logic]
-- Parity_chk3_5 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
-- port map (
-- InA => chk3_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(3)); -- [out std_logic]
Parity_chk3_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk3_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(3)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 4 built up from 4 LUT8 and 1 LUT4
------------------------------------------------------------------------------------------------
data_chk4_i <= data_chk4 & CheckIn(4);
-- 15-bit for 32-bit ECC
-- 31-bit for 64-bit ECC
Parity_chk4_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(0)); -- [out std_logic]
Parity_chk4_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(1)); -- [out std_logic]
Parity_chk4_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(16 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(2)); -- [out std_logic]
Parity_chk4_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(24 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(3)); -- [out std_logic]
Parity_chk4_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk4_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(4)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 5 built up from 4 LUT8 and 1 LUT4
------------------------------------------------------------------------------------------------
data_chk5_i <= data_chk5 & CheckIn(5);
-- 15-bit for 32-bit ECC
-- 31-bit for 64-bit ECC
Parity_chk5_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(0)); -- [out std_logic]
Parity_chk5_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(1)); -- [out std_logic]
Parity_chk5_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(16 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(2)); -- [out std_logic]
Parity_chk5_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(24 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(3)); -- [out std_logic]
Parity_chk5_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk5_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(5)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 6 built up from 1 LUT8
------------------------------------------------------------------------------------------------
data_chk6_i <= data_chk6 & CheckIn(6);
Parity_chk6_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk6_i, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(6)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 7 built up from 3 LUT7 and 8 LUT6 and 1 LUT3 (12 total) + 2 LUT6 + 1 2-bit XOR
------------------------------------------------------------------------------------------------
-- 32-bit ECC uses DataIn(0:31) and Checkin (0 to 6)
-- 64-bit ECC will use DataIn(0:63) and Checkin (0 to 7)
data_chk7 <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(3) & DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) &
DataIn(8) & DataIn(9) & DataIn(10) & DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) &
DataIn(15) & DataIn(16) & DataIn(17) & DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) &
DataIn(22) & DataIn(23) & DataIn(24) & DataIn(25) & DataIn(26) & DataIn(27) & DataIn(28) &
DataIn(29) & DataIn(30) & DataIn(31) &
DataIn(32) & DataIn(33) & DataIn(34) & DataIn(35) & DataIn(36) & DataIn(37) &
DataIn(38) & DataIn(39) & DataIn(40) & DataIn(41) & DataIn(42) & DataIn(43) &
DataIn(44) & DataIn(45) & DataIn(46) & DataIn(47) & DataIn(48) & DataIn(49) &
DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) & DataIn(55) &
DataIn(56) & DataIn(57) & DataIn(58) & DataIn(59) & DataIn(60) & DataIn(61) &
DataIn(62) & DataIn(63) &
CheckIn(6) & CheckIn(5) & CheckIn(4) & CheckIn(3) & CheckIn(2) &
CheckIn(1) & CheckIn(0) & CheckIn(7);
Parity_chk7_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(0)); -- [out std_logic]
Parity_chk7_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(1)); -- [out std_logic]
Parity_chk7_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(2)); -- [out std_logic]
Parity_chk7_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk7(18 to 24), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(3)); -- [out std_logic]
Parity_chk7_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk7(25 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(4)); -- [out std_logic]
Parity_chk7_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk7(32 to 38), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(5)); -- [out std_logic]
Parity_chk7_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(39 to 44), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(6)); -- [out std_logic]
Parity_chk7_8 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(45 to 50), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(7)); -- [out std_logic]
Parity_chk7_9 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(51 to 56), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(8)); -- [out std_logic]
Parity_chk7_10 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(57 to 62), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(9)); -- [out std_logic]
Parity_chk7_11 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(63 to 68), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(10)); -- [out std_logic]
Parity_chk7_12 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 3)
port map (
InA => data_chk7(69 to 71), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(11)); -- [out std_logic]
-- Unused
-- Parity_chk7_13 : Parity
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
-- port map (
-- InA => chk7_1 (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Res => syndrome7_a); -- [out std_logic]
--
--
-- Parity_chk7_14 : Parity
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
-- port map (
-- InA => chk7_1 (6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Res => syndrome7_b); -- [out std_logic]
-- Unused syndrome_i(7) <= syndrome7_a xor syndrome7_b;
-- Unused syndrome_i (7) <= syndrome7_a;
-- syndrome_i (7) is not used here. Final XOR stage is done outside this module with Syndrome_7 vector output.
-- Clean up this statement.
syndrome_i (7) <= '0';
-- Unused syndrome_int_7 <= syndrome7_a xor syndrome7_b;
-- Unused Syndrome_7_b <= syndrome7_b;
Syndrome <= syndrome_i;
-- Bring out seperate output to do final XOR stage on Syndrome (7) after
-- the pipeline stage.
Syndrome_7 <= chk7_1 (0 to 11);
---------------------------------------------------------------------------
-- With final syndrome registered outside this module for pipeline balancing
-- Use registered syndrome to generate any error flags.
-- Use input signal, Syndrome_Chk which is the registered Syndrome used to
-- correct any single bit errors.
syndrome_0_to_2 <= Syndrome_Chk(0) & Syndrome_Chk(1) & Syndrome_Chk(2);
-- syndrome_3_to_6 <= syndrome_i(3) & syndrome_i(4) & syndrome_i(5) & syndrome_i(6);
syndrome_3_to_6 <= Syndrome_Chk(3) & Syndrome_Chk(4) & Syndrome_Chk(5) & Syndrome_Chk(6);
syndrome_3_to_6_zero <= '1' when syndrome_3_to_6 = "0000" else '0';
-- Syndrome bits (3:6) can indicate a double bit error if
-- Syndrome (6) = '1' AND any bits of Syndrome(3:5) are equal to a '1'.
syndrome_3_to_6_multi <= '1' when (syndrome_3_to_6 = "1111" or -- 15
syndrome_3_to_6 = "1101" or -- 13
syndrome_3_to_6 = "1011" or -- 11
syndrome_3_to_6 = "1001" or -- 9
syndrome_3_to_6 = "0111" or -- 7
syndrome_3_to_6 = "0101" or -- 5
syndrome_3_to_6 = "0011") -- 3
else '0';
-- A single bit error is detectable if
-- Syndrome (7) = '1' and a double bit error is not detectable in Syndrome (3:6)
-- CE <= Enable_ECC and (syndrome_i(7) or CE_Q) when (syndrome_3_to_6_multi = '0')
-- CE <= Enable_ECC and (syndrome_int_7 or CE_Q) when (syndrome_3_to_6_multi = '0')
-- CE <= Enable_ECC and (Syndrome_Chk(7) or CE_Q) when (syndrome_3_to_6_multi = '0')
-- else CE_Q and Enable_ECC;
-- Ensure that CE flag is only asserted for a single clock cycle (and does not keep
-- registered output value)
CE <= (Enable_ECC and Syndrome_Chk(7)) when (syndrome_3_to_6_multi = '0') else '0';
-- Uncorrectable error if Syndrome(7) = '0' and any other bits are = '1'.
-- ue_i_0 <= Enable_ECC when (syndrome_3_to_6_zero = '0') or (syndrome_i(0 to 2) /= "000")
-- else UE_Q and Enable_ECC;
-- ue_i_0 <= Enable_ECC when (syndrome_3_to_6_zero = '0') or (syndrome_0_to_2 /= "000")
-- else UE_Q and Enable_ECC;
--
-- ue_i_1 <= Enable_ECC and (syndrome_3_to_6_multi or UE_Q);
-- Similar edit from CE flag. Ensure that UE flags are only asserted for a single
-- clock cycle. The flags are registered outside this module for detection in
-- register module.
ue_i_0 <= Enable_ECC when (syndrome_3_to_6_zero = '0') or (syndrome_0_to_2 /= "000") else '0';
ue_i_1 <= Enable_ECC and (syndrome_3_to_6_multi);
Use_LUT6: if (C_USE_LUT6) generate
UE_MUXF7 : MUXF7
port map (
I0 => ue_i_0,
I1 => ue_i_1,
-- S => syndrome_i(7),
-- S => syndrome_int_7,
S => Syndrome_Chk(7),
O => UE );
end generate Use_LUT6;
Use_RTL: if (not C_USE_LUT6) generate
-- bit 6 in 32-bit ECC
-- bit 7 in 64-bit ECC
-- UE <= ue_i_1 when syndrome_i(7) = '1' else ue_i_0;
-- UE <= ue_i_1 when syndrome_int_7 = '1' else ue_i_0;
UE <= ue_i_1 when Syndrome_Chk(7) = '1' else ue_i_0;
end generate Use_RTL;
end generate Decode_Bits;
end architecture IMP;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/xip_status_reg.vhd | 1 | 13653 | -------------------------------------------------------------------------------
-- SPI Status Register Module - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2011] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: xip_status_reg.vhd
-- Version: v3.0
-- Description: Serial Peripheral Interface (SPI) Module for interfacing
-- with a 32-bit AXI4 Bus. The file defines the logic for
-- status register in XIP mode.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_spi.
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-------------------------------------------------------------------------------
-- Author: SK
-- ~~~~~~
-- 1. Added the XIP status register for the first time in this release.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.RESET_ACTIVE;
library unisim;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_SPI_NUM_BITS_REG -- Width of SPI registers
-- C_S_AXI_DATA_WIDTH -- Native data bus width 32 bits only
-- C_NUM_SS_BITS -- Number of bits in slave select
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- STATUS REGISTER RELATED SIGNALS
--================================
-- REGISTER/FIFO INTERFACE
-- Bus2IP_SPISR_RdCE -- Status register Read Chip Enable
-- IP2Bus_SPISR_Data -- Status register data to PLB based on PLB read
-- SR_3_modf -- Mode fault error status flag
-- SR_4_Tx_Full -- Transmit register full status flag
-- SR_5_Tx_Empty -- Transmit register empty status flag
-- SR_6_Rx_Full -- Receive register full status flag
-- SR_7_Rx_Empty -- Receive register empty stauts flag
-- ModeFault_Strobe -- Mode fault strobe
-- SLAVE REGISTER RELATED SIGNALS
--===============================
-- Bus2IP_SPISSR_WrCE -- slave select register write chip enable
-- Bus2IP_SPISSR_RdCE -- slave select register read chip enable
-- Bus2IP_SPISSR_Data -- slave register data from PLB Bus
-- IP2Bus_SPISSR_Data -- Data from slave select register during PLB rd
-- SPISSR_Data_reg_op -- Data to SPI Module
-- Wr_ce_reduce_ack_gen -- commaon write ack generation signal
-- Rd_ce_reduce_ack_gen -- commaon read ack generation signal
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity xip_status_reg is
generic
(
C_S_AXI_DATA_WIDTH : integer; -- 32 bits
------------------------
C_XIP_SPISR_REG_WIDTH : integer
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
--------------------------
XIPSR_AXI_TR_ERR : in std_logic; -- bit 4 of XIPSR
XIPSR_CPHA_CPOL_ERR : in std_logic; -- bit 3 of XIPSR
XIPSR_MST_MODF_ERR : in std_logic; -- bit 2 of XIPSR
XIPSR_AXI_RX_FULL : in std_logic; -- bit 1 of XIPSR
XIPSR_AXI_RX_EMPTY : in std_logic; -- bit 0 of XIPSR
--------------------------
Bus2IP_XIPSR_WrCE : in std_logic;
Bus2IP_XIPSR_RdCE : in std_logic;
--------------------------
--IP2Bus_XIPSR_RdAck : out std_logic;
--IP2Bus_XIPSR_WrAck : out std_logic;
IP2Bus_XIPSR_Data : out std_logic_vector((C_XIP_SPISR_REG_WIDTH-1) downto 0);
ip2Bus_RdAck : in std_logic
);
end xip_status_reg;
-------------------------------------------------------------------------------
-- Architecture
---------------
architecture imp of xip_status_reg is
----------------------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- Signal Declarations
----------------------
signal XIPSR_data_int : std_logic_vector(C_XIP_SPISR_REG_WIDTH-1 downto 0);
--signal ip2Bus_RdAck_core_reg : std_logic;
--signal ip2Bus_RdAck_core_reg_d1 : std_logic;
--signal ip2Bus_WrAck_core_reg : std_logic;
--signal ip2Bus_WrAck_core_reg_d1 : std_logic;
----------------------
begin
-----
-- XIPSR - 31 -- -- 5 4 3 2 1 0
-- <-- NA --> AXI CPOL_CPHA MODF Rx Rx
-- Transaction Error Error Error Full Empty
-- Default 0 0 0 0 0
-------------------------------------------------------------------------------
--XIPSR_CMD_ERR <= '0';
---------------------------------------
XIPSR_DATA_STORE_P:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
XIPSR_data_int((C_XIP_SPISR_REG_WIDTH-1) downto 0)<= (others => '0');
elsif(ip2Bus_RdAck = '1') then
XIPSR_data_int((C_XIP_SPISR_REG_WIDTH-1) downto 0)<= (others => '0');
else
XIPSR_data_int((C_XIP_SPISR_REG_WIDTH-1) downto 0)
<= XIPSR_AXI_TR_ERR & -- bit 4
XIPSR_CPHA_CPOL_ERR &
XIPSR_MST_MODF_ERR &
XIPSR_AXI_RX_FULL &
XIPSR_AXI_RX_EMPTY ; -- bit 0
end if;
end if;
end process XIPSR_DATA_STORE_P;
--------------------------------------------------
XIPSR_REG_RD_GENERATE: for i in C_XIP_SPISR_REG_WIDTH-1 downto 0 generate
-----
begin
-----
IP2Bus_XIPSR_Data(i) <= XIPSR_data_int(i) and Bus2IP_XIPSR_RdCE ; --and ip2Bus_RdAck_core_reg;
end generate XIPSR_REG_RD_GENERATE;
-----------------------------------
---------------------------------------------------------------------------------
end imp;
--------------------------------------------------------------------------------
| mit |
fupolarbear/THU-Class-CO-makecomputer | src/CPU/ipcore_dir/blk_mem_gen_v7_3/simulation/blk_mem_gen_v7_3_tb.vhd | 3 | 4370 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: blk_mem_gen_v7_3_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY blk_mem_gen_v7_3_tb IS
END ENTITY;
ARCHITECTURE blk_mem_gen_v7_3_tb_ARCH OF blk_mem_gen_v7_3_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
blk_mem_gen_v7_3_synth_inst:ENTITY work.blk_mem_gen_v7_3_synth
PORT MAP(
CLK_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/ipif_mirror128.vhd | 15 | 17011 | --SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- ipif_mirror128 - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_steer128.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic mirrors data from the master with
-- the smaller bus width to the correct byte lanes of the
-- larger IPIF devices. The BE signals are also mirrored.
--
-- For reads, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_steer128.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 10-10-2008 -- First version
-- ^^^^^^
-- First version of IPIF mirror logic.
-- ~~~~~~
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of IPIF Slave
-- C_SMALLEST : integer := width of smallest Master (not access size)
-- that will access the IPIF Slave
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of Master accessing slave
-- Size indication (Decode_size)
-- 00 - 32-Bit Master
-- 01 - 64-Bit Master
-- 10 - 128-Bit Master
-- 11 - 256-Bit Master (Not Support)
--
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity ipif_mirror128 is
generic (
C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth)
C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master)
C_AWIDTH : integer := 32
);
port (
Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Wr_Size : in std_logic_vector(0 to 1);
Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Rd_Size : in std_logic_vector(0 to 1);
Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
BE_In : in std_logic_vector(0 to C_DWIDTH/8-1);
Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1)
);
end entity ipif_mirror128;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of ipif_mirror128 is
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
GEN_SAME: if C_DWIDTH <= C_SMALLEST generate
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
end generate GEN_SAME;
-------------------------------------------------------------------------------
-- Write Data Mirroring
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '0' =>
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 7) <= (others => '0');
when others => null;
end case;
when '1' =>
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "00" => --0
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "01" => --4
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "10" => --8
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31);
BE_Out(8 to 11) <= BE_In(0 to 3);
BE_Out(0 to 7) <= (others => '0');
BE_Out(12 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when "11" => --C
case Wr_Size is
when "00" => --32-Bit Master
Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31);
BE_Out(12 to 15) <= BE_In(0 to 3);
BE_Out(0 to 11) <= (others => '0');
when "01" => --64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_32;
GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4);
case addr_bits is
when '0' =>
case Wr_Size is
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when '1' => --8
case Wr_Size is
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_64;
-------------------------------------------------------------------------------
-- Read Data Steering
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '1' =>
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "01" => --4
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when "10" => --8
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95);
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when "11" => --C
case Rd_Size is
when "00" => --32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127);
when "01" => --64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_32;
GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4);
case addr_bits is
when '1' => --8
case Rd_Size is
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_64;
end architecture IMP;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/ramfifo/wr_handshaking_flags.vhd | 19 | 12657 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZF7Gp+JQYN4x6Hvjz/p/glt8+Yhfw+y+NSJwSgFAT75FGfBEoCi9gxGC1aPKEYH1nKSH9HDVBmjN
jVYDQh69UA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bCrwACZO6VlyUjDp7F6NflPANkTfGVm4hgH/4AFvgK6LtR4U73r1HOWXfaKa3y3uaefm3opyWNhK
nV2TI2PpMLr9LswzFSOsgRzHCqR+XBS+8LwZ+lBVN3PhbED4ykAJBbHjWQapS4mEVXs8Bors5GDK
A5lW6VBcepABjdMHcOc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sWMXC7ertaTFiCso7MQnbVyuVSvzDQRw1zbA8jCBUoJcGFv+Da5uM/ZInIx2vKnorpctjF+RfQ/I
vLvHJ4hFA7ai3KLDBa+osiqXeR3vvyAO0dNGGmO7GQ1dYRUzzSKKrGTJhKWqDfnAsYaLroy6U3UI
uNSRIQtxv1ciGPzcMfrykPy27NH2CEGiCobfxP5HXDyrOVBqWAZuLaPzQRv0D8Ie2O70SiCDKawR
vbedGBup6qqgOpbOuoCX/zcbW+qJ2FxQY5Zrju+0WyLSf0XnZd4src68n6rXZlziL4eo4Q6lUGQv
gUEyqpp9Wiyw0QLmYTxtAKnwwMsfY/jCo5ZFSQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
d4cZTzaonF13oHTIDZgb2oXxuKQXQmTrHOYXqYqbAU6BYAx+7y9fxq+NNlLqPYeukSU316ZJ2R63
uH6wrMfXFW1V94ov6Pl2EeLSPre3P4xtwdLCKbJrudZD4i07Cl6ICwNSN//h6MJD/kwUIU4k7zeP
ni9WJs+GmLVsVx0bOck=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
W5Ic6b6KWpsR2htHXte3+6CjlmHZcuEa6WOajuu7k286E/JIlKxSU0tNrXH7rL8k7QTBc55tiAC2
sT6Jtn2FOqn9b4N96SwTUIbdNrh5Ew/7EjwCsd26VOwpEgD86kAwm7rEEtRCtStJR4p0yrbCQjf+
9+YuvQ3Ab1Y5fgtY5ijqZPgs+knlZZFAxm+NI7o8f97lEMTpHDonVgfj/KtK8xhV46JSrDB2FPhp
PMezRFDPcrnrGio0JnUe1oPbSneaSJZPAFIoGiaaxfjjDJIOa0DMtbVjecaL42P3+sAmOk0R5Mfk
8MlmwedAmXWwr0D9NdqrNJ68Zt9aVa7CXXiS/Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/cross_clk_sync_fifo_0.vhd | 1 | 85497 | -------------------------------------------------------------------------------
-- $Id: cross_clk_sync_fifo_0.vhd
-------------------------------------------------------------------------------
-- cross_clk_sync_fifo_0.vhd - Entity and architecture
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: cross_clk_sync_fifo_0.vhd
-- Version: v3.1
-- Description: This is the CDC logic when FIFO = 0.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- comp_defs.vhd -- (helper lib)
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
-- History:
-- ~~~~~~
-- SK 19/01/11 -- created v1.00.a version
-- ^^^^^^
-- 1. Created first version of the core.
-- ~~~~~~
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_misc.all;
-- library unsigned is used for overloading of "=" which allows integer to
-- be compared to std_logic_vector
use ieee.std_logic_unsigned.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.ipif_pkg.all;
use proc_common_v4_0.family.all;
use proc_common_v4_0.all;
use proc_common_v4_0.cdc_sync;
library axi_quad_spi_v3_1;
use axi_quad_spi_v3_1.all;
library unisim;
use unisim.vcomponents.FDRE;
use unisim.vcomponents.FDR;
-------------------------------------------------------------------------------
entity cross_clk_sync_fifo_0 is
generic (
C_NUM_TRANSFER_BITS : integer;
C_NUM_SS_BITS : integer--;
--C_AXI_SPI_CLK_EQ_DIFF : integer
);
port (
EXT_SPI_CLK : in std_logic;
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
Rst_from_axi_cdc_to_spi : in std_logic;
----------------------------
Tx_FIFO_Empty_cdc_from_axi : in std_logic;
Tx_FIFO_Empty_cdc_to_spi : out std_logic;
----------------------------------------------------------
Tx_FIFO_Empty_SPISR_cdc_from_spi : in std_logic;
Tx_FIFO_Empty_SPISR_cdc_to_axi : out std_logic;
----------------------------------------------------------
spisel_d1_reg_cdc_from_spi : in std_logic; -- = spisel_pulse_cdc_from_spi_clk , -- in
spisel_d1_reg_cdc_to_axi : out std_logic; -- = spisel_pulse_cdc_to_axi_clk , -- out
--------------------------:-------------------------------
spisel_pulse_cdc_from_spi : in std_logic; -- = spisel_pulse_cdc_from_spi_clk , -- in
spisel_pulse_cdc_to_axi : out std_logic; -- = spisel_pulse_cdc_to_axi_clk , -- out
--------------------------:-------------------------------
spiXfer_done_cdc_from_spi : in std_logic; -- = spiXfer_done_cdc_from_spi_clk, -- in
spiXfer_done_cdc_to_axi : out std_logic; -- = spiXfer_done_cdc_to_axi_clk , -- out
--------------------------:-------------------------------
modf_strobe_cdc_from_spi : in std_logic; -- = modf_strobe_cdc_from_spi_clk, -- in
modf_strobe_cdc_to_axi : out std_logic; -- = modf_strobe_cdc_to_axi_clk , -- out
--------------------------:-------------------------------
Slave_MODF_strobe_cdc_from_spi : in std_logic; -- = slave_MODF_strobe_cdc_from_spi_clk,-- in
Slave_MODF_strobe_cdc_to_axi : out std_logic; -- = slave_MODF_strobe_cdc_to_axi_clk ,-- out
--------------------------:-------------------------------
receive_Data_cdc_from_spi : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); -- = receive_Data_cdc_from_spi_clk, -- in
receive_Data_cdc_to_axi : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); -- = receive_data_cdc_to_axi_clk, -- out
--------------------------:-------------------------------
drr_Overrun_int_cdc_from_spi : in std_logic;
drr_Overrun_int_cdc_to_axi : out std_logic;
--------------------------:-------------------------------
dtr_underrun_cdc_from_spi : in std_logic; -- = dtr_underrun_cdc_from_spi_clk, -- in
dtr_underrun_cdc_to_axi : out std_logic; -- = dtr_underrun_cdc_to_axi_clk, -- out
--------------------------:-------------------------------
transmit_Data_cdc_from_axi : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); -- = transmit_Data_cdc_from_axi_clk, -- in
transmit_Data_cdc_to_spi : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); -- = transmit_Data_cdc_to_spi_clk -- out
----------------------------
SPICR_0_LOOP_cdc_from_axi : in std_logic;
SPICR_0_LOOP_cdc_to_spi : out std_logic;
----------------------------
SPICR_1_SPE_cdc_from_axi : in std_logic;
SPICR_1_SPE_cdc_to_spi : out std_logic;
----------------------------
SPICR_2_MST_N_SLV_cdc_from_axi : in std_logic;
SPICR_2_MST_N_SLV_cdc_to_spi : out std_logic;
----------------------------
SPICR_3_CPOL_cdc_from_axi : in std_logic;
SPICR_3_CPOL_cdc_to_spi : out std_logic;
----------------------------
SPICR_4_CPHA_cdc_from_axi : in std_logic;
SPICR_4_CPHA_cdc_to_spi : out std_logic;
----------------------------
SPICR_5_TXFIFO_cdc_from_axi : in std_logic;
SPICR_5_TXFIFO_cdc_to_spi : out std_logic;
----------------------------
SPICR_6_RXFIFO_RST_cdc_from_axi: in std_logic;
SPICR_6_RXFIFO_RST_cdc_to_spi : out std_logic;
----------------------------
SPICR_7_SS_cdc_from_axi : in std_logic;
SPICR_7_SS_cdc_to_spi : out std_logic;
----------------------------
SPICR_8_TR_INHIBIT_cdc_from_axi: in std_logic;
SPICR_8_TR_INHIBIT_cdc_to_spi : out std_logic;
----------------------------
SPICR_9_LSB_cdc_from_axi : in std_logic;
SPICR_9_LSB_cdc_to_spi : out std_logic;
----------------------------
SPICR_bits_7_8_cdc_from_axi : in std_logic_vector(1 downto 0); -- in std_logic_vector
SPICR_bits_7_8_cdc_to_spi : out std_logic_vector(1 downto 0);
----------------------------
SR_3_modf_cdc_from_axi : in std_logic;
SR_3_modf_cdc_to_spi : out std_logic;
----------------------------
SPISSR_cdc_from_axi : in std_logic_vector(0 to (C_NUM_SS_BITS-1));
SPISSR_cdc_to_spi : out std_logic_vector(0 to (C_NUM_SS_BITS-1))
----------------------------
);
end entity cross_clk_sync_fifo_0;
architecture imp of cross_clk_sync_fifo_0 is
--------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- signal declaration
signal spisel_d1_reg_cdc_from_spi_d1 : std_logic;
signal spisel_d1_reg_cdc_from_spi_d2 : std_logic;
signal spiXfer_done_cdc_from_spi_d1 : std_logic;
signal spiXfer_done_cdc_from_spi_d2 : std_logic;
signal modf_strobe_cdc_from_spi_d1 : std_logic;
signal modf_strobe_cdc_from_spi_d2 : std_logic;
signal modf_strobe_cdc_from_spi_d3 : std_logic;
signal Slave_MODF_strobe_cdc_from_spi_d1 : std_logic;
signal Slave_MODF_strobe_cdc_from_spi_d2 : std_logic;
signal Slave_MODF_strobe_cdc_from_spi_d3 : std_logic;
signal receive_Data_cdc_from_spi_d1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
signal receive_Data_cdc_from_spi_d2 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
signal dtr_underrun_cdc_from_spi_d1 : std_logic;
signal dtr_underrun_cdc_from_spi_d2 : std_logic;
signal transmit_Data_cdc_from_axi_d1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
signal transmit_Data_cdc_from_axi_d2 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
signal spisel_pulse_cdc_from_spi_d1 : std_logic;
signal spisel_pulse_cdc_from_spi_d2 : std_logic;
signal spisel_pulse_cdc_from_spi_d3 : std_logic;
signal SPICR_0_LOOP_cdc_from_axi_d1 : std_logic;
signal SPICR_0_LOOP_cdc_from_axi_d2 : std_logic;
signal SPICR_1_SPE_cdc_from_axi_d1 : std_logic;
signal SPICR_1_SPE_cdc_from_axi_d2 : std_logic;
signal SPICR_2_MST_N_SLV_cdc_from_axi_d1 : std_logic;
signal SPICR_2_MST_N_SLV_cdc_from_axi_d2 : std_logic;
signal SPICR_3_CPOL_cdc_from_axi_d1 : std_logic;
signal SPICR_3_CPOL_cdc_from_axi_d2 : std_logic;
signal SPICR_4_CPHA_cdc_from_axi_d1 : std_logic;
signal SPICR_4_CPHA_cdc_from_axi_d2 : std_logic;
signal SPICR_5_TXFIFO_cdc_from_axi_d1 : std_logic;
signal SPICR_5_TXFIFO_cdc_from_axi_d2 : std_logic;
signal SPICR_7_SS_cdc_from_axi_d1 : std_logic;
signal SPICR_7_SS_cdc_from_axi_d2 : std_logic;
signal SPICR_8_TR_INHIBIT_cdc_from_axi_d1 : std_logic;
signal SPICR_8_TR_INHIBIT_cdc_from_axi_d2 : std_logic;
signal SPICR_9_LSB_cdc_from_axi_d1 : std_logic;
signal SPICR_9_LSB_cdc_from_axi_d2 : std_logic;
signal SPICR_bits_7_8_cdc_from_axi_d1 : std_logic_vector(1 downto 0);
signal SPICR_bits_7_8_cdc_from_axi_d2 : std_logic_vector(1 downto 0);
signal SPICR_6_RXFIFO_RST_cdc_from_axi_d1 : std_logic;
signal SPICR_6_RXFIFO_RST_cdc_from_axi_d2 : std_logic;
signal Tx_FIFO_Empty_cdc_from_axi_d1 : std_logic;
signal Tx_FIFO_Empty_cdc_from_axi_d2 : std_logic;
signal Tx_FIFO_Empty_SPISR_cdc_from_spi_d1 : std_logic;
signal Tx_FIFO_Empty_SPISR_cdc_from_spi_d2 : std_logic;
signal drr_Overrun_int_cdc_from_spi_d1 : std_logic;
signal drr_Overrun_int_cdc_from_spi_d2 : std_logic;
signal drr_Overrun_int_cdc_from_spi_d3 : std_logic;
signal drr_Overrun_int_cdc_from_spi_d4 : std_logic;
signal SR_3_modf_cdc_from_axi_d1 : std_logic;
signal SR_3_modf_cdc_from_axi_d2 : std_logic;
signal SPISSR_cdc_from_axi_d1 : std_logic_vector(0 to (C_NUM_SS_BITS-1));
signal SPISSR_cdc_from_axi_d2 : std_logic_vector(0 to (C_NUM_SS_BITS-1));
signal spiXfer_done_cdc_from_spi_int_2 : std_logic;
signal spiXfer_done_d1 : std_logic;
signal spiXfer_done_d2, spiXfer_done_d3 : std_logic;
signal spisel_pulse_cdc_from_spi_int_2 : std_logic;
signal Tx_FIFO_Empty_cdc_from_axi_int_2 : std_logic;
signal Tx_FIFO_Empty_cdc_from_axi_d3 : std_logic;
signal drr_Overrun_int_cdc_from_spi_int_2 : std_logic;
signal Slave_MODF_strobe_cdc_from_spi_int_2 : std_logic;
signal modf_strobe_cdc_from_spi_int_2 : std_logic;
-- signal declaration
-- signal spisel_d1_reg_cdc_from_spi_d1 : std_logic;
-- signal spisel_d1_reg_cdc_from_spi_d2 : std_logic;
-- signal spiXfer_done_cdc_from_spi_d1 : std_logic;
-- signal spiXfer_done_cdc_from_spi_d2 : std_logic;
-- signal modf_strobe_cdc_from_spi_d1 : std_logic;
-- signal modf_strobe_cdc_from_spi_d2 : std_logic;
-- signal modf_strobe_cdc_from_spi_d3 : std_logic;
-- signal Slave_MODF_strobe_cdc_from_spi_d1 : std_logic;
-- signal Slave_MODF_strobe_cdc_from_spi_d2 : std_logic;
-- signal Slave_MODF_strobe_cdc_from_spi_d3 : std_logic;
-- signal receive_Data_cdc_from_spi_d1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-- signal receive_Data_cdc_from_spi_d2 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-- signal dtr_underrun_cdc_from_spi_d1 : std_logic;
-- signal dtr_underrun_cdc_from_spi_d2 : std_logic;
-- signal transmit_Data_cdc_from_axi_d1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-- signal transmit_Data_cdc_from_axi_d2 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-- signal spisel_pulse_cdc_from_spi_d1 : std_logic;
-- signal spisel_pulse_cdc_from_spi_d2 : std_logic;
-- signal spisel_pulse_cdc_from_spi_d3 : std_logic;
-- signal SPICR_0_LOOP_cdc_from_axi_d1 : std_logic;
-- signal SPICR_0_LOOP_cdc_from_axi_d2 : std_logic;
-- signal SPICR_1_SPE_cdc_from_axi_d1 : std_logic;
-- signal SPICR_1_SPE_cdc_from_axi_d2 : std_logic;
-- signal SPICR_2_MST_N_SLV_cdc_from_axi_d1 : std_logic;
-- signal SPICR_2_MST_N_SLV_cdc_from_axi_d2 : std_logic;
-- signal SPICR_3_CPOL_cdc_from_axi_d1 : std_logic;
-- signal SPICR_3_CPOL_cdc_from_axi_d2 : std_logic;
-- signal SPICR_4_CPHA_cdc_from_axi_d1 : std_logic;
-- signal SPICR_4_CPHA_cdc_from_axi_d2 : std_logic;
-- signal SPICR_5_TXFIFO_cdc_from_axi_d1 : std_logic;
-- signal SPICR_5_TXFIFO_cdc_from_axi_d2 : std_logic;
-- signal SPICR_7_SS_cdc_from_axi_d1 : std_logic;
-- signal SPICR_7_SS_cdc_from_axi_d2 : std_logic;
-- signal SPICR_8_TR_INHIBIT_cdc_from_axi_d1 : std_logic;
-- signal SPICR_8_TR_INHIBIT_cdc_from_axi_d2 : std_logic;
-- signal SPICR_9_LSB_cdc_from_axi_d1 : std_logic;
-- signal SPICR_9_LSB_cdc_from_axi_d2 : std_logic;
-- signal SPICR_bits_7_8_cdc_from_axi_d1 : std_logic_vector(1 downto 0);
-- signal SPICR_bits_7_8_cdc_from_axi_d2 : std_logic_vector(1 downto 0);
-- signal SPICR_6_RXFIFO_RST_cdc_from_axi_d1 : std_logic;
-- signal SPICR_6_RXFIFO_RST_cdc_from_axi_d2 : std_logic;
-- signal Tx_FIFO_Empty_cdc_from_axi_d1 : std_logic;
-- signal Tx_FIFO_Empty_cdc_from_axi_d2 : std_logic;
-- signal Tx_FIFO_Empty_SPISR_cdc_from_spi_d1 : std_logic;
-- signal Tx_FIFO_Empty_SPISR_cdc_from_spi_d2 : std_logic;
-- signal Tx_FIFO_Empty_SPISR_cdc_from_spi_d3 : std_logic;
-- signal Tx_FIFO_Empty_SPISR_cdc_from_spi_d4 : std_logic;
-- signal drr_Overrun_int_cdc_from_spi_d1 : std_logic;
-- signal drr_Overrun_int_cdc_from_spi_d2 : std_logic;
-- signal drr_Overrun_int_cdc_from_spi_d3 : std_logic;
-- signal SR_3_modf_cdc_from_axi_d1 : std_logic;
-- signal SR_3_modf_cdc_from_axi_d2 : std_logic;
-- signal SPISSR_cdc_from_axi_d1 : std_logic_vector(0 to (C_NUM_SS_BITS-1));
-- signal SPISSR_cdc_from_axi_d2 : std_logic_vector(0 to (C_NUM_SS_BITS-1));
-- signal spiXfer_done_cdc_from_spi_int_2 : std_logic;
-- signal spiXfer_done_d1 : std_logic;
-- signal spiXfer_done_d2, spiXfer_done_d3 : std_logic;
-- signal spisel_pulse_cdc_from_spi_int_2 : std_logic;
-- signal Tx_FIFO_Empty_cdc_from_axi_int_2 : std_logic;
-- signal Tx_FIFO_Empty_cdc_from_axi_d3 : std_logic;
-- signal drr_Overrun_int_cdc_from_spi_int_2 : std_logic;
-- signal Slave_MODF_strobe_cdc_from_spi_int_2 : std_logic;
-- signal modf_strobe_cdc_from_spi_int_2 : std_logic;
-- attribute ASYNC_REG : string;
-- attribute ASYNC_REG of SPISEL_D1_REG_SYNC_SPI_2_AXI_1 : label is "TRUE";
-- attribute ASYNC_REG of SYNC_SPIXFER_DONE_SYNC_SPI_2_AXI_1 : label is "TRUE";
-- attribute ASYNC_REG of TX_FIFO_EMPTY_SYNC_AXI_2_SPI_1 : label is "TRUE";
-- attribute ASYNC_REG of SLAVE_MODF_STROBE_SYNC_SPI_cdc_to_AXI_1: label is "TRUE";
-- attribute ASYNC_REG of MODF_STROBE_SYNC_SPI_cdc_to_AXI_1 : label is "TRUE";
-- attribute ASYNC_REG of DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_9_LSB_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_8_TR_INHIBIT_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_7_SS_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_6_RXFIFO_RST_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_5_TXFIFO_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_4_CPHA_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_3_CPOL_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_2_MST_N_SLV_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_1_SPE_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SPICR_0_LOOP_AX2S_1 : label is "TRUE";
-- attribute ASYNC_REG of SR_3_MODF_AX2S_1 : label is "TRUE";
constant LOGIC_CHANGE : integer range 0 to 1 := 1;
constant MTBF_STAGES_AXI2S : integer range 0 to 6 := 3 ;
constant MTBF_STAGES_S2AXI : integer range 0 to 6 := 4 ;
-----
begin
-----
-- SPI_AXI_EQUAL_GEN: AXI and SPI domain clocks are same
---------------------
--SPI_AXI_EQUAL_GEN: if C_AXI_SPI_CLK_EQ_DIFF = 0 generate
-----
--begin
-----
LOGIC_GENERATION_FDR : if (LOGIC_CHANGE =0) generate
TX_FIFO_EMPTY_FOR_SPISR_SYNC_SPI_2_AXI: process(Bus2IP_Clk) is
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = '1')then
Tx_FIFO_Empty_SPISR_cdc_from_spi_d1 <= '1';
Tx_FIFO_Empty_SPISR_cdc_from_spi_d2 <= '1';
else
Tx_FIFO_Empty_SPISR_cdc_from_spi_d1 <= Tx_FIFO_Empty_SPISR_cdc_from_spi;
Tx_FIFO_Empty_SPISR_cdc_from_spi_d2 <= Tx_FIFO_Empty_SPISR_cdc_from_spi_d1;
end if;
end if;
end process TX_FIFO_EMPTY_FOR_SPISR_SYNC_SPI_2_AXI;
-----------------------------------------
Tx_FIFO_Empty_SPISR_cdc_to_axi <= Tx_FIFO_Empty_SPISR_cdc_from_spi_d2;
-------------------------------------------------
TX_FIFO_EMPTY_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
Tx_FIFO_Empty_cdc_from_axi_int_2 <= '1';
else
Tx_FIFO_Empty_cdc_from_axi_int_2 <= Tx_FIFO_Empty_cdc_from_axi xor
Tx_FIFO_Empty_cdc_from_axi_int_2;
end if;
end if;
end process TX_FIFO_EMPTY_STRETCH_1;
TX_FIFO_EMPTY_SYNC_AXI_2_SPI_1: component FDR
generic map(INIT => '1'
)port map (
Q => Tx_FIFO_Empty_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => Tx_FIFO_Empty_cdc_from_axi_int_2,
R => Rst_from_axi_cdc_to_spi
);
TX_FIFO_EMPTY_SYNC_AXI_2_SPI_2: component FDR
generic map(INIT => '1'
)port map (
Q => Tx_FIFO_Empty_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => Tx_FIFO_Empty_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
-- Tx_FIFO_Empty_cdc_to_spi <= Tx_FIFO_Empty_cdc_from_axi_d2 xor Tx_FIFO_Empty_cdc_from_axi_d1;
TX_FIFO_EMPTY_SYNC_AXI_2_SPI_3: component FDR
generic map(INIT => '1'
)port map (
Q => Tx_FIFO_Empty_cdc_from_axi_d3,
C => EXT_SPI_CLK,
D => Tx_FIFO_Empty_cdc_from_axi_d2,
R => Rst_from_axi_cdc_to_spi
);
Tx_FIFO_Empty_cdc_to_spi <= Tx_FIFO_Empty_cdc_from_axi_d2 xor Tx_FIFO_Empty_cdc_from_axi_d3;
-------------------------------------------------
SPISEL_D1_REG_SYNC_SPI_2_AXI_1: component FDR
port map (
Q => spisel_d1_reg_cdc_from_spi_d1,
C => Bus2IP_Clk,
D => spisel_d1_reg_cdc_from_spi,
R => Soft_Reset_op
);
SPISEL_D1_REG_SYNC_SPI_2_AXI_2: component FDR
port map (
Q => spisel_d1_reg_cdc_from_spi_d2,
C => Bus2IP_Clk,
D => spisel_d1_reg_cdc_from_spi_d1,
R => Soft_Reset_op
);
spisel_d1_reg_cdc_to_axi <= spisel_d1_reg_cdc_from_spi_d2;
SPISEL_PULSE_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
spisel_pulse_cdc_from_spi_int_2 <= '0';
else
spisel_pulse_cdc_from_spi_int_2 <= spisel_pulse_cdc_from_spi xor
spisel_pulse_cdc_from_spi_int_2;
end if;
end if;
end process SPISEL_PULSE_STRETCH_1;
SPISEL_PULSE_SPI_2_AXI_1: component FDR
port map (
Q => spisel_pulse_cdc_from_spi_d1,
C => Bus2IP_Clk,
D => spisel_pulse_cdc_from_spi_int_2,
R => Soft_Reset_op
);
SPISEL_PULSE_SPI_2_AXI_2: component FDR
port map (
Q => spisel_pulse_cdc_from_spi_d2,
C => Bus2IP_Clk,
D => spisel_pulse_cdc_from_spi_d1,
R => Soft_Reset_op
);
SPISEL_PULSE_SPI_2_AXI_3: component FDR
port map (
Q => spisel_pulse_cdc_from_spi_d3,
C => Bus2IP_Clk,
D => spisel_pulse_cdc_from_spi_d2,
R => Soft_Reset_op
);
spisel_pulse_cdc_to_axi <= spisel_pulse_cdc_from_spi_d2 xor spisel_pulse_cdc_from_spi_d3;
---------------------------------------------
SPI_XFER_DONE_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
spiXfer_done_cdc_from_spi_int_2 <= '0';
else
spiXfer_done_cdc_from_spi_int_2 <= spiXfer_done_cdc_from_spi xor
spiXfer_done_cdc_from_spi_int_2;
end if;
end if;
end process SPI_XFER_DONE_STRETCH_1;
SYNC_SPIXFER_DONE_SYNC_SPI_2_AXI_1: component FDR
generic map(INIT => '0'
)port map (
Q => spiXfer_done_d1,
C => Bus2IP_Clk,
D => spiXfer_done_cdc_from_spi_int_2,
R => Soft_Reset_op
);
SYNC_SPIXFER_DONE_SYNC_SPI_2_AXI_2: component FDR
generic map(INIT => '0'
)port map (
Q => spiXfer_done_d2,
C => Bus2IP_Clk,
D => spiXfer_done_d1,
R => Soft_Reset_op
);
SYNC_SPIXFER_DONE_SYNC_SPI_2_AXI_3: component FDR
generic map(INIT => '0'
)port map (
Q => spiXfer_done_d3,
C => Bus2IP_Clk,
D => spiXfer_done_d2,
R => Soft_Reset_op
);
spiXfer_done_cdc_to_axi <= spiXfer_done_d2 xor spiXfer_done_d3; --spiXfer_done_cdc_from_spi_d2;
-----------------------------------------------
MODF_STROBE_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
modf_strobe_cdc_from_spi_int_2 <= '0';
else
modf_strobe_cdc_from_spi_int_2 <= modf_strobe_cdc_from_spi xor
modf_strobe_cdc_from_spi_int_2;
end if;
end if;
end process MODF_STROBE_STRETCH_1;
MODF_STROBE_SYNC_SPI_cdc_to_AXI_1: component FDR
generic map(INIT => '0'
)port map (
Q => modf_strobe_cdc_from_spi_d1,
C => Bus2IP_Clk,
D => modf_strobe_cdc_from_spi_int_2,
R => Soft_Reset_op
);
MODF_STROBE_SYNC_SPI_cdc_to_AXI_2: component FDR
generic map(INIT => '0'
)port map (
Q => modf_strobe_cdc_from_spi_d2,
C => Bus2IP_Clk,
D => modf_strobe_cdc_from_spi_d1,
R => Soft_Reset_op
);
MODF_STROBE_SYNC_SPI_cdc_to_AXI_3: component FDR
generic map(INIT => '0'
)port map (
Q => modf_strobe_cdc_from_spi_d3,
C => Bus2IP_Clk,
D => modf_strobe_cdc_from_spi_d2,
R => Soft_Reset_op
);
modf_strobe_cdc_to_axi <= modf_strobe_cdc_from_spi_d2 xor modf_strobe_cdc_from_spi_d3; --spiXfer_done_cdc_from_spi_d2;
---------------------------------------------------------
SLAVE_MODF_STROBE_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
Slave_MODF_strobe_cdc_from_spi_int_2 <= '0';
else
Slave_MODF_strobe_cdc_from_spi_int_2 <= Slave_MODF_strobe_cdc_from_spi xor
Slave_MODF_strobe_cdc_from_spi_int_2;
end if;
end if;
end process SLAVE_MODF_STROBE_STRETCH_1;
SLAVE_MODF_STROBE_SYNC_SPI_cdc_to_AXI_1: component FDR
generic map(INIT => '0'
)port map (
Q => Slave_MODF_strobe_cdc_from_spi_d1,
C => Bus2IP_Clk,
D => Slave_MODF_strobe_cdc_from_spi_int_2,
R => Soft_Reset_op
);
SLAVE_MODF_STROBE_SYNC_SPI_cdc_to_AXI_2: component FDR
generic map(INIT => '0'
)port map (
Q => Slave_MODF_strobe_cdc_from_spi_d2,
C => Bus2IP_Clk,
D => Slave_MODF_strobe_cdc_from_spi_d1,
R => Soft_Reset_op
);
SLAVE_MODF_STROBE_SYNC_SPI_cdc_to_AXI_3: component FDR
generic map(INIT => '0'
)port map (
Q => Slave_MODF_strobe_cdc_from_spi_d3,
C => Bus2IP_Clk,
D => Slave_MODF_strobe_cdc_from_spi_d2,
R => Soft_Reset_op
);
Slave_MODF_strobe_cdc_to_axi <= Slave_MODF_strobe_cdc_from_spi_d2 xor
Slave_MODF_strobe_cdc_from_spi_d3; --spiXfer_done_cdc_from_spi_d2;
-----------------------------------------------
---------------------------------------------------------
RECEIVE_DATA_SYNC_SPI_cdc_to_AXI_P: process(Bus2IP_Clk) is
-------------------------
begin
-----
if(Bus2IP_Clk'event and Bus2IP_Clk = '1')then
receive_Data_cdc_from_spi_d1 <= receive_Data_cdc_from_spi;
receive_Data_cdc_from_spi_d2 <= receive_Data_cdc_from_spi_d1;
end if;
end process RECEIVE_DATA_SYNC_SPI_cdc_to_AXI_P;
-------------------------------------------
receive_Data_cdc_to_axi <= receive_Data_cdc_from_spi_d2;
-----------------------------------------------
DRR_OVERRUN_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
drr_Overrun_int_cdc_from_spi_int_2 <= '0';
else
drr_Overrun_int_cdc_from_spi_int_2 <= drr_Overrun_int_cdc_from_spi xor
drr_Overrun_int_cdc_from_spi_int_2;
end if;
end if;
end process DRR_OVERRUN_STRETCH_1;
DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_1: component FDR
generic map(INIT => '0'
)port map (
Q => drr_Overrun_int_cdc_from_spi_d1,
C => Bus2IP_Clk,
D => drr_Overrun_int_cdc_from_spi_int_2,
R => Soft_Reset_op
);
DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_2: component FDR
generic map(INIT => '0'
)port map (
Q => drr_Overrun_int_cdc_from_spi_d2,
C => Bus2IP_Clk,
D => drr_Overrun_int_cdc_from_spi_d1,
R => Soft_Reset_op
);
DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_3: component FDR
generic map(INIT => '0'
)port map (
Q => drr_Overrun_int_cdc_from_spi_d3,
C => Bus2IP_Clk,
D => drr_Overrun_int_cdc_from_spi_d2,
R => Soft_Reset_op
);
drr_Overrun_int_cdc_to_axi <= drr_Overrun_int_cdc_from_spi_d2 xor drr_Overrun_int_cdc_from_spi_d3; --spiXfer_done_cdc_from_spi_d2;
-----------------------------------------------
DTR_UNDERRUN_SYNC_SPI_2_AXI_1: component FDR
generic map(INIT => '0'
)port map (
Q => dtr_underrun_cdc_from_spi_d1,
C => Bus2IP_Clk,
D => dtr_underrun_cdc_from_spi,
R => Soft_Reset_op
);
DTR_UNDERRUN_SYNC_SPI_2_AXI_2: component FDR
generic map(INIT => '0'
)port map (
Q => dtr_underrun_cdc_from_spi_d2,
C => Bus2IP_Clk,
D => dtr_underrun_cdc_from_spi_d1,
R => Soft_Reset_op
);
dtr_underrun_cdc_to_axi <= dtr_underrun_cdc_from_spi_d2;
-----------------------------------------------
TR_DATA_SYNC_AX2SP_GEN: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
attribute ASYNC_REG : string;
attribute ASYNC_REG of TR_DATA_SYNC_AX2SP_1: label is "TRUE";
-----
begin
-----
TR_DATA_SYNC_AX2SP_1: component FDR
generic map(INIT => '0'
)port map (
Q => transmit_Data_cdc_from_axi_d1(i),
C => EXT_SPI_CLK,
D => transmit_Data_cdc_from_axi(i),
R => Rst_from_axi_cdc_to_spi
);
TR_DATA_SYNC_AX2SP_2: component FDR
generic map(INIT => '0'
)port map (
Q => transmit_Data_cdc_from_axi_d2(i),
C => EXT_SPI_CLK,
D => transmit_Data_cdc_from_axi_d1(i),
R => Rst_from_axi_cdc_to_spi
);
end generate TR_DATA_SYNC_AX2SP_GEN;
transmit_Data_cdc_to_spi <= transmit_Data_cdc_from_axi_d2;
-----------------------------------------------
SPICR_0_LOOP_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_0_LOOP_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_0_LOOP_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_0_LOOP_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_0_LOOP_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_0_LOOP_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_0_LOOP_cdc_to_spi <= SPICR_0_LOOP_cdc_from_axi_d2;
-----------------------------------------------
SPICR_1_SPE_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_1_SPE_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_1_SPE_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_1_SPE_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_1_SPE_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_1_SPE_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_1_SPE_cdc_to_spi <= SPICR_1_SPE_cdc_from_axi_d2;
---------------------------------------------
SPICR_2_MST_N_SLV_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_2_MST_N_SLV_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_2_MST_N_SLV_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_2_MST_N_SLV_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_2_MST_N_SLV_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_2_MST_N_SLV_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_2_MST_N_SLV_cdc_to_spi <= SPICR_2_MST_N_SLV_cdc_from_axi_d2;
---------------------------------------------------------
SPICR_3_CPOL_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_3_CPOL_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_3_CPOL_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_3_CPOL_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_3_CPOL_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_3_CPOL_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_3_CPOL_cdc_to_spi <= SPICR_3_CPOL_cdc_from_axi_d2;
-----------------------------------------------
SPICR_4_CPHA_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_4_CPHA_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_4_CPHA_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_4_CPHA_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_4_CPHA_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_4_CPHA_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_4_CPHA_cdc_to_spi <= SPICR_4_CPHA_cdc_from_axi_d2;
-----------------------------------------------
SPICR_5_TXFIFO_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_5_TXFIFO_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_5_TXFIFO_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_5_TXFIFO_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_5_TXFIFO_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_5_TXFIFO_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_5_TXFIFO_cdc_to_spi <= SPICR_5_TXFIFO_cdc_from_axi_d2;
---------------------------------------------------
SPICR_6_RXFIFO_RST_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_6_RXFIFO_RST_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_6_RXFIFO_RST_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_6_RXFIFO_RST_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_6_RXFIFO_RST_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_6_RXFIFO_RST_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_6_RXFIFO_RST_cdc_to_spi <= SPICR_6_RXFIFO_RST_cdc_from_axi_d2;
-----------------------------------------------------------
SPICR_7_SS_AX2S_1: component FDR
generic map(INIT => '1'
)port map (
Q => SPICR_7_SS_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_7_SS_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_7_SS_AX2S_2: component FDR
generic map(INIT => '1'
)port map (
Q => SPICR_7_SS_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_7_SS_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_7_SS_cdc_to_spi <= SPICR_7_SS_cdc_from_axi_d2;
-------------------------------------------
SPICR_8_TR_INHIBIT_AX2S_1: component FDR
generic map(INIT => '1'
)port map (
Q => SPICR_8_TR_INHIBIT_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_8_TR_INHIBIT_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_8_TR_INHIBIT_AX2S_2: component FDR
generic map(INIT => '1'
)port map (
Q => SPICR_8_TR_INHIBIT_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_8_TR_INHIBIT_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_8_TR_INHIBIT_cdc_to_spi <= SPICR_8_TR_INHIBIT_cdc_from_axi_d2;
-----------------------------------------------------------
SPICR_9_LSB_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_9_LSB_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SPICR_9_LSB_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SPICR_9_LSB_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_9_LSB_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SPICR_9_LSB_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SPICR_9_LSB_cdc_to_spi <= SPICR_9_LSB_cdc_from_axi_d2;
---------------------------------------------
SPICR_BITS_7_8_SYNC_GEN: for i in 1 downto 0 generate
attribute ASYNC_REG : string;
attribute ASYNC_REG of SPICR_BITS_7_8_AX2S_1 : label is "TRUE";
begin
-----
SPICR_BITS_7_8_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_bits_7_8_cdc_from_axi_d1(i),
C => EXT_SPI_CLK,
D => SPICR_bits_7_8_cdc_from_axi(i),
R => Rst_from_axi_cdc_to_spi
);
SPICR_BITS_7_8_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SPICR_bits_7_8_cdc_from_axi_d2(i),
C => EXT_SPI_CLK,
D => SPICR_bits_7_8_cdc_from_axi_d1(i),
R => Rst_from_axi_cdc_to_spi
);
end generate SPICR_BITS_7_8_SYNC_GEN;
-------------------------------------
SPICR_bits_7_8_cdc_to_spi <= SPICR_bits_7_8_cdc_from_axi_d2;
---------------------------------------------------
SR_3_MODF_AX2S_1: component FDR
generic map(INIT => '0'
)port map (
Q => SR_3_modf_cdc_from_axi_d1,
C => EXT_SPI_CLK,
D => SR_3_modf_cdc_from_axi,
R => Rst_from_axi_cdc_to_spi
);
SR_3_MODF_AX2S_2: component FDR
generic map(INIT => '0'
)port map (
Q => SR_3_modf_cdc_from_axi_d2,
C => EXT_SPI_CLK,
D => SR_3_modf_cdc_from_axi_d1,
R => Rst_from_axi_cdc_to_spi
);
SR_3_modf_cdc_to_spi <= SR_3_modf_cdc_from_axi_d2;
-----------------------------------------
SPISSR_SYNC_GEN: for i in 0 to C_NUM_SS_BITS-1 generate
attribute ASYNC_REG : string;
attribute ASYNC_REG of SPISSR_AX2S_1 : label is "TRUE";
-----
begin
-----
SPISSR_AX2S_1: component FDR
generic map(INIT => '1'
)port map (
Q => SPISSR_cdc_from_axi_d1(i),
C => EXT_SPI_CLK,
D => SPISSR_cdc_from_axi(i),
R => Rst_from_axi_cdc_to_spi
);
SPISSR_SYNC_AXI_2_SPI_2: component FDR
generic map(INIT => '1'
)port map (
Q => SPISSR_cdc_from_axi_d2(i),
C => EXT_SPI_CLK,
D => SPISSR_cdc_from_axi_d1(i),
R => Rst_from_axi_cdc_to_spi
);
end generate SPISSR_SYNC_GEN;
SPISSR_cdc_to_spi <= SPISSR_cdc_from_axi_d2;
-----------------------------------
end generate LOGIC_GENERATION_FDR ;
--============================================================================================================
LOGIC_GENERATION_CDC : if (LOGIC_CHANGE =1) generate
--============================================================================================================
-- Tx_FIFO_Empty_cdc_from_axi <= Tx_FIFO_Empty_cdc_from_axi;
-- Tx_FIFO_Empty_cdc_to_spi <= Tx_FIFO_Empty_cdc_cdc_to_spi;
-- Tx_FIFO_Empty_SPISR_cdc_from_spi <= Tx_FIFO_Empty_SPISR_cdc_from_spi;
-- Tx_FIFO_Empty_SPISR_cdc_to_axi <= Tx_FIFO_Empty_SPISR_cdc_cdc_to_axi;
-- spisel_d1_reg_cdc_from_spi <= spisel_d1_reg_cdc_from_spi;
-- spisel_d1_reg_cdc_to_axi <= spisel_d1_reg_cdc_cdc_to_axi;
-- spisel_pulse_cdc_from_spi <= spisel_pulse_cdc_from_spi;
-- spisel_pulse_cdc_to_axi <= spisel_pulse_cdc_cdc_to_axi;
-- spiXfer_done_cdc_from_spi <= spiXfer_done_cdc_from_spi;
-- spiXfer_done_cdc_to_axi <= spiXfer_done_cdc_cdc_to_axi;
-- modf_strobe_cdc_from_spi <= modf_strobe_cdc_from_spi;
-- modf_strobe_cdc_to_axi <= modf_strobe_cdc_cdc_to_axi;
-- Slave_MODF_strobe_cdc_from_spi <= Slave_MODF_strobe_cdc_from_spi;
-- Slave_MODF_strobe_cdc_to_axi <= Slave_MODF_strobe_cdc_cdc_to_axi;
-- receive_Data_cdc_from_spi <= receive_Data_cdc_from_spi;
-- receive_Data_cdc_to_axi <= receive_Data_cdc_cdc_to_axi;
-- drr_Overrun_int_cdc_from_spi <= drr_Overrun_int_cdc_from_spi;
-- drr_Overrun_int_cdc_to_axi <= drr_Overrun_int_cdc_cdc_to_axi;
-- dtr_underrun_cdc_from_spi <= dtr_underrun_cdc_from_spi;
-- dtr_underrun_cdc_to_axi <= dtr_underrun_cdc_cdc_to_axi;
-- transmit_Data_cdc_from_axi <= transmit_Data_cdc_from_axi;
-- transmit_Data_cdc_to_spi <= transmit_Data_cdc_cdc_to_spi;
-- SPICR_0_LOOP_cdc_from_axi <= SPICR_0_LOOP_cdc_from_axi;
-- SPICR_0_LOOP_cdc_to_spi <= SPICR_0_LOOP_cdc_cdc_to_spi;
-- SPICR_1_SPE_cdc_from_axi <= SPICR_1_SPE_cdc_from_axi;
-- SPICR_1_SPE_cdc_to_spi <= SPICR_1_SPE_cdc_cdc_to_spi;
-- SPICR_2_MST_N_SLV_cdc_from_axi <= SPICR_2_MST_N_SLV_cdc_from_axi;
-- SPICR_2_MST_N_SLV_cdc_to_spi <= SPICR_2_MST_N_SLV_cdc_cdc_to_spi;
-- SPICR_3_CPOL_cdc_from_axi <= SPICR_3_CPOL_cdc_from_axi;
-- SPICR_3_CPOL_cdc_to_spi <= SPICR_3_CPOL_cdc_cdc_to_spi;
-- SPICR_4_CPHA_cdc_from_axi <= SPICR_4_CPHA_cdc_from_axi;
-- SPICR_4_CPHA_cdc_to_spi <= SPICR_4_CPHA_cdc_cdc_to_spi;
-- SPICR_5_TXFIFO_cdc_from_axi <= SPICR_5_TXFIFO_cdc_from_axi;
-- SPICR_5_TXFIFO_cdc_to_spi <= SPICR_5_TXFIFO_cdc_cdc_to_spi;
-- SPICR_6_RXFIFO_RST_cdc_from_axi <= SPICR_6_RXFIFO_RST_cdc_from_axi;
-- SPICR_6_RXFIFO_RST_cdc_to_spi <= SPICR_6_RXFIFO_RST_cdc_cdc_to_spi;
-- SPICR_7_SS_cdc_from_axi <= SPICR_7_SS_cdc_from_axi;
-- SPICR_7_SS_cdc_to_spi <= SPICR_7_SS_cdc_cdc_to_spi;
-- SPICR_8_TR_INHIBIT_cdc_from_axi <= SPICR_8_TR_INHIBIT_cdc_from_axi;
-- SPICR_8_TR_INHIBIT_cdc_to_spi <= SPICR_8_TR_INHIBIT_cdc_cdc_to_spi;
-- SPICR_9_LSB_cdc_from_axi <= SPICR_9_LSB_cdc_from_axi;
-- SPICR_9_LSB_cdc_to_spi <= SPICR_9_LSB_cdc_cdc_to_spi;
-- SPICR_bits_7_8_cdc_from_axi <= SPICR_bits_7_8_cdc_from_axi;
-- SPICR_bits_7_8_cdc_to_spi <= SPICR_bits_7_8_cdc_cdc_to_spi;
-- SR_3_modf_cdc_from_axi <= SR_3_modf_cdc_from_axi;
-- SR_3_modf_cdc_to_spi <= SR_3_modf_cdc_cdc_to_spi;
-- SPISSR_cdc_from_axi <= SPISSR_cdc_from_axi;
-- SPISSR_cdc_to_spi <= SPISSR_cdc_cdc_to_spi;
--============================================================================================================
-- all the signals pass through FF with reset before CDC_SYNC module to initialise the value of the signal
-- at its reset state. As many signals coming from bram have initial value of XX.
TX_FIFO_EMPTY_FOR_SPISR_SYNC_SPI_2_AXI_CDC : entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK ,
prmry_resetn => Rst_from_axi_cdc_to_spi ,
prmry_in => Tx_FIFO_Empty_SPISR_cdc_from_spi ,
scndry_aclk => Bus2IP_Clk ,
prmry_vect_in => (others => '0') ,
scndry_resetn => Soft_Reset_op ,
scndry_out => Tx_FIFO_Empty_SPISR_cdc_to_axi
);
----------------------------------------------------------------------------------------------------------
TX_FIFO_EMPTY_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
Tx_FIFO_Empty_cdc_from_axi_int_2 <= '1';
else
Tx_FIFO_Empty_cdc_from_axi_int_2 <= Tx_FIFO_Empty_cdc_from_axi xor
Tx_FIFO_Empty_cdc_from_axi_int_2;
end if;
end if;
end process TX_FIFO_EMPTY_STRETCH_1;
TX_FIFO_EMPTY_SYNC_AXI_2_SPI_CDC : entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1, -- 2 is ack based level sync
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => Tx_FIFO_Empty_cdc_from_axi_int_2,--Tx_FIFO_Empty_cdc_from_axi_d1 ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => Tx_FIFO_Empty_cdc_from_axi_d2--Tx_FIFO_Empty_cdc_to_spi
);
TX_FIFO_EMPTY_STRETCH_1_CDC: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
Tx_FIFO_Empty_cdc_from_axi_d3 <= Tx_FIFO_Empty_cdc_from_axi_d2;
end if;
end process TX_FIFO_EMPTY_STRETCH_1_CDC;
Tx_FIFO_Empty_cdc_to_spi <= Tx_FIFO_Empty_cdc_from_axi_d2 xor Tx_FIFO_Empty_cdc_from_axi_d3;
----------------------------------------------------------------------------------------------------------
SPISEL_D1_REG_SYNC_SPI_2_AXI_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK ,
prmry_resetn => Rst_from_axi_cdc_to_spi ,
prmry_in => spisel_d1_reg_cdc_from_spi ,
scndry_aclk => Bus2IP_Clk ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Soft_Reset_op ,
scndry_out => spisel_d1_reg_cdc_to_axi
);
-----------------------------------------------------------------------------------------------------------
SPISEL_PULSE_STRETCH_1_CDC: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
spisel_pulse_cdc_from_spi_int_2 <= '0';
--spisel_pulse_cdc_from_spi_d1 <= '0';
else
spisel_pulse_cdc_from_spi_int_2 <= spisel_pulse_cdc_from_spi xor
spisel_pulse_cdc_from_spi_int_2;
--spisel_pulse_cdc_from_spi_d1 <= spisel_pulse_cdc_from_spi_int_2;
end if;
end if;
end process SPISEL_PULSE_STRETCH_1_CDC;
SPISEL_PULSE_SPI_2_AXI_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 2 is ack based level sync
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK ,
prmry_resetn => Rst_from_axi_cdc_to_spi ,
prmry_in => spisel_pulse_cdc_from_spi_int_2 ,
scndry_aclk => Bus2IP_Clk ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Soft_Reset_op ,
scndry_out => spisel_pulse_cdc_from_spi_d2
);
SPISEL_PULSE_STRETCH_1: process(Bus2IP_Clk)is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
spisel_pulse_cdc_from_spi_d3 <= spisel_pulse_cdc_from_spi_d2;
end if;
end process SPISEL_PULSE_STRETCH_1;
spisel_pulse_cdc_to_axi <= spisel_pulse_cdc_from_spi_d2 xor spisel_pulse_cdc_from_spi_d3;
--------------------------------------------------------------------------------------------------------------
SPI_XFER_DONE_STRETCH_1_CDC: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
spiXfer_done_cdc_from_spi_int_2 <= '0';
-- spiXfer_done_d2 <= '0';
else
spiXfer_done_cdc_from_spi_int_2 <= spiXfer_done_cdc_from_spi xor
spiXfer_done_cdc_from_spi_int_2;
-- spiXfer_done_d2 <= spiXfer_done_cdc_from_spi_int_2;
end if;
end if;
end process SPI_XFER_DONE_STRETCH_1_CDC;
SYNC_SPIXFER_DONE_SYNC_SPI_2_AXI_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 2 is ack based level sync
C_RESET_STATE => 0 ,-- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK ,
prmry_resetn => Rst_from_axi_cdc_to_spi ,
prmry_in => spiXfer_done_cdc_from_spi_int_2,--spiXfer_done_d2 ,
scndry_aclk => Bus2IP_Clk ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Soft_Reset_op ,
scndry_out => spiXfer_done_d2--spiXfer_done_cdc_to_axi
);
SPI_XFER_DONE_STRETCH_1: process(Bus2IP_Clk)is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk= '1') then
spiXfer_done_d3 <= spiXfer_done_d2 ;
end if;
end process SPI_XFER_DONE_STRETCH_1;
spiXfer_done_cdc_to_axi <= spiXfer_done_d2 xor spiXfer_done_d3;
--------------------------------------------------------------------------------------------------------------
MODF_STROBE_STRETCH_1_CDC: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
modf_strobe_cdc_from_spi_int_2 <= '0';
--modf_strobe_cdc_from_spi_d1 <= '0';
else
modf_strobe_cdc_from_spi_int_2 <= modf_strobe_cdc_from_spi xor
modf_strobe_cdc_from_spi_int_2;
-- modf_strobe_cdc_from_spi_d1 <= modf_strobe_cdc_from_spi_int_2;
end if;
end if;
end process MODF_STROBE_STRETCH_1_CDC;
MODF_STROBE_SYNC_SPI_cdc_to_AXI_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 2 is ack based level sync
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK ,
prmry_resetn => Rst_from_axi_cdc_to_spi ,
prmry_in => modf_strobe_cdc_from_spi_int_2,--modf_strobe_cdc_from_spi_d1 ,
scndry_aclk => Bus2IP_Clk ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Soft_Reset_op ,
scndry_out => modf_strobe_cdc_from_spi_d2--modf_strobe_cdc_to_axi
);
MODF_STROBE_STRETCH_1: process(Bus2IP_Clk)is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk= '1') then
modf_strobe_cdc_from_spi_d3 <= modf_strobe_cdc_from_spi_d2 ;
end if;
end process MODF_STROBE_STRETCH_1;
modf_strobe_cdc_to_axi <= modf_strobe_cdc_from_spi_d2 xor modf_strobe_cdc_from_spi_d3;
----------------------------------------------------------------------------------------------------------------
SLAVE_MODF_STROBE_STRETCH_1_CDC: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
Slave_MODF_strobe_cdc_from_spi_int_2 <= '0';
-- Slave_MODF_strobe_cdc_from_spi_d1 <= '0';
else
Slave_MODF_strobe_cdc_from_spi_int_2 <= Slave_MODF_strobe_cdc_from_spi xor
Slave_MODF_strobe_cdc_from_spi_int_2;
-- Slave_MODF_strobe_cdc_from_spi_d1 <= Slave_MODF_strobe_cdc_from_spi_int_2;
end if;
end if;
end process SLAVE_MODF_STROBE_STRETCH_1_CDC;
SLAVE_MODF_STROBE_SYNC_SPI_cdc_to_AXI_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 2 is ack based level sync
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK ,
prmry_resetn => Rst_from_axi_cdc_to_spi ,
prmry_in => Slave_MODF_strobe_cdc_from_spi_int_2 ,
scndry_aclk => Bus2IP_Clk ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Soft_Reset_op ,
scndry_out => Slave_MODF_strobe_cdc_from_spi_d2
);
SLAVE_MODF_STROBE_STRETCH_1: process(Bus2IP_Clk)is
begin
if(Bus2IP_Clk'event and Bus2IP_Clk= '1') then
Slave_MODF_strobe_cdc_from_spi_d3 <= Slave_MODF_strobe_cdc_from_spi_d2 ;
end if;
end process SLAVE_MODF_STROBE_STRETCH_1;
Slave_MODF_strobe_cdc_to_axi <= Slave_MODF_strobe_cdc_from_spi_d2 xor
Slave_MODF_strobe_cdc_from_spi_d3;
-----------------------------------------------------------------------------------------------------
RECEIVE_DATA_SYNC_SPI_cdc_to_AXI_P_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 0 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => C_NUM_TRANSFER_BITS ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK,
prmry_resetn => Rst_from_axi_cdc_to_spi,
prmry_vect_in => receive_Data_cdc_from_spi,
scndry_aclk => Bus2IP_Clk,
prmry_in => '0',
scndry_resetn => Soft_Reset_op,
scndry_vect_out => receive_Data_cdc_to_axi
);
-------------------------------------------------------------------------------------------------------
DRR_OVERRUN_STRETCH_1: process(EXT_SPI_CLK)is
begin
if(EXT_SPI_CLK'event and EXT_SPI_CLK= '1') then
if(Rst_from_axi_cdc_to_spi = '1') then
drr_Overrun_int_cdc_from_spi_int_2 <= '0';
else
drr_Overrun_int_cdc_from_spi_int_2 <= drr_Overrun_int_cdc_from_spi xor
drr_Overrun_int_cdc_from_spi_int_2;
end if;
end if;
end process DRR_OVERRUN_STRETCH_1;
DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_1: component FDR
generic map(INIT => '0'
)port map (
Q => drr_Overrun_int_cdc_from_spi_d1,
C => Bus2IP_Clk,
D => drr_Overrun_int_cdc_from_spi_int_2,
R => Soft_Reset_op
);
DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_2: component FDR
generic map(INIT => '0'
)port map (
Q => drr_Overrun_int_cdc_from_spi_d2,
C => Bus2IP_Clk,
D => drr_Overrun_int_cdc_from_spi_d1,
R => Soft_Reset_op
);
DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_3: component FDR
generic map(INIT => '0'
)port map (
Q => drr_Overrun_int_cdc_from_spi_d3,
C => Bus2IP_Clk,
D => drr_Overrun_int_cdc_from_spi_d2,
R => Soft_Reset_op
);
DRR_OVERRUN_SYNC_SPI_cdc_to_AXI_4: component FDR
generic map(INIT => '0'
)port map (
Q => drr_Overrun_int_cdc_from_spi_d4,
C => Bus2IP_Clk,
D => drr_Overrun_int_cdc_from_spi_d3,
R => Soft_Reset_op
);
drr_Overrun_int_cdc_to_axi <= drr_Overrun_int_cdc_from_spi_d4 xor drr_Overrun_int_cdc_from_spi_d3;
-------------------------------------------------------------------------------------------------------
DTR_UNDERRUN_SYNC_SPI_2_AXI_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 ,-- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_S2AXI
)
port map (
prmry_aclk => EXT_SPI_CLK ,
prmry_resetn => Rst_from_axi_cdc_to_spi ,
prmry_in => dtr_underrun_cdc_from_spi ,
scndry_aclk => Bus2IP_Clk ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Soft_Reset_op ,
scndry_out => dtr_underrun_cdc_to_axi
);
-------------------------------------------------------------------------------------------------------
SPICR_0_LOOP_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_0_LOOP_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_0_LOOP_cdc_to_spi
);
------------------------------------------------------------------------------------------------------
SPICR_1_SPE_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_1_SPE_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_1_SPE_cdc_to_spi
);
----------------------------------------------------------------------------------------------------
SPICR_2_MST_N_SLV_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_2_MST_N_SLV_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_2_MST_N_SLV_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SPICR_3_CPOL_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_3_CPOL_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_3_CPOL_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SPICR_4_CPHA_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_4_CPHA_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_4_CPHA_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SPICR_5_TXFIFO_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_5_TXFIFO_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_5_TXFIFO_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SPICR_6_RXFIFO_RST_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_6_RXFIFO_RST_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_6_RXFIFO_RST_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SPICR_7_SS_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_7_SS_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_7_SS_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SPICR_8_TR_INHIBIT_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_8_TR_INHIBIT_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_8_TR_INHIBIT_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SPICR_9_LSB_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SPICR_9_LSB_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SPICR_9_LSB_cdc_to_spi
);
-----------------------------------------------------------------------------------------------------
TR_DATA_SYNC_AX2SP_GEN_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 0 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => C_NUM_TRANSFER_BITS ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk,
prmry_resetn => Soft_Reset_op,
prmry_vect_in => transmit_Data_cdc_from_axi,
scndry_aclk => EXT_SPI_CLK,
prmry_in => '0' ,
scndry_resetn => Rst_from_axi_cdc_to_spi,
scndry_vect_out => transmit_Data_cdc_to_spi
);
--------------------------------------------------------------------------------------------------
SR_3_MODF_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk ,
prmry_resetn => Soft_Reset_op ,
prmry_in => SR_3_modf_cdc_from_axi ,
scndry_aclk => EXT_SPI_CLK ,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi ,
scndry_out => SR_3_modf_cdc_to_spi
);
-----------------------------------------------------------------------------------------------------
SPISSR_SYNC_GEN_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 0 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => C_NUM_SS_BITS ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk,
prmry_resetn => Soft_Reset_op,
prmry_vect_in => SPISSR_cdc_from_axi,
scndry_aclk => EXT_SPI_CLK,
prmry_in => '0' ,
scndry_resetn => Rst_from_axi_cdc_to_spi,
scndry_vect_out => SPISSR_cdc_to_spi
);
---------------------------------------------
SPICR_BITS_7_8_SYNC_GEN_CDC: for i in 1 downto 0 generate
attribute ASYNC_REG : string;
attribute ASYNC_REG of SPICR_BITS_7_8_AX2S_1_CDC : label is "TRUE";
begin
SPICR_BITS_7_8_AX2S_1_CDC: entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1 , -- 1 is level synch
C_RESET_STATE => 0 , -- no reset to be used in synchronisers
C_SINGLE_BIT => 1 ,
C_FLOP_INPUT => 0 ,
C_VECTOR_WIDTH => 0 ,
C_MTBF_STAGES => MTBF_STAGES_AXI2S
)
port map (
prmry_aclk => Bus2IP_Clk,
prmry_resetn => Soft_Reset_op,
prmry_in => SPICR_bits_7_8_cdc_from_axi(i),
scndry_aclk => EXT_SPI_CLK,
prmry_vect_in => (others => '0' ),
scndry_resetn => Rst_from_axi_cdc_to_spi,
scndry_out => SPICR_bits_7_8_cdc_from_axi_d2(i)
);
-----------------------------------------
end generate SPICR_BITS_7_8_SYNC_GEN_CDC;
SPICR_bits_7_8_cdc_to_spi <= SPICR_bits_7_8_cdc_from_axi_d2;
end generate LOGIC_GENERATION_CDC;
end architecture imp;
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pselect_mask.vhd | 15 | 13363 | -------------------------------------------------------------------------------
-- $Id: pselect_mask.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pselect_mask.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pselect_mask.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pselect_mask.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- goran 2002-02-06 First Version
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library Unisim;
use Unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- PS -- peripheral select
-------------------------------------------------------------------------------
entity pselect_mask is
generic (
C_AW : integer := 32;
C_BAR : std_logic_vector(0 to 31) := "00000000000000100000000000000000";
C_MASK : std_logic_vector(0 to 31) := "00000000000001111100000000000000"
);
port (
A : in std_logic_vector(0 to C_AW-1);
Valid : in std_logic;
CS : out std_logic
);
end entity pselect_mask;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
library unisim;
use unisim.all;
architecture imp of pselect_mask is
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic := '0';
-- I1 : in std_logic := '0';
-- I2 : in std_logic := '0';
-- I3 : in std_logic := '0');
-- end component;
-- component MUXCY is
-- port (
-- O : out std_logic;
-- CI : in std_logic;
-- DI : in std_logic;
-- S : in std_logic
-- );
-- end component MUXCY;
function Nr_Of_Ones (S : std_logic_vector) return natural is
variable tmp : natural := 0;
begin -- function Nr_Of_Ones
for I in S'range loop
if (S(I) = '1') then
tmp := tmp + 1;
end if;
end loop; -- I
return tmp;
end function Nr_Of_Ones;
function fix_AB (B : boolean; I : integer) return integer is
begin -- function fix_AB
if (not B) then
return I + 1;
else
return I;
end if;
end function fix_AB;
constant Nr : integer := Nr_Of_Ones(C_MASK);
constant Use_CIN : boolean := ((Nr mod 4) = 0);
constant AB : integer := fix_AB(Use_CIN, Nr);
attribute INIT : string;
constant NUM_LUTS : integer := (AB-1)/4+1;
-- signal lut_out : std_logic_vector(0 to NUM_LUTS-1);
-- signal carry_chain : std_logic_vector(0 to NUM_LUTS);
-- function to initialize LUT within pselect
type int4 is array (3 downto 0) of integer;
function pselect_init_lut(i : integer;
AB : integer;
NUM_LUTS : integer;
C_AW : integer;
C_BAR : std_logic_vector(0 to 31))
return bit_vector is
variable init_vector : bit_vector(15 downto 0) := X"0001";
variable j : integer := 0;
variable val_in : int4;
begin
for j in 0 to 3 loop
if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) then
val_in(j) := conv_integer(C_BAR(i*4+j));
else val_in(j) := 0;
end if;
end loop;
init_vector := To_bitvector(conv_std_logic_vector(2**(val_in(3)*8+
val_in(2)*4+val_in(1)*2+val_in(0)*1),16));
return init_vector;
end pselect_init_lut;
signal A_Bus : std_logic_vector(0 to AB);
signal BAR : std_logic_vector(0 to AB);
-------------------------------------------------------------------------------
-- Begin architecture section
-------------------------------------------------------------------------------
begin -- VHDL_RTL
Make_Busses : process (A,Valid) is
variable tmp : natural;
begin -- process Make_Busses
tmp := 0;
A_Bus <= (others => '0');
BAR <= (others => '0');
for I in C_MASK'range loop
if (C_MASK(I) = '1') then
A_Bus(tmp) <= A(I);
BAR(tmp) <= C_BAR(I);
tmp := tmp + 1;
end if;
end loop; -- I
if (not Use_CIN) then
BAR(tmp) <= '1';
A_Bus(tmp) <= Valid;
end if;
end process Make_Busses;
-- More_Than_3_Bits : if (AB > 3) generate
-- Using_CIn: if (Use_CIN) generate
-- carry_chain(0) <= Valid;
-- end generate Using_CIn;
-- No_CIn: if (not Use_CIN) generate
-- carry_chain(0) <= '1';
-- end generate No_CIn;
-- GEN_DECODE : for i in 0 to NUM_LUTS-1 generate
-- signal lut_in : std_logic_vector(3 downto 0);
-- begin
-- GEN_LUT_INPUTS : for j in 0 to 3 generate
-- -- Generate to assign address bits to LUT4 inputs
-- GEN_INPUT : if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) generate
-- lut_in(j) <= A_Bus(i*4+j);
-- end generate;
-- -- Generate to assign zeros to remaining LUT4 inputs
-- GEN_ZEROS : if not(i < NUM_LUTS-1 or j <= ((AB-1) mod 4)) generate
-- lut_in(j) <= '0';
-- end generate;
-- end generate;
---------------------------------------------------------------------------------
---- RTL version without LUT instantiation for XST
---------------------------------------------------------------------------------
-- lut_out(i) <= (lut_in(0) xnor BAR(i*4+0)) and
-- (lut_in(1) xnor BAR(i*4+1)) and
-- (lut_in(2) xnor BAR(i*4+2)) and
-- (lut_in(3) xnor BAR(i*4+3));
---------------------------------------------------------------------------------
---- Structural version with LUT instantiation for Synplicity (when RLOC is
---- desired for placing LUT
---------------------------------------------------------------------------------
---- LUT4_I : LUT4
---- generic map(
---- -- Function init_lut is used to generate INIT value for LUT4
---- INIT => pselect_init_lut(i,C_AB,NUM_LUTS,C_AW,C_BAR)
---- )
---- port map (
---- O => lut_out(i), -- [out]
---- I0 => lut_in(0), -- [in]
---- I1 => lut_in(1), -- [in]
---- I2 => lut_in(2), -- [in]
---- I3 => lut_in(3)); -- [in]
---------------------------------------------------------------------------------
-- MUXCY_I : MUXCY
-- port map (
-- O => carry_chain(i+1), --[out]
-- CI => carry_chain(i), --[in]
-- DI => '0', --[in]
-- S => lut_out(i) --[in]
-- );
-- end generate;
-- CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output
-- end generate More_Than_3_Bits;
-- Less_than_4_bits: if (AB < 4) generate
CS <= Valid when A_Bus=BAR else '0';
-- end generate Less_than_4_bits;
end imp;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/builtin/logic_builtin.vhd | 19 | 30579 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QA13xX+R/ACi8km79qumYiCoL95/JTNXmw/Mv/Sollu1nSewLnwk6qQvytLuy2zqP8g5ZHUfDkXy
dYJVTyRzKA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nii8tC6PWRY1wcl+Yj+dJQmorGaa82N6txtyUcQdtmyxn18ohe6n/SpcWdMXBCN1HiV+XVlZhDEw
KvXEmx5H6nBr5/f6eVRIc3k7vZjXpluRFM7lDsLgIpfE0fW00UnX/0rMYgmxn+5+4dG7smGpX72S
zm4Z5q7tYiBa+z76ex0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
yppU6wpcO6vEUEaOZTTT6jS7XbaY+e5Jeh6nknICBRlkmT5DzQmd7eWK0ShMWSlNt0Fv0kuxSdt3
PRQVKoJayZoHlh1UH0U//6ySDV8PrR8ZKYbnb5G7lC3+6hAsVS0WEHoXFsxe3QTXWezPX8OXISSE
YYTVzXqeBUtBDqueK1cvQyMM7IWnXgyQ/0dRh7UmnEpiOonlQALl1eEnWSxVZ0L5cd+jDbcSlWqj
VgoBh9A+IbjGjOjE8FOaFLUMzvKXmpjNiGzhwyN1qXczrRlE54AWkRUECVVEGR4zuEA7VTQH6H/B
e1HQhNsFNtK03nDJRyhoiacaeHGOBo4yneyZRQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xoEHrB3Q0Yfcf3MYYTBHkrbmS0WN00JVFDeAhGuvxPP5kv5812Q+oIM0e+z8RwGLEwQ4F0j3UPw9
LR04YDkbyd4XfjRJQED6GhUyhlVHkeZ0vYn6D/hB6y5zA45LPFz5aqbLudigfR6lDZgyof50XSaT
wkqaJ1dNbsbYXDGYiiI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SZoZou8zrLQYkyuoYxGz7q7TKCLXDf41gJHR/eNOYbjhVAUcJLojwHpmGq29Knnj056DtiEpAnUR
HkNwqIIUQ/PzBp2ZRgLcYUhgAGFauW9u5fA3Qe79SJmVAKU55R6eP+5h6YaMx1oo7Myp8ZHgv9LK
0atkww+rNUFhc/kS4ivaypKADJgY/Slv1X55We59ldg5OMI3+jFcKD4Ow4Gbs5tHnIUzKQ507yjR
1wg0oIoTMEm7GhN3wZnee1A7XeomsW7IrTE+3/M1cRWhdrj0rq5nqrI9yilbmzqQyqntfJK6N8Y0
QQNZFJ8oCjr3X+2kFBb+Pd3/scpZe1PtOU8TgQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/counter_bit.vhd | 15 | 8803 | -------------------------------------------------------------------------------
-- counter_bit_imp.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: counter_bit.vhd
--
-- Description: Implements 1 bit of the counter/timer
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- counter_bit.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:46 $
--
-- History:
-- tise 2001-04-04 First Version
--
-- KC 2002-01-23 Remove used generics and removed unused code
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library Unisim;
use Unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity counter_bit is
port (
Clk : in std_logic;
Rst : in std_logic;
Count_In : in std_logic;
Load_In : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Carry_In : in std_logic;
Clock_Enable : in std_logic;
Result : out std_logic;
Carry_Out : out std_logic);
end entity counter_bit;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of counter_bit is
component LUT4 is
generic(
INIT : bit_vector := X"0000"
);
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic);
end component LUT4;
component MUXCY_L is
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component MUXCY_L;
component XORCY is
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component XORCY;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
signal count_AddSub : std_logic;
signal count_Result : std_logic;
signal count_Result_Reg : std_logic;
attribute INIT : string;
begin -- VHDL_RTL
I_ALU_LUT : LUT4
generic map(
INIT => X"36C6"
)
port map (
O => count_AddSub, -- [out]
I0 => Count_In, -- [in]
I1 => Count_Down, -- [in]
I2 => Count_Load, -- [in]
I3 => Load_In); -- [in]
MUXCY_I : MUXCY_L
port map (
DI => Count_Down,
CI => Carry_In,
S => count_AddSub,
LO => Carry_Out);
XOR_I : XORCY
port map (
LI => count_AddSub,
CI => Carry_In,
O => count_Result);
FDRE_I: FDRE
port map (
Q => count_Result_Reg, -- [out]
C => Clk, -- [in]
CE => Clock_Enable, -- [in]
D => count_Result, -- [in]
R => Rst -- [in]
);
Result <= count_Result_Reg;
end imp;
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/builtin_top.vhd | 19 | 47568 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dpj1rsbRiC2XtvMMkZeaWceey8TRzfvuZghjsYUFfvEbx0wxaUtNO2KtH3hQvHr5R05ZRpFvbxnS
y9eflHJ+fw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RxF4+BsurVIN9R6VPOZY6IjRgF7yOLOJFH+DEaCvilnRUUfGXWquiAJNpzEAXSnsWuptbwUxy5M0
I2FA4+Rh4icthIWWJqsNOFS1K2ZEpNoHe2hVsMzmtRpnsPL9VGvgfvA4do7AYV7YhTUgoQfClGAQ
vFYxy/RbXBzM3PrDcTk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OvIp9LkjFoctqOSaxZyP7bYL7KElD3vYsFbzOXm+yqBzueGP4aoe0+732BJK3cSRYLmSREwKo0o0
Rv3hIBpxf0Y7nOdTTISL4pJ3qn/Q9Div9rDMzGaVxIOMLNLxqjT1ZbqCGU0LBxVzmDxHhBalP4V2
XUBBBCK3eeYn9YA+pujel3BBQ67ibuZRmgjKTwyT9B3SaGu2w8ce0O/YfSF/l+ncmV9cvUhjGdBV
Dsus1J4qhNTtraXR3S8daDpX289UCjsNh8krOgCnmBNlKeEFeTxbhmhnNPIAjDgfW1fdIgrmAH+S
tzDecIht4fghpU24F+FmCjpRFfArF8+d7uvxlA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4ZEqShxRoOQpy+XtDUXlHHAe5v38IR2wWpAtAq2KeZ3f4UCuk5LQw2Oc5c9xFXi1a9SsCAzYO6Rg
6iBcvyh5jboOYApBCjz/4VZfMAndhqby+l7lpAzkB6TqAqvqUfdVhSRn9DQMcQZ2fMALj61IBeLk
rnvtNe9XfB9vaA3zmlE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CxLbTp2UMBa44c/UwixvnmtRjPsy2Xb+fkOsP/coXETbFAb6XdUuKlopddrCIslByXBY8SiCzN9B
XnnZENqObWvYgo2VDZVlPu9SL8ZNuOrh2v/bJ7ztAhTSojfY2dBi8ojKva7J9JwGsRtKubJGASjY
RHw8CGw4rdc0A5dMEVmmoAymqmzBjExIxX3UWjtVz457DADxQ6UUgPgr7ysxQXkHN2eTr8eKtbK1
R8VALM11jq0MxZUpiiq5xDX4POkxGrs4QQL6Repo1WUK5V648ZRUZDaWyRJbcIm/J5ref1gzTZWX
h3koqZ0X3HGeO0DTx9nnC43UDVfA3fgk+YpVGw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33472)
`protect data_block
5bZ7kSSCidLr3jSD5JBW0RPv7cRb3VOGMWb5QaLcvB1A2zKZN0EIx3lTZPq8F/4hApM43HJ8E5wJ
QaLMeQzwTGh0ED+qkSTxFoBU6UL5a8vQ9qCn2MikotVHy3xjrq13idvtxFmHqjjro5Zp9WLIUECZ
K3bEVaGL0AG96gvef8fycJV+od0vTLsubbhuuCm92L6EM0j9G/f65X1suXMtbrnQ+Rj/aceOFABu
j3uSEv8dgCjWKGlGZMiSLX1xK8lmlu66RFg46IkRLTntiBmgl+8PzaDj4MvRNgvtvdJmrMaViw1z
EY7kQZMJ7rc/FQGEo64krikKv/y360HfUov6tP6fSTok5EitLcPyPDXcv3USpB1lS5cG7nI6FClG
nxysQwGIDmWThWThpjb+lFDTGpRTXeiHHYl5ajJzERAJPiL3ObUR58MRdlSGKLf+Snyvy1xrg6GP
k1/iFsVrVPDHORjkrVvQ5T2hl6Sfjf1cj8m+NW2SS9wTLCTZYa80oomzdS2xutuQhgACBxLJ/v2f
l0hCCODIgtNnNMDKXBHhf4c48mXaGUgwWXzRf2SXRwdAcHzcyAxdWUXa3fr/zbGdRrTV8F3TyEih
8Lh0BgOXEGXthM9eCyTpv5emzCHWQGLGGVOuAHiNiiuaiP3AUJPbqkH9j0rrdPiBAXyz+oLM0adq
C7uDn/DChjW9uBIZc0unNlRAFB9jEjZv2aaQyqhRew6ivUHUMr0fn4djlIHQJBtS29LdG4AUUNzu
05KfhKqaK76v6eu17+CjQwD3D4CXrFquDMv3ydihez9evVcGcoZ2Zxs5x9a7NzC49x5oFu6K8/4f
XwOPpjeEPpN12mYzo1Bu1iRJFPUHWCIeslH8qK4kWsvuBJJ5uNcbq6nikL4L1VYSmb2Q7/0ggDb2
827m0j++39HjByHGB65yyh+l6DXOBt4oNe4uaKNoTtukMZaYw1QD+074UCycwbk0kgVDWNQ2MP74
MLb2+feMGdAoVT2bcDVsI3jFK0wv6Thfig/Ywh4MLLr7TFyComPtaaYX+ZGy6QEGNWjWgV0L6bkb
xYMnHedBqiVeFzJO4tG7eYh5rt6FOCyA/r560n7xhMmD4Mzv8LpQeA/nU9F85Kx4AmXztGwSCS+I
bnbkhL3S75cHoJSONatLxwtvgdHZIxoP7EDWvHowNVSsAbxuWmeQ8RQHBDJ3vEQrvECaFSuRMvdi
ZMjaReLaMtFYl1xGkwA551H55vN81zVDQvzUKCcPliMJ+1zt8AAngLclpcrR5Vwbnteli4F3bIEF
yJEgIyN0vCiDdR4/8wYmH+olE+kj13I/zdkzKa/olmQXu4HcR7dgUttJkqHFTIX1S/22NfsuVmQm
flRQigT7emvZQDzeJEfT9EOKitjKUKvr+ADPTTuLB/k0M2q+SyZrgYQQgPWFjPrVymE+d822pjzN
LDPHx2Xkb00A0m13UTuk4gAMpXwl58V0qqmyE/ZXoXWptyZPekENrSET5W2zbsYv92z1zdlyMhT8
2KGWmJagvkKhVt3VkYyY/ReZJF0pkJa2DazoSl51koIaPKh+zeicykYXoPjhkzN/Q0uZYZ/j9z79
ofbOz47XCpAZQiW2hEOjWFnwV9D9QOBnzYGI60aH6IrtgpXC1oyjZQpKzz9LOAZT+TUqjkCW1+w3
QudwtCIsi2EGjUep6vfLd0ddB3v/HjFHyVxwcl7UYvkBa6G5Sb307mh/ESu3lYujS/7bCnx6M+gQ
zT5GjaGPo1jLiFwTcIXaNXrFZVHL20Thr9VfhRDKt9vR3NGQMbq5VmCYjlL8wpeX/PXfLNbA3JJK
wvYzqtsqtFnzuI0qPLZ57vL+XNxkJsw6y1b/XEtH/GB95L9/fbDri2NN39xzMcmXJJacyhk2UsJE
cUg18b4+KeTJd9xgftS147V6FLivDZVtwljkWrHc0B+ClElW/AaclTZZwUmitP0z+4BmLKJBBDnn
493FwcFNlWAZsTpcvTHw3t0FI0WHoV3C90/20kJUUf9uUOjBOYPYC4utZtfmsvvemaXZjueCLYyu
SXiNQwC61u+AblPUrkHDiQvjRLsgan8tI6WsqWXeUXwqaiDHNGm43a9O/8QAnxXscUaAJAck030C
ju3dFUr+lXGi/rf8XgiZPc3YbNc5qED2nkAMsfgazA9b7+dboEo0eAyPp5FBX4lOiM7nSlNV3K98
gJZK+ppFufBNT67kV17q/yRLw/2LSc09Lcs8F03HPWYMkK8BYxSrGZmTcpipLI9lR6g4mRdXrwsL
PmmBMdjOXFKkDlrKUe7V0Ga19F3bQkbg6MYbsQSsZRUH0BzvJlNtktX5zYLfQR69+0v9eU+5m1BW
w4lmiKCfI+W/QpNVtPeUhgX5cQenoESBef+78toeFe1Eh5GX1YxObONGPEudlUrY97XytDUq7gvP
6l7igrCyOLefG7PdoFwFI4q27ErV1OZidpV1qxE5D9W6/5CfAVO0eSeC/LsWeAzRSXqhoyh5/XVk
724zurdmjpliDY2lDeZcm6V7RdccLUWxC5Z164hQ7UPB2bhxga17pERwSHx5zFA+kQifi00RylS9
Her1BtLoWugaYeEOXsQXDiobjQi5pksnXru9upGr2umenwZpqeJN6v2H6tq9ThNkCPGTb0pYj1Ki
7I+yoKb61nura6p2+8T3P37XoncXBWu2hWYeBccxoGPzeb/O3FCvw4Xcwp1pqxjr7JCkVsVPr1OD
bQXRwE4EcC9lrFnb/QtGz72IDVXnETYdvhhlhHGfS7JfjPbesqIKyLfVeW26mR5VFPM88ZT/LTnB
xz8R394jNUCu7esUJ2keyBNFtwsw0gO3YKadSJYYuSawvn+aTottEA7P17Bj7MzFDM+CmuzlGS4y
OalnqPBDXwLPNoYesEm7gvdCN3giq/BfxLyG4XzVYPDIwKTergsEF1UxlywHGMZ188wjiCh1DeDp
lY5wlc0f+iqgDBxj2dNCGEbVyQ+14golLYjLBZQHBTFF7ZlwfQLkFSkUjOzIKa4QRAvy+D12dsSr
NSCjSv7oh6s5I8gexOtKN/0I+NAZ70/yIoD2CUBbSwNy3iN3Z49PSfZLoWwtEChWajiedQDMkPx5
FTZtlc2FqLIgL3VIzH/gW7QcWj1Tlm7s10nX+WxaAtcfLT96p1ViAwRB4AlyH8/ytqKjYHXaoz47
L2wzzKJTR9MIAIh7E3YVe6tHQFblXFWd+G1SBF6CyM7gZvsQnfKGIQubNLi/dG95BnQCdezv/tAA
6oxaqwvL4lrp6usijARTvLbq6UZUP9Lul3P+BIQA7pZ9iDM50jQ8cp7y9TI6tuBZDIkYv6Bn9UF5
5E0fb9wvSOkvODCbn6etdp5QWWL6/x7FokVHLkgKJEvjk0/lxOMKh0jAKVy5/9u3noZjcqsvfYq2
yAqAfYNSRiG0uCINEv30UVMqWl69z6dvty2HINnNTJ6pQ6odWeK9MJPgVy7PZaIc0BEzGEcxDXIw
GFyhQQfyIMcaB5e119lZLiO470Or4nUw7T6oek0SCOh31xy2rYzjqqV5HqwEv89IuOxxEKr5MkNr
NzsbmcpMZ7qk8JdPqh8SM9oSie/67/wsNToJxe0dmdduRjakEJ3T6Ju0qfdmavvv+ZA0J+rgLPi1
Bs8xUHuXmLVDiFy4Irp+sXckFvQVpdhkHnPZhwPae0N0jTQhDAPo+UWo6/MkfrabBvmkRrDSj2fl
plFp31e+48hrrwMSvoutlbXMHKGyUk4IKsOjH0MzJBiu3AwFADYsNxVhFKPvF6iI5EnX0TtgeWvp
i8tvSIrx7015wCGcLWKkKImAUr+cmPAqhF0heh/I+dobcSFrT9/jJI0VwXffVMozItNbWY1jnFZ+
9FRoHnQZL6kRK7iQYHe0WU0yoSAxkoF9DGV+oAVYzCj6kQyxrlLL8BoxJTzUIYjz1U5XV718j1xM
PNKhjRDD48uq4ZXgmiQ2P7D0HrgOd6pmRpx66/hPODZhO3ggpQ3tIsrUd/boo0rLfIHd4kykEhue
7ijUvHnNgARtgaC1GuWXpsi6mDDdvjUqjz5gZFJW+vqcKzbbYEYYRq+o23Fobh+QnY8quhGZWrd1
ppn7uHipmR2+cK3k1ddzqhfH79p2MaaGG1jgXuwI2OI1s2Oo3M9paJFeQ75m4PAm7OmnFqI08riS
uyQrnTi+sQygaDANd+fsKMQG2hjzJq7hvI2btmn2jkh/kkEcgJKPZkhzU+C4f2BnZBdQc51hgUEz
v6YhFF9Q/ZVwDoDqqgkvmE9S5JunTOnU+6D1Oj15mpcbGXjOjIqft/CoVUzO+QDt0Pjs2I0ls26C
McJmLK4CFouYVtl6ghy4tr3zydLE5Jrjd/1CXQ3rnFLdVuoIGZR7wRwljD67B/QCil9hEvoBfx3S
Ui2svHC3q1FXREQTtX32ph2EQQGsv0WHcx58cLVGzLQ3At54P5FOg1+qtwBQ5WQNZMSnJU/VzScO
irdJ1WreLx/zrcvm7dxz3+5JRAmuK9J4/2PlQo1XZ0ahixj8hYsf5+/FvXNKuqp7w4Vwgh36X32D
yCr/uGJ1uHAFZGwUhTEZghH5nq0C6Vg7bYYRm2ages1jAbobh+loPnxoEUgmFjwk8ZQmBkDCEPwu
7DLXvUymPiBsouVwu4CMc9cxZavJeFWQoV329ROEO/dRO6nHHFiAqgIvXcxFtN7VXsttvqNp7URH
7rf3EcPM4XNqxeNA+fK2q21Gzas5yYpeEH5ZT9NomFEIbIp422dwFGEF62XfwW1E97PJlSpYI/nM
4wsT3PCPVVmaso/XCaYLonNXkjifIe5ATv/c3dVcPvVIRXXuc9eGPFDLbqc48E68ZDjhZH2vfT94
zoAMZgL/2w4BaT4hde5CEztDPYMT2NfJuPDhMRpOMehe72wxxAQhjXya53mBLVldH+djvB/ij6I8
Vz+TQ5io764TV+Zp31w3SoTi3G9V7zNb/IGPD8dDkNBREzr1Lz07qnrnHE2/fkUNnxquI+PO3l82
BIhl2nwL3cs6fUb6muHmbSHQ9AZZTgbwafm27sjfjGAzcZguzh1OKy2rrcRFDXhC73EH+UA4oTeO
d8hPfjRC746wBl54xwrbm80y/xXlmQwlXY2bA+rzfecXnUidi/9EKrDa4sQ3XR9xfxPOC1HK0W3L
4gpEuANdIROCkp0Xq6ovNv5OQvrFJYswyUjpZ7IB8A1ufYBkShPahD5Vzgg60ba0VyNXndUqyGfm
yyP1efDKKb6kqu790hAtqR3NYSIA32M7khEduP5Yr2jmHrdw7iZLEsLDQSZOz46peK0UXcWhuR+W
oPSUsWxHnG8KKQCC5OGMRWvCXdc0j/tYRxW5CQT+Eqg2dswAImAo1rZ2jypQowPF7uYHj5Qf2lWy
O3WJmL3pDBN9lkYk/IFtX26LOaVZCrQeQpWYg+Nt+UKWlo56XHDbtiXRgN2GnaFEk9/liOxte9pc
UEnNHxHWefxsfl5xdK9XRRfb5tkdJBeKCTWe12fmCY2H182mvAJgroxFPrH7Z0IYYdDgazQq3Sv6
fEPaCEgeITwq9NC4qG4uBwNVDjli3PMNgazNYGtVUG4GL2H5JeSQLPMKkNICHd9mcbfSoqGLu0sy
4qxqhXgUuUJ24t2OwHHOABdqJYBqmNzWYhVbGvfofEbuTtpSTIheYihDGR3jJyBxgcZnCqpQVbNj
omQJ2pvzeW0Fg3hvRVNTuiQEa8XGAZvcTj6g4TaqBk7oWoJ4xlFePCmGO3938xtDspT6C6F95laf
4PN6o4u3CJT1qy0fnmMCH0wNx7sgZF2/R69WE5iGBnd3i1t8wS2D8/Poh/rhYEX1YvFMjCbmsa5c
HUMIap3LfcLWcGMHs9mn7Q/xDJpD+OoqR3v8FYVI+4kgS6ByL70VIwcuOBoTJYVPSHc1Rk+KFpnc
VaXHKhWv9pbO4AsVm66cfZrFuaLLUXk7YLiSHy4cNAkdKAM7qZX4iPFI1Op068n4RFgvBMSkLvfR
BRkoX3eikGTXI16fC/DH36N/qBHYtEOz4BUZveQDkwal+ShRwt3gi8pMajGtcTfH08M6I+UzkUN/
gGZHir9xFM/u3ZslGGPK0RLdmHgQKb4MfpfDSn+vGrcxqaek0C5UsPdRq28loaBMCbN8agV2YLge
+U7RKV8Bkn0Ij/tpY6GRdW6ozNYZ1Ih01QzdwiXcdwNPA+q4/xOhI52LHs/pg+4mdMMjXV1/Z+G1
oPzR7Bo848TGbnjg0WBG0dbKftt4vvoOe6+gMX5NQNcnJAqY5dDjGOONhrZaaQrIeeh2l8V9cn2P
+JXgtkx7T1XNNHLkildBx8aKCjZMMsecnTFpOQV7D1c5T52Xjjsxi6JTNy17plVX0YS39B9o8jv7
EqF1BBvLiQ6m30SHJUDZpSr1SmtP0NDkRwWiXAYADpdcBZjUy3HTXCqLVper3v+2iJwLfLcF9dlv
l5Wh6ypJPxed1B1Gomp1+5r8TzGA1A771NMoRXLSjHw5sp+SBj4oz49fI4RWWjfTxHKogVJD01WC
znwDqawIe+PlcD02n/GtwnY5qgnTi5VQraP0+kv0VJhTK/s8W1kKa80nf+sNy8VxsqNhabr6tsAF
XihrDZZQZCg8xyWvVCbBhRKtEDVykyFwzYwK+GjexHNaAL6il9IsR8PvEz65tqnlgXsHJ423s/Gc
DXzBzzpvc6Qde/BzcxRHXEAxR4qXWDK5wxGsc6yEnnZlkiJLlWZPL3cTBZSmrfDXMvo2KU0CNSvd
gDTcd+AvPyrEIlfYMIxdfQPVfjUOx5IjcWxZHakVAonJQrjfLfzmi9c9wmNEsY8WeLBCsbIlreiE
gBmSo1RDlZm083VYbh/47EIlT7i91u51QFKVp6oP3c679mdwa0e4FzNOnckaOvYURwoUw48ULk5V
ao/lXuKluuI33EagccETPW0KWSz2AhsBffE4+LN7ft4S61NzcA8ksCooMyx4tDGFiTpofvHRqq7N
ZOjN64ncAXvZGwLs4v0EpUE1nFbyivsUtA3jzRt4XBVSl4xha7IYpSozubSEQDWrj0UcZJbd3eDB
C6aQfJwAK4xGeITzL3pWWs0ylwZEgZl+EWyusdnCbtjfFRsQ5H9G3VYb63igC3djAN1b7CtYV6O3
NU1+WqOYuf+zrB7kG/YrimwJ893VtvfMIIxzsTEWaai6zLtRmyjPQkVO6X3e9gkQ0zYK0YIAHi5c
P6yOBnNX6DE/W/5Xl0xhueFYuDE3JgbOI58nzVBuGGrAyRez8PRrKJ2ulFx20jixBVEUgAHtdGIV
dC25zo6PDSDE1W39dL5l24YacFkDvrE+Cn5IbvIaJb5dOi4Z8PXNHfLRa9w2hM1cgrgGCmjXIN9f
+GFzyfNUWLPtBXuAhnR6NZK42pyhqupDBG+ZJ40dZZROw7UPDnYqascn1X76IHCFmADExNdwonz3
KwFvTqOG5EH1tHyphNxv3PO+Cctz4F6hWUVcMhE0V/PLGByImewbMkPgfH6Y9B164idVioMVdDaI
Z13iuZDDuyn88HyoJWQrhmJTeJoZ20340+Fnxb/1uFlPoKPBsDDkt5EQFSk19O2T1YzIgyvJ3GTq
T4rlCZesij1fjngmc7tBxqV4hU3JUts8TG2WFtHI/KEJD3Q5jraQISatr5mPtRB5fiF8KqjUUOxe
R9pS9eREMFf+skOGtX+k+F2VQqrUsn5Eawe+UrCYQQih18i6JTkgA5yPeLOPjH4d0wFt4GY1w/e8
pc6nrkDfipNxkBcAqyr9rkVRk3geYQictraHz5KKyg91Mf98VBtT8L2elZaBcuHW8MZK16wRSZVK
e6o9WJCs/dGSroBscAvPMgWLkNF2w1Zw9P52WcYVTY3TVPnub2VuEzkMRmW8Mqbgaub2B2LBM1OT
l/mmlmWkL87eQXnAJOIwG5KfKtX2kSa+dj3qIUVWT/7zA4lHftyFVLadF2BEAcRWfXikLPXA6jn5
YuW09Qphx1joqOOxUAr7TIIvsOHgW5BtAb1eJo8MD55j7VUPFaY5cJi4Ro1a1p9iVlzLoV4uvZ3r
iYoykOaAYHaORJJG86nj9QFOixsXk89El/s0D4Ecu9KxuKTAYrYkYGn6loq1GCOBsy4/69fwysFj
PXN/I8Fyka/xS3Ta7bU40me957sOuMSOTuJveOmUoYa0c5sVeb/N1oChMISsbaQBaTwKwnb7JeDJ
36LmBGEyZPzeL44fMBEF00/pWi5VGhdmM4O60f5YYYaQagFaJStRIOH2sDBNiNiW3masmA/RA29L
ZIrYRxRflUa4sWk649aR8mz6wdwUVZZ1oQ2aL9/SCvTUuhvri8R4p+piDMmPnEuSaRxbY7kR/llD
Off3kg2UFhDdq5GvMiBCF+8mun9z8SOxhYN6TyC19Skon7Q31fQdN5P+theNmK1hJjYuPMNNljIq
ojYNnD50PUeugFvABU34aNzXGiQ1NC2QpXnNoelrVEVWuxPM/RIySiG5Y4WWKy1X4Gi1ojiIu74E
gz4s4/vQ2LnS7AifoUjwY5S/B0hS1NiWJsFP99I5wbmbd1WmZK6LJwyopR14m+BC6Mal9nANr6fr
azbykpJUeXF6Lpd3WsrHIg5TxoFKe726YVgSNJsdrdJtxrEQhfMXJWEWxDqC/Z4riQ+FNhX2YkSe
Iae1zvYUhM4swO8uME1ZEMtWLqu3E9HYj+R9ifsxTIZE0qA/ui+/rKjjVMSzXc4dVZX56ybJIPXC
Gof8BvtXfdLgoUvIqQ4T8hoAcm2AKxdzPGABBuRGuj9wgFs9T+ET8wR5UIGEIFGdWceC/z37yOzb
pHy7fo1Q+NZzBHHlAYrXg6bT1LEuYORx27zKYdDv4SxEdC+MtbOc80XrOPHT1UavTJ12BKWuhTyL
vNQnDns/HC8EI9Fm3+cG0q3UplSWmRFw5m0v9KgHNZDbBC3v+xXF2u7LpewyDH11G7rjntotZe9/
0R0cb9FgDDbBOacVEJDarzttnFW5yzH3sYd6buo8KaG09ffIfpVfJzY7AZl64e9YcRBy++H3UEab
0YSGxxFN6wHg7H9iHD4nwXa/r1rDKEPmV55p45w3JcW2dzasayGedhdl0bMI0+nfLTVHMKWGbf1K
IpJKFf8bkduU7A2HA93alUJLsBc6WBbXL3GAjIndeJnmhqieqsS07i2JOTDYg90Tk7GaLt8Y8TXO
h+tGXdMumPuYop/G8iDthUVQw+aIGaMDE/BuOl+et0IJILMdaW1Xjt6kLrUVSWVJKLKCp+X7eU+i
KSOOQie4KEDq0+sMJreNAAcghSZpuFXRQHAKURa+3bvWS6M6+DA0KLInjucZFuQgeqJ9ju3Hw0b5
77x3eTfCBrtK7UWv+u/E8fcDdg87L0YorS1CygI1QsC7R7GhES1NHwwhdVUfFJ1d43g30MgjBW3L
HZVydEK+UUWDg0skWdOqdkiVbksBIkjTOAvbFpiQmJnUnNaviktDw7v7IBUmKew2I7CAebGOmPac
GTqjcBqU2voJQGu+IbL4VBdRHRPH9HAORxMd8QVeGmIuYkz4aYHxJWDdt1iOVpWTzL6N8RGwinkw
ziZoSj4bfhh0cJwqB1dGAQhcTI1tWtnboDZK76flDzjcchWKzb1Jqa1a1e1DHex5My+m/Fxp0gkH
oU+9xlr7j9D4O9LOgpCEDzOsPY/6RAm9O4Xb8aMTat1qfkIU7D7ZHvDsOKqvvMwxJiSG7jtzEmI1
17MtZXZVsVa3CrnUm4So442GFkPqiWmYSrhZ4hq0/IPhZPWWo5LJMqUo6solbuuGOC0XgUEsVpzk
tbv4tRCVNnZovY4ikqqH8PRa+7JJZSIY5b4nEsnyuZtq/o171+tJlIho1r3Mjdm7uhyqshYfkzON
Ju/cgVEwoji+Gce9CJxQS05VZI/fjRrC2ASt7YI6v7Uvjzv4GCvGxBhiPFjwQ+0YY3CU5TSocFuu
/FLxo+IENX5t/SfoaIF0sd3SAZ27/g+ShAolRwg5DMZAg7dy/e2FlpOX4r2zeq4UXl/jmk1oFlXJ
Pajey0w0bFlz9BvYcDcQUSd+oLgOIMunR/79BMR+Jz8RegMqVkEXGrZRxBpg5uecJO9y0SHmnMUJ
KXhErAATKR4xst/V6JHtUihBw4JjRxXewbSkFp5x38xjCjIE2AtnTxKEDbI7vrgLcPRmpbM63U4w
1pqhCMOLNIYfNLUMaAashf4DsFMuoiAf0YJCnw4kiUGIgwM+Kj7lmN8rwxGFW8/zshw72/lQF7qa
3ELsN2HHARiC+AdfjJch4xywe0dyCbXz5aT+ER+Y/QOTPAKx9at1d9641QXSbixvYOzDkwADl8/d
UylCeZw0IU/dYQnpSusOAZDm9amIrFAwz90Rrxf5/JKApUf3YDshz0KroZrfzZg45xbyIOX5zvHv
pq3Kfk87FTux1Yb+GNzHuvBwkIx3IS5OjQtDoIt5gqGUKoxVG5MticJZN21ssiEoEiowtqJacHZa
6m9hkcrL7xpQo5aVM2Zm62LYA5Oa6hgF10vI2liyERG61d/PWTNu3XztyIbCrBX7vBfDahJiTHjD
3j1KVJQE2/OPDi3sSFcRtIeJCr59o7MtSZgnetIeGj5pBowY398HHDccajo4dEav3hSthYACRG6z
VJTkmPlgSbPxVdNoAUeYwxkMs9nj4MY3H9HCzWTQh1Oqie6msPVubVPCkbRWXPC5RDzVcNOn6onY
dME3ECf0Tz/vMwxXyMlW7O5W8D0kWDoxz2NaS/pHWxf9AIW0GxnNfgCgQaHk9+lCobhqwMEzBlFc
Q2c9Rf31RHZyCTIVKpShLmtsUZzBXdttbxsWpkUtT2RYHnXfUoB45KbTL0EEFdMwj6kPv2s6L5PJ
+DXIqOqL6vHF1Ppe/1/lh5WESNGC8cYrTZ9ehyipuU+fYvi1E/MVayTYMvcW6DgX4d/HyBZZnN/v
RQZq/d6+RRTdQPYUYd/nCNMMsF7n/peFmBzeJvoSf+1D8xSdWab2t5oEof5HyFAYOOfyx5ObSJ02
5MBMw/6MYOREfIsBHYmD12nKtJl7wJglb4Y1RtI6Tp8ul5RiM2dGvDht/Cc2sVdDurt8L12nKfAX
jyKjq2YbLr4tpzzVHBmnUJXzfbIRpBCeFKsoo79WK45EpOEuBkLRR0t9OiEqdv7ozw+JLHaZApBH
TgpcNGmljrUtMtOgubl5flB3RKSZST4Podi5HiLb/QJYhBGX1m3qE6OLugV5a5V3UjDikEM4QTUw
ux9T2KzKvdyEdFXm2c/NlGZaSZ3klcse3b+OIs0SjJHJjDt+LT1V5zBgNncG2jiGZxR77guRAFKy
QOr7Z3w2ia7cHwjCErTZPDbOyoTBfq4ljpMmCDbfqqnrELJmmeeu9OSNUJ9yDgdYs2nfcMB+2/w9
vVMAo4YFgidrcYRfgsTxfLA0Rv7bDVTPwdGhIDcco1xJpD6+paTbeV13K4FOUIkP9/nBiqPDJiPg
z2BG/YgsXWq9RAEeuctYy9QafsK5QXQVnoirRTBy8r2LzEI1KgjrqiDMurrciYN0JNJ9B2xijQcL
qwM8Mdq83M1o8gfcfhlkYs/Yg4AUdjwC2IDqmIRuA9PIMBxoTUh5BsgNXiDL8v9DrOZe9W/QC4UW
ObrOlMttuT50cWkKcJAbwQ0Wu7JK+X4ChbF6maJ1b4kflU46kXxbU6qfaoqX7+tvEg9w96pb4bmH
6KFXpcRC28RD9Z2wfTdxLWO/q2ART+oiT7ZeywnUw4VTFe7QxJWvHpD++ETfg/zfIJuvZgmTmLP5
QbTzsidUSK5UT2vjLIHXDQpCWtj5Kp9HPFBVRN50Q2CW8E394urrrs5Vc2pxiH7xfY1v5O7TI2FM
gkLr3yG+yXNacu7bsHT+6Y/ryJrkkRor11gGLdLLFuSffe//smAjid4Gp91BRA8LJ3q6DX+2+pjL
dc1GaDNRhDkExNxDlXv43CerW5n/IbeOiReCWRT2kf4hQeYE5uqrNHcI0G7e7hBTnpi/QtMj3l/D
gi9X+rJkPx+07OPff/XI9EPGs/HPLRCezEG5ysjJQZZRlgR2VyjzPsCaOg4Z7NmmbLv6uuPTeTqP
HkJe5ru5xeAn4crRsnuwpOFhA6+Ase3VrMQpv04dq10NSn4nS9WJ7Elk4nbbfegYkG/iwJYEXY+v
w3bfqO719AV4LuMbmBo7HkxFrt649U8d29yiAxhIlU0NdM6DoWTwAw9glvVXd0PMWDD05l5ypqSw
EscbumI7zVzYWEh7pJUhmG3WBkiHu3Sn4DiJzPItrHeD0f7v91y4xnmhLoGvmfevBQVzCVyZ2P22
iTEBwDlBi3fSTHRfFH4qtnsYOQzTz582F/eC1/UjGtBbemuazjGtphZz3xjpTLuqN8zW2R+JiCOL
9sBZgRXLZXD+T4YU+k4AZBkWVCrtrp3VM2+Re/fFuFXuVm3Hl7s7gBiC8KOEF5jYuqYu+/xeMrIx
vv0vkSLF7EhG9ArIQwRSxRBrQGKxSLRCP9tCpVd0ir0Cu2fJxbWT//iF48CP8uqYKGuu+Xd8OX8P
94TgT0MOcww5EdTAo52kLAioS2imN4Bgzfrvd8uUu02iAk3MDHm1i2CD6XdDwGqoYqEtRi1hzClC
DngdCnDFXA229yjsjd3nHMB1t56zqG4FsAbAN0KERInqbGmEf6HWfnVqTDMdsgvJp2oaNiNWJgOC
qEIq/6qk6/TVjII2jkGVl05i1cr0dyBggABFTKaEeUqiIduW2v8kQEkdkWeEzmSdz6tEdmBs0pc7
n1fOtEyeBzVP3YxNGmRekVEDeK7Qy+PH6cotZiUcXpc+W59IWA8olus110BmjrEHUGA2ZzHyDnxC
J9cQB83wOuKogkXcekTafTppOQBK5qm17RDlG/AbGkpXtxa2Ek0RqIfzIEteBT5MYX7mA0loJiuQ
sguriBfvFfL4MUIi0GZOpJQ1qW8X87HXlSlcgYJT9c5xw/R1ZWpvNkIolKKTJ5JjK1gTaX0wJXL5
FyYE1XLcNzLOdTpVPfUXBqpxiCeMQx9WorIaC0sZJXlex4UPjJNFLgUVThVuI+h/xi+18x67fw52
Wsn0iGKg/k4//EK+Ja2CktgQPXIo7DtwEegnUJCH0hhL67mDL2qXVv1EvhioaNZ8ZOeN6rfkG8c4
KaQZXZeiTnfvFzQ7NAcRZnNVKnlONuyqlGUZYdWaG4I407Js773xMn3rdQg3fjj6UFZS6kZeOzHu
EROvr7hLwW4Zm/lyrXkVEmIsvCO/j8/MdYitzd2A/sUfp1pEYZzHFRDZ90BJZG+Wc3BzYszh+uGP
zHbHkTGn5CVBgHU9CCkME7shX9jxLBRYycYEDYg1zeHx9RQha0Swbu4UsiupGCyYhkZ7EsJtJ/vi
7gtNVajSeNcxNnfLV9mQGqgN66XXW9k1YjWTA9GH8C9jfZA57yoU+cbFJh57l721HM8dYBo6jMsU
1xZLx5YrLzJDfqiopZ7ZIDa4y7JKcc3FbUpqn2/l/dxPxWg6cbCEMlSwxd4KTvreS+d4iSuA5BB0
nM3jrQwnMyYHeXye4YD0oTHL7ligLz2MxSacN+EnflR80RDwZzbeCMhA6yclZtK7QQnZKArNFFO8
/Rh9/HVYGpegyv4U3lOmW5UDlC+sli61bIdZ4Wf5YZizEkHsaUCJqdHNILPfxDKGwPBGg7jzU7L5
C3tIoGelnTyHvWkxHksSuiLoGyS7lejelqE10ufOoaa6kJd9XqjzFMwHsSZsH/BJH7qg+hirpxBw
ZslmmFtbHW96soEtFkjmDeMeHrgJ8Vmkzz5NSkvauCy6PeXKs1Z7c/adngBMnr3+Ot3JfJo7kq8j
7hm4/sXodo9ClIGm8/TrWhkfXrCBs7g+4ZAPVp9imZKMiDw+5bLvUPwOHfglRQ2V32+CG3FCfF18
h8LbUbPVcFP2iMGFJbG+zSerbZX5soFEUfteCXON3DQbdsL1LiZNbtKuXMij1Xig1v/8zX1sHVCM
rImXxhjFVVK0rrDkgIMMoVAevmxeWfQHvOi9DhQNSi/ggY6+ctwSA+D2dyus5/k+Ci6tjWNujNiQ
mXmsqtkhVpii6ZwhmT5DGAvyI0dfOsUwGWWxuqFlcr+75Y40KzK5yZ667xj2GXwvgf0c1B5IjUtM
Nms/BiKZAqBc2+M0pxgeimQJO2KhCbR7sM8hqK0ce1gLnBXuY9i4UjdV+VbCQgv8kcKRLVIjoGjX
P26ZPK+C4X4d3RefLV4nOTZ/cWD6nw52nxqqlmGmi/OveQHG59RgtErS0ZNYKExA4/WUzjbcvOmE
wQTQ+r2gFBG6ImgKj1rOO/TRhjLJarZwaYdKgM00GdF68ROBAOl10W2kfvg4JJ6Y17z9Wf9cf9hu
miHE3lHV9Q9v6TCIMzUuGwDtEh4eX3l0xR712tmOaDVXCX75S579a3WtP9f2/bLZmQQ/x/2ZxXOF
dP9kJtb9QJoFRFznTeAz9+OGp4tp8hS3rGz9sn3XAmXJ6oRwZ2dYwwRzATrB71PlRiCdJKUuQ9fo
tRuD57VDHR/Zrhx7Lf0POpUkLDQIGeMvh+Wx1ntUCbMZLw5l022gaaJLflcoIh9bxqhcVI0ef7R+
ZYKQBT4SluyzXoaztuqeesVXHcpqMjdv8cFk7TD5Aal300+boSaB37xb8C/mgQt/Ar/06iDQN0lv
IBKpnTF0b3voYN4KxCbRGomBB1ErtLC9sUn+V/xMEn1eTAJVqqYJYbLhCSQWaY/BY5ges67CLz22
N3VzIJPdWIzp2eN63h9LmUc7XTkv7GXscWaYBrirYgjBcTGawaJXLBGzVOxy/y6cA77105kS81Df
N09FjSFBGNWEaRBGqHZtd9lg7EvGHAx9AzRugtat8Ibm+YLBRDBNrd2xIniOcMJMPk87UbvyI3uP
UD9O8x50B+egO12VVZrz2+j10baOa0OuptQ+DYr4Ss/Wtt3hY6PbmTiBWmd47eUyYYSqwiG464FX
OL/dM1p2C4DvmThShJOnAo8OT5TaDGt9m634EOVqK91s8eiEjUo1Kni83DKP5pE9+dqq1X+QfLqA
E8LJ3vAuXHBq7HXXMa8t9HGobf9x5arD69j7xqfuTn1EWmn0CvXwZSLSbphQJ8mA/KFHd7cuZZ1E
Rw+gQj6UD+RhqdF29NfWmE8LIC6IyKO8RSdnhKOxi7LblwHb4eJZFbEFakEH/m4cG7C0DaT2rx/0
/umeLmgxI9JCb5Y5966HXNfnNvXKhWUeQlV5GSg7uePxS+/k9t7lDOmfuHjTW65UXzyTfuLShqxi
WxohIhXWOJ1IJlWlxrr5PzujrePS8wTVo7gDNG5I6+DlU32HMf9HjRn+17Wryn9Z3m5BlSOgJ4Uu
GW7k+mvJh2AAFruVC7+7Dk7uz6FFlY0V+hyNtvbA0CrUx4kmRyqkOMAr+B7rE38zccNHaodmZFyQ
RY4CWTJDukJtcF9Z2zYEKEphwTJw4IXIkPK4FzBwu85ddUh6qmnzDCbWVaEa6sAHQycixwBKqDE+
Ecn0zhk74mKl9k6yyraxG8RtgJOEQ+A0JXaz6bWeyJ5nWnkq4yI8cZIOotpDRz6nXyBtb2bk6akt
6xoRnrF9vN8AjTpFqDyCHqf2SqIwEPcwu9/WALUGjj0QUt0/637WN8AFI214JG9LAhRrzNlclmLR
bCqm7kPHNv1etnKRj3LH251YkdKLFbVMk7FQW8N9zfBUPuq4MyjcQiEnP2s5CgUM9QKucRM8rzpc
JEzyp/vqmAu7WKwAvJEniyMYi9NKftGos5tzHJoyhQAZL7Rqx9JVKruN8glzf2n68BaJLCr44Tov
KtfNVl9aL9UlmU+nwrBqF9DJC3B/GUYrt/hodY7cXgtUYvGKXuMVfoWo/oQ/Du4tTm34X8VCXOfK
mrxI76t45cCHG0HW3KeXwsPWIIkwHQqPEFa9Wfyk2K7M1WA09WsCna7CdJbBsY0h2j0A/q2/wpON
xFdsk/9WT3nZoo+8dG51GhWIy3alcHS7uoDqBiGx+m9oU5OZtA2NH0kgYhKSJga2YWow7hpfojas
Vjt466ppc24425rn75goelFBcztbAGo99jjUDweJ3j/6B2bJSAYRLao06vS0lBrEqBHS21/E1unk
qEv3dfa9iQFvUY8shdv2ApSpy676mq594tafzx82FYLiLvCICT67uv+KPLFxLc4zKZ09GdlKI7Oq
RTjwo+OMcU6YFauGv02bvO3OYQ5dpGrrGbaI1bc+upxItLENa2fzmyRxFl7enimet4qtPSgBOG6c
qAfMIBY0/M9klxvlmHnYFLbOHNC3XNqOdTqcy6gC+5Zqx4iac8qFoUauFmWSI14Peeh/DgZVFe28
VfK7FeVEv2wtiReS1moA5PZa9gLqkAJ4iZMnatVv0Fx5xwfBkbBt73/vEzoOZMfAYT2jVWU7j9th
G3elKtqDMSzEZKV5qa3O8Lw7RTQXCGylqMa4vcKZjr8deuWJr3d0Prx1MBcJyO84eT0s//gLMSpr
v7aw3NbscK1KZ991nr20DUgS5sQysx0/sadxqLCjyUAwUe9mUf/a1sysm1kXuWFBhTfyrgQ7IZHA
hXGKMvEKl17wTq//0KcyzQtOu0nHzLUkN/f1Vdh7F+Ti5cJ+iaPj023RuNhAQzLv+61658Et6JZE
blfZPdrlbrW6JT9t1z8NB+dW5D5QIFgqixD7817HSmpJ+WlbCYVFEpZXrGg5XAJWHVQToLGQgacV
xSE74NS7ZCXlcYYApMBqjw2KOkQUSTcNkyUnkPZxHi25Zhwavh57sVscGOPmYBAOTxZV2HTE0+dQ
BL4UJzdHaVqs13kSiy2Jc9xmUSvwHWwvIg9dx0RVGbZxlwQLCmOgCfmj4NNVH3+JepWakSdJ+vJ5
C8hFgmqWkLgkTWl65CQGSxhbx9NUNk+NdiaLTHb+Kzi7TTq9zoETzsmd043R5tZ+3r+dxhE5OWjL
FGwy3pSI3PXUSvTxYmQni8lsjS3XBUjdPUpPKpifTBIF3JormGcJUAxzz9MdrjRosYFxHz6wp2Dp
+jHCANgYZTXdNaNKekrdVf7292aHyp5DsMG0+MVRT6tjQB/2aiZXZQeV2aLzBfquw1ZRvjC4Cfb5
4yz/6RLvsMRDb5faiZGD1LFA/XHklt5Klz4H+IFETVcogjhBp+BY2zYXSWDMwoTzXaQOAjp9e7E+
iOYOCo9tLymgFgieRprRZjL4/jCxlofPGXcv5W11yEsoP8P+9OnkpdB+xWdFy7aKAiufsxvI+orm
8x3rLBRRsKyMLwKSjHp3Ty3xd72r11v2WzBKLCFRauTJzh5cH2scl18RM0joT7+vz3Zf2JNoW1vD
TCED5PdQMTfEtqW4UFhl4gVgrG/2nnrEJAgxZcscJOXeCyU4iujeDBqO4rfjv5S2x5tpJXJwlyuk
NNo51AQ8Ij78HcwTzPAqxQDGea576rdGBKIBkfFoPB8EM8AbZyhLk9joieGuMlLxH0vw123+8wZf
z8BswBBnITRa+m8mady0+pnaNPe6ATapKuquBjxZR0F6fOkUgJhfqa/R9cuiGULQPL+lmJ8CcIvA
If5uuDTaM6FRnicB1wfNaqHl1AvojnrLIJ0qnBxdEWGP5lKV8STv1zH1MKR/7g9r8dmMqwTTefBO
3cAftgbIBNxpGMIbwq1cs41FTkwzrsRiH5vxWg4Gux63Ni6sXkk4wEepfXQ4NraFxulhIfWb0uka
g142u/7oUNQDhnPMoSzk7UdeyZfT8JOBFF5DJTJG/bVFABJEO/DGRs4FAooi+44iyr/0NKw7GOFw
eNTuZjMQtyzxWB/XGaDfYwNhgvMkincw3ck84EHqolFOMOKf2G8neLPdPCETA+aBap7DIf4YaTDH
oi6p7upYBl8y8/GQlr5ffzDpXf7TcXfZNxagz3RTsGLXfiqi3ueI0OgksittcNqchBqcknjZnIJm
5Bd7TZfMig6IfMMUXEVs+OaSvwWk3PQviaywL37rtjmUoahqQ4/GWg65GYHDgqZOeHZDzihtkJzf
DQ3qSADd3JrgZbG2XkejoKlAU9r/81OQnS4OAGJ3GeeeeOM4OmL/WXp5UtW3zlWeXtl27aab2DGo
vO6tsKt5k4Ck/gk+oua15b2CCWTZzpEPZXKApDj4+Eku2dpp5LXl2/qO+r/I/+Atg06USSNVXWzO
JJFTnyCFk2q/CElzU4eCeH+LjCcC/duHJnCUoqJQXKqfJXt02q01wWiY/870GjW6QuNlr97zBHPP
zlsnwIKV4hRpldnUMwh2nK61uDv3fro7H1tuvR957eCIyagVww/Oueqy95B/x00Uu0Nv/cKB6K9e
9mXd7xPgICNkTz/+8VkWbljn/WHc4EAyqIs+9OIb7Ypniv2dKxe4k/RU2ebEMfAvZzxUSyz7K/wP
anQQvZyhmb4zE8xuoW9u0y0vRZQ6v/niljW6wU709idXrMInZ8ekhxc81+n65l/3UHOmT6CDV4b7
QWi/IMSIK70qmI64MDACljaBEB65F5OIeMwzJ5zUkTyVWtk3bOko0erh0G0aPILcfuWITsoB9NK1
o8ry96ydgvB2r+m6ZSn6lE+WBMp170nZ5Ne3g/8ahHgV1FW7Q/UBfzbqHCFHC4cYZENkt1J8NYHU
yE3pace7+nNFCU46p9YHMblWHF/nvUaDPveiRR0au3nH+C2cwA4fC+GdcPEVGz7/WYbw0V0SGvNS
beV9+Qe6UCLoAyAH4sk3fj61o5J95MNzDh1z9RZOdzY4PLAZHrnCQOvESSHZCA8QQIWwfkwJpmIV
u9gaGGbS37IiAHzyv1m4g3ka03DCdVpS1KPThwEtc5gyc8b4Irrvu0vUivnnkz8gXCq3HskjboH9
X0I95bhnEaQpjfYvuU9xdNuytz2EbvwXMHjQYmrHs28skodJleCytwuW/CjUbeSvLCRUOhwk/gBu
tmJqFEFiXOOHTwAhPIUJCU1IDT26uWZEoO4TiwXeJ6kTdZaRwRWgFX1MeokaZVX/oPPQUqAcgwMs
kFUlqSX7Z/9iUagzTukhTzRFFLUNacllZ4bi4rtdpkfqeXMfwokhaLSLWi4f79WjJsy1jd94gl5D
lzjc9da4pBYqwPFPz2jIQohXblMkNlvl0cEH0sn4+KWTiGEPuJOkffzaBVIeTs3BJhJZ2x2G2Tfe
xL5slx1DGuA0h1DYBnW83qGZOptKrdpramXs1jlwldh0Kzr51Wv+nTO9Bjt8QstDTZlFuVVvE0xU
rmhlHItt1LEt+u9mQXCPBB/2HVCCEJ29hNVjq3cKJAmEqPtcGSUuk+SoUjj6eFQDcGaGfP6KlMRR
xZFTB/F6hk8MEqh96F0zip/O2EMhlv/ymBap1/YQcYkZg5lEQJwo4LfnjIAlBH0E1NH3MKJ17YNL
JeWChmAabnW+W0U43bgbby3x5d3jUNYQX0yXPXPCa7VimspkgyPZATIOGgU7OSreP+hB8dLzivSw
/cBWPOz+j6M32J/moyMcGveTnShb5U5JVIHbi7QtEvUtzw5op2Y0mO4usLyw076z6Ug8whtTrDW2
7XVl+a3qPW1FD33GC2nYsVXRLLwLANfUtzaI1aQBDZrSXKqHYmukwgU4qrN2JtFziFLx4WU8Opll
g2PWfQaxuSfFVJl3e+wdSVcd/A1siUFttjiwv1obRmpLwbYrMkrogasogptKCMx4zh1ZHsJ0iIE9
W5ZIYZxRP2W574M5MSMIQbv+rW7+v2tKb4kqBhcVFMHy4CtWgyBhT5T7LenOFUCnQkFTBV/pm3x0
2yVIjQSLei9MPP0TeTFt9DcjYX6d9KoX7/ZfMQt2DOHgVwarZ5DPznJxzeA5z2M7N0LCwiHeHfgV
6g/mmEMOslTmFw3dYSFH4PGzWqgSyP0AfT7RqZJkWhtxgAkaIPz4oRfWGaILFKsclm6RdJBIpm2c
AfhwtJLBEf5nMFHEHKJ8utIxp3WtiMxEHoJnuZ/670xhbsUF7vJ0kcESZOgrcguEI9wBF35dhLEg
0m1Z26FAtOAE4s9q7vCv9fR4EZpCGMFh0yVevLVnztKSkuzbRFyPex5lWle3Jimk+7dpbihxg4br
zdvhTJu5CdrgTLty/6g+UAvhEwlbYkUnixWZnv5SJbIVplNiMfD71BNM44B0Y9A9pR9E3F4RIu6W
DBW3a9pI1DfTfJxqvdhHCQNbBYsXPwcUeQnpWJpgQyNDETINTDlKtcDh/rdQJ4TkRyY2uqj5kGFx
yBK1tmzVCOVD1nTpZf+HsQd196t82kJai8zvpjPyRABLEg3b7rh6Td0yWgYHqcAR5UYk3U39LEp7
VI4tKugMp7OHqFhteICTSfSXQ9FxMIDpsWbZ44IKDhOJXFnmhzJmN3CTakREGjkNQrEKOsA34Baw
tYqe+dJSegXLNHF/XlBhB8ax/dBj5xdJAQcA+50T+Fr71i2PLOb8Gbh6NNiL+KGGvDK1EJBW1lOe
/TjhYokrGG41D0X8F+/0GFY6O2R5JxTBd79sXujiC2asA2pPo+fbMl0oqraznNUlo81yeaHB6FWx
1LPcHZZPgd21mCWFfzzK+s29VUEimU9KeBszsVFGsaWA/3gPhmGqJwKrQBq8e8h5Kph4QvRplDFw
lVu1KN740jbKwICOnC9lLFmnthkAQ29PN/PgJ3Y2csYN/HCoR1By+hqmccSxhrpJO8EF2iosldVr
w4+QiGd9IyQykukvYW0OwlNZovC/25YHnJF9tZwt6+Vp7/tDv+BUtfgPP9xCZzTCqDBh6IbrK5zY
6R8+FQXljX+YlOLqfOCjvR3or9l4ROWg+DYJAz73YPHE+jwjGb45/WgI6hol91+7YdVxbFZzL4tb
/s15LYHDumrphNndyZ/uBrnRFsWfiatzI45CT7BfKWniYqDHOxgxchjYQRvEjrav8BjglR5KyJ4c
6LoD4vqwYN/BtKdCT2VxQCnE0RIRDLyZQEoWLN3CMlI+b79Iw9onHwZ7GmtBjuqpT8lHSWDVwVxb
isI4m+THw9qosrG1u+huv/HFYhHsIAGm0j8eBD1bErbgOWGW9yupupDgkVY7CM3nWTAf6RqUDfJt
yQUWdnfGQemUC+pO35l7HQGdxb+ZKgKmCW5pVlSREHI+mm5hio8C9wGeHMZruMb0LMeW4qdLmUvT
7VUylrmnh0mLAMD1guvBioNwUEhCvrgAQP5orTN0buxPAiLrt45lUsLZP7Af2taj96LHXSyaoRb+
5g3ZM2evVNqOXHRwQ5MIlJGVFYg+k9A+5xL5HRS1F2ONQXHnVRZzNOWE3FYEXcOOO8OMFSgzeUVX
yxRKkYjlAiOSAFpXmpQ9nCfSc4fvajrIvv0l5pBID+gZd7+hZoK1b87rVCkN0ccuKzRtbYeT3wOR
3HQpwgAhXgMps4C/LMfcckaErhmt7/yc8vBjDDMCarEmTcZE+14Z83xJEraGv+cV5Lyo/ae/UFVj
YjJJvyIwTpXJAp6CXJqZ96sKppXfE/2c8Rxl68MRSDKbfKbRFraRvSNx/AiU2aY6nxm7PKYSsBmK
ownXjQCDvXfEuqi0iLxc++J1YIe+mGcctV3Apa6/dT0G/5QOhjI8WtgKQJ+qppOLvU1NfC6gj/5H
O5efn4C/rFxmkKdAXyToYH9vn7O1FQAZsMszrg7E7IcNmFaF9mla6G3tmt8r85N/waB2xxrPUgX8
syFN49mwx+K4wEBvqwY7MBkgQlyqSuRxot70qfrqyQBz3C7//EM5mpIkVeMXgahrkj7Ng8batYVl
Ca0Va147ceQYpYv3uLYYOg93aaA9BmOHuL0RNstn44FqUHa6GgLw2B4k7WeV6X9hHCDgJNFA1HX+
4bJOs8KzBc0YuV1aUSqlDXfx7KsBSE7u3mFqB5xYHWtK69bQj4SdPrChSq2oF+jRI5/ItiWFTqxR
g0y6PYvDgl/symxcoAMEKiI0/RQL5orLUz/W4bYmvOb6Jyj8BYEGSYcVtq7ChdZ4T1iBIUD4itaw
HgNBAntrPDbkE9yE7Moa+mfa0s2U8LELpZ5PFN30kWLpNZvP23fCvhuFBVU7XX3fTGbO3YPClRvx
A3+RnNkYq9Dw2ax6a2hP06nLx0u9qFfZGKmiDMNX0TkhXv8h0ArkRo4Byroh8lK3lfprDaiQrpae
ic58/V37kX9TVSRQyewxnZsIxpEe9UtRKKOVbM1VQ4D/U+7+1sAsc3wua04T/YotpuLhmcEzNi/5
NC8rhHzct9S30pfsRyAbyZr7e9RvZa64ycU/ruLaS01nZlCulDDM7lwkeqtMgSrZmGhKmTzZH6cF
qwS7E1vashqEeTPQwurn0Tvoq7o7xVIx74w9zojkXPvCc0ZDl12L9Zx/jCaj7PSsEeoc6jMsoTBc
/EDj5rI7L/1wRtD4KAEl2401iQ6sjkDhZYnj9csgulbWXgsJFbMNRu3DydJ7WNj2sOQHuISEOApW
kMJsmygVVEWTJiD1OK4bQSQ/LypX9OVTrXPLfaws1gHlR3M5TKmvuz6XIKb5BZtjveu0iKVvPtm+
hsPf71hPIKDWaBOfN0mRIwaMRiJ4x5VSS8Gp54plgm5aiRgW49Eb2jk56a+b1PCulXxGkUMM5PrI
uaB0CRBVh+ggGClev9TVe/j7EbKLSGjcTfDAZ42UvRjsEAq48cI4JXHcTJveAkYs4bIj2WL7waYM
ZxaqzIf1lsq2PR11Cu2DtSSGzwIm94SnYskDvuqAWX7HMg6jn8KttsMPKvtJFV/R/aapXOE5y4J9
Cv8MueT/hM//8xtBH69+1X32RUTYOM01J1uFjiCHUrR25vBtGCfwHlr+24ZTfdf2qkV7+Ig6e2uX
glAGdJ+/rjYU1sjZbWJXmLQSim14k9Ac8RnOBQpK+VZPVNYttctDbo24bG163jPpApEGuHoSvgZz
EoKfyeaUyeENhQY06/rXxq0Kd67ZvfN4hlmfDH02yn/9wjhD+tiyr0hcYByAhaY7zLUq1Otm4qYZ
J9BdeE+WMRpQXTDFaB+W+lFmG7iuQtCRvNwOcC52kDZ+SHZD4bwWU8OEGFyRmQ5otpxxoFoQVSQH
Xvnk7z0Wcm96OhYpJcHoUbiJyL7KCXsdSUxigM5xauf2vcVfRroctBj+H/NGULgk4QhxO7PDgGFn
JJoAoxxgyDKRfEHUINs6L1WyHVIMCbCMAaw7OhjXJZU5oBgfuj4E5nRTbvKuqSRtEYsgKntwbEgU
p+QdpzklOi/0alsLwFUuPbW/su2tW6lkyYcC/JbR53F+oYJen48zFJSJNSEykLt7YmppMhHF/Vcd
7ZiiDscCl1JhSJ7DIlagK9/9kZ/WDzimi7oVrWgms2G23/iX0jwKBxdbh+E9v4Ntj+/4/srp3ogP
QNDgy0EOE9kUqBaBYDBrSFQOfyhIR6qj7ISYSRueBa1bLnLzojKqOd6nbXW27XUZ5W9JWQ8eU6Ki
E6QEUeH39LlEcRqkNb1ADBwmnnxK0QyndBC9mouRztOZlHzjBm8uRGkRtMx/5y4ZFaUOQJ8JQFxA
7HThw1GTcIjxTgncUIvWb86VELwW9GPtEK+zsH5QvkLzQbhRqexqwlzLzxVxtPdGfPwbJIU8ZJp9
pInqWehRyTlmUEpcbli35b+xbaxBD8ACAlMSc4y3gw5vgGN4IzvNHj4X87aolZlb1SbIbLy5aSou
rFtSN4HS51U5pt9iuEbQ9DwEfKCPzj+GWPRome2Oe+z6FcioB96BalswZ5almjdROQG4FLcG1A0A
5BIViz3pqd2nKrRbi8HOkTq+XVh/K1WKavJuQi74HtAXJ9Lm05FPubK86AwzFtJ0BvbaK12CIU16
aEqrdIkzPDQbO8BgzRjervT+iVSTLfEysgQS4t70pluev1xrpqI6WyR+ZtJdkeD47kcESTmmALg7
ufUu56gwIJQhbv4RQk/jeMXAXbxKCn9q/+HE+/LAo4wtIrFCiHYDKuR886dZ+h7YXB9B/E2waOPR
jEH2KMOjNWwTdlFugaAp9xJjxII4a+kjpflk3NoVjrgo603fN3X2UXYeZZ+guSYvPPV3wz/Re6mS
LY9e37OwBEAJXBLWmqiGJYXwSXsP1MyUkpJwqenXEGxWAcJ9ROJaqbBz2l0u6wkR0kYaVtfpY2vv
D6i5USCDlc2IWlRoykJxEi6ysvxSvJCSNJZYWLVemFg3OMJjTVmgfqFxVvgZTHX+bCJKPdjUK0Cz
T07ePYasFtaE4B0Kfv70zF/up5C7j2MpMWl37r2prZo6FieUR2PonI+th3m4ssQxr/owHpdnKwx5
HP/17B73/T4xtu0RIeeBM6zIclgIwIXn7xHiqbnGxGHvrATTqtLTYf69yCrqB0Ol9gEnd4E/6Qcl
V4IdNgzmkxUVpdZmX0R6JnBJ/gWoysIBrSxmB1xyY1sqVEPeNJUZT85Lc8GhmuLDwBt2IXZnfia7
o3mfPWAGnb569XqCkYuWJYUK1fucCnDIJW3aGH39jDtroSOXZsxZhn3cj2Pnjqo8jTRn1aJdU6Af
/QG+9eSidQEpxleCAuhqb8qP5BN0ZBxJvPvohXSGxz3387xPAR70Xd05Bri5+zGdFCVdKWhc33Dh
ogszdt8+3YX/qffCUhJUnvSiAjcPO2SDskxkIfVGlTxR9IkkdnVibXIZ5mAC6aE06HXdjjQAmLU8
8yuwQL5i7b8BuLb8ig2L/5Fy3wYMOnRzzc5+XrJxBUNgcAljxX+wxuZkil3gxfJvQoHbQE7LkIxF
OufsAzpdUopYFOvv+/aYmyFOg3YKx16ajUh2JooBHZroGwuEQLFLgcDBZmDhc+43URte9/+98Wwk
POY3fLnPs7kCiSnRedQ3ej+SAdajxjOk/E8/SfLrAqxxQnBYB7KADw/seCozYL91FraZU2xCGvBf
ioA6uI/1IToOw1fjIa12eTiOLOGGF16mWqPzLsVZvBadZRdvGpwwnl4EYz5ZAueUxfINrNueAK3G
NThxKYiHoBcgQofvJY5O5w74VxzevhVRnFQDvtLs1UNBPgUt0bR5rppOgCbmzyN5RrTYWGxFpJ8X
FzuAW1hfQr35Vm2e8GZVEYf77oB/l3+VnF/OxON13OcY1Kf1mHMJYGGJiMDVW7ICZdYMIR+Zp776
ssPMNXzmDP8H/rnE/sKEQlwcyERqMgrqh7Rr4TeeQ/FrmulRHH7McgdQA/JaUi6g1p/QCqsNSqGk
6DYb7YF0GaT0IFvJdvWFLfjL65xwNaKELCcelfqYI8tM+AFaErRsIoHG359/9LRj5i5ARz0PcJcy
8TydnKS2T7GQm0AJ3jixvMUtwd4KMTaHPysgQsGeTtT9vu5ZQHxaowhSJSPea4kyKRPRCEAGyvVB
M7ejyqaT6+HWA/oelyidYJKNm75Kyp9MKWt63y0s1Oic0bv5ciKIP1URqj/EPxFy9TwQ8tVvEdjK
Rt1sBKuXXTxJlF+5SF/QQf+zXgxn4h+tvWsMOs0lBaJut7XCM8uS7yNxCFZd1sZC4EC2m85Di93K
UNa8+YSpLVzpL2QrZRSFDhaip/5n9SRiFmtv6hsAMNbyg5KdqlEeMGzOEJ5EsexncSO8EpWTqWfF
8AsmkdM+pEXlNzyCYBW9Akn/JwZ2OtJJrPJyB0g9PYygiKuq2OKKTTtUFGgGlQP1bYo4dSKb9IEH
tcyCI9MAZZsAMRKs1aSOqjo8E8b9vexx0TN8CikMXkqTmB9e2qasc80S7kw2Ya6+rXdgt9RBWr9Q
pq+fhEZcv9AZ6dlKi0UABNfXCQB8o5JGzHrkeqTGq4wJmEWZX9W/hhURnxMoralPWcK4Ma9wejc3
rfFFgWGyh8o/wAD0MjNvSz1E2AtD9++wvaZ4oh3fHXElyyBLd1YDcjWYuLzq2pHR4FIdnE4fqKiN
MV8IoD3xtzkiuV0SwyjGXU6OmypT4EKYGQ5mOcPznixYNs2rg8bZXDKFKJRMqRwe0zREioKw9Ula
lvG0npzEe8XIipIXSMmgtKgc1TrmVjgPq5ikLcHymkC9V06Xl59bfYSg7Qo8UCqxV0vyD7a/AR5e
wtX7kE++adIlV2AnXi7egpY7iF791A5jSpQ3gQ0JOut4DA7DsBPwmWNuDkPee4Afeximb3Igxdi7
rwf+bs2QGh6aWMUVSSv72vNPi3O8h9C+kLZKnLPDCrVHvcASyFZiqqLepM/RFSbPkUZvpSkYeF61
ZTvAZxA4efOArSbsb7+l1Jwa6Tct4QjmE3LF5nGeZARkyLh0OLXcQ2Hpwcn0iBrj67xvONuU0jW5
CwYjzibsxHhFgibH9Fp+li52ND0dfglsSMLX6MT1wE3x3xqL6ZLxgo2hj8nWqGLbna1lho2IBp87
GbLhLiFdk3nif7oLqkXdwiXzTRNUOhTixxYR68QgbF8G3wL+R89eQgZUZs19Ta98mhDtgg+mzb2Q
9tbLqiBeuTKS5exzr7AWnxwl+w92NpMBx7Kfe62PwtK0UbHES7Y9ZhtYjGAaKIlgCG6aXKfEOtWK
gGQtm3oVD9dH9oOD9KfJIMhjceiOoFOBYEBVgXLDAMoJ6IvECNXQ9B2rYfohANzVP7SOuAzjy2Lc
W09ub/y4ANRMVB5bBtEGSfZxAqcwz1oPbLVaRpv7wuq+ioL+WuQ4XmSj+1BDIhNIcBk0L6bsiKlb
7REBM26xPeJN3WINxd2nPB4IHNXwMpTWL6xkNyLiY6dBjmx5wLC8ejwkkTPBralmjzYhZD6Q6OUF
8ORdbj+TA+z1y2gGAVHJyUg1SAfAaAZ5YhqFDPx252ItIrJG2KSIziwIXhHgAlyZGPqEAyGSlFoO
ME82yr+FZmek5uPSirRggxdivryPoQ+0sXDpNWYyqtHqqLWynnbHoUfc1OgfrsduJcSF/HytyBkz
UV0k7qNKTj9ZOqkui6WaLYtZM0/k7MfL9R0Katjm3LTUqiSlAGzEYUTZQfLRDeR0ZU9vXu/w3zh4
iV+0EZjk5ZUpKwPJftG9hyP1yIL6nw9/24RsP+Ht6gfqhlb2YrEbSFgse4pgBIaGFppIY38Iu9hS
CbQdSyuaeetw5CRIbRYgIv//YzOK+GVQwDaXii8hCwCGtCLswT/DyTbP6YvUyIyjB3B3qiDb+ycC
/4P7s8f7XEtQnveoJK20dLd7d7gKGfIyb1x1SRFTi1MYo2Bo8XwzmlChbSegn1QniSLoFrt0+yoU
ID7p4bMMdaTQsF3w0qdSlCRab5lKeufPwL7ODaI9e72+mm2Pzn/qlmgs4y+UygT2+PDoXf4oXbQE
a6bjoLUGBBDFHHdA1A6XHtBDZQwzjWdztJ4PCGa/k0CAC68FE4fYrZHTJCO34guqQDkN3ZmXm+rV
at3XrDYmDbPFavQrKRX7f2tQB4+tPdrfIHikQ0Qa7xX483F82ccLybT1mGycYq1pO5aFKwiU7Pn1
p22EqKi8FRR5Mr/091e0yUZOdcGhHmtHa5OAgKJSWew+M05Ygod9+HcHSMkawgLl2xQ+Czc7qfHy
AE7zh+VwPUF8wc5eo+Ua5Ph1uhQI2qkx1BWKrjGzyJXalPI9biPrAHauJsmLvrbWC1y0ET6v3GJo
/U2MEA2dDgbQetLEpsQUN0ruvbHHuUwOKIXhntxSMalvSjSZuDmtCRW3dFfAaZKWF1IewZbHXWIQ
W85NVkwUdrBJ8HiaYVX1dcBEp+7m8KjppIIaP8sl31K2MGo3OkOkxv2PdZMYGowTHnPV3Bem+PfX
alC23JMH/V7VrO1+mysxrsvo6xGs+xbACJ7CcCY6KMHot3BLMVvm3AfdqnZhkfcZTRCKj+XCzpUY
IFFXu8Se7XyZu5s/81xa9jIM0PkyN80TkzhDRk5H0UuY8ZDPIHAzZUC6aTiqm4PxKIFvFKIkTT2z
J/8czI3olmyTTyo1CofE42rMZyoPkoJm9Y8NqYofSjoYXS1bcs49TlehXRKjfWHzc6+n1zz2MU6t
K4xCvmbHj6mfMBLtsauxMUSzQtmgb5QE8aTr163yBq2EKlMnzmzRpidEuwYegIZQw9IHpWwlUUSQ
sduONZKzh/ridNle5vjPBYAwoMzrjwLkTl1Jb78OSDoxOIwZd+NDwPW+UI/O5dOGYAOkPM4s9nRU
mL/4fzUgkkF3q0CkT4tky+c1ZO7wfdl1tnA2hJTgUU0sIHdkEPsmIl0bN+W9lFuAf5tJ/2VP1TEh
gxc3OfametApAQzPvqHXjTMtWuTgKimlgqaoIsvAPPa3jTVpV8rIsi0/5ZOx/m8nr7A9b7ANmiXY
4DLOyP4efUYillvr+qxe2gL/b9NMIkVW5Jg7U3cnYCH3oB2zl+KZWtGYjuZysFqAMy05VzOarxkm
jU+3a/AmKaU5BPzsJOtJHWBzgQRFWZ8qBbWLa20hcBuIYc64usF1mSmW1Fju03LrDjpv2jW6ShXm
/pqz3QRiQD/bP0uZ/JaOBBpnRYGTQInrgQLI7m2BoXBTf2R8sNlhVs2cRKGsc3An2ltprO6v765H
CRzzguW23VJB/l6KMhvnBMmsObOusxYF2olz7++e3jsSNLRZ9tfIpmMjf9eWdiSG2tWwVa+m708t
Lvlv5xSKiwlrj/8kkFbsWlMEHfj5dFydqmviBXVOvyQoW99vX20r52xZp+fnt5XUOaOtdVP9PsQM
+TlZOIETCBIHukw/bj47zhVxBMxDmMXV6snwcBWd1WXZ6EL1Q4AasxqVV2YeSrbpv/nP9nl3PPCy
/EjryGTwbO782POyFqWqfA/xw1Y5UpcGKFxCZ2o2hjydEFVSzScKdmPPwUFG7Hw90IeDNmJZZzqF
5FrW11yf14y44haUlGY5wfWfby1UulM4ziziEytB5S3R9N+mSnRlmcUt2m0+un7IJ0ltdkjyyVuy
sNR/I4TCBmUsJIfrmsSXWnw7KGbPDfiyNY8qsHEYrrC1H7CZ3nN6F7DvEJdz7RCD8vmk7gFTK2Ez
+8/tNxNWLaUFD7jsd75bByYXVraaxgs+RGDRdSaRsg9cy6Vo/MKsL8Kk/PQZaaT4HdkAqx7Vu4gD
Hfc+lheSIFGVd2znySe8+eMQYKgZQYPx+RvnTDYtTq9OwK0bBQlfaUXbY20NQplfjeFEcprzV7aF
uR2NZsMUeGXbbmbTXVgGQ0sy4VMRwhAtCZFf3TO/OcEA23CanzErOK3OFXRvJed6uD56gzyVSF4j
y7GqUgJX0LTrsW2Lpk8lXH+CpdaKqgOAWq+h75Q3oYUus+2q6cCHoTfXu9XpfPr9STH1qqlJDPsd
dFepyRrUk0ZUw8v+3zamU1wkT9mGjOt0R0swX3OX8xHBr6Nh4ZDgsGSEofNPE+uu3yGDurtVWYUa
ZsncSTLtzAMzY8YYQjOKJpLErlAd6Ul2SeONUMwEzIhik8p1lyKEM7T8qpWhxFzwwKa9THSIS+qw
ARVEppoNng9k0PHScJKdvl6gTn57XR60JDZsWHVxC7M/xDRXyE2MmlhJbdv1oDo7NQ8DgTVfXU9c
JtdAkKRiY+OouLzxellV/hermD0hZeJR3wNct2VFZMMO0hVskAArJ0HkvjInPd81tuDGtiqm+5Ta
02bgkzmBRlX7ZGN96Im6BO0OJHKrC4nZ871jgG/eyNEma7jdNT0cs8XXl5RAI7VoLNbHUV5XPVjx
J0bhiEQKgYrtKijf7dOrtfe/hFGtneF7LkUdQvu+7QLyacabaWTzMyMFmfPoE561s82WNKH2kq9v
6oMRRcavX/rZQegcUvXkFo8Z2ql3dhIfoK9R7EpWyUzLnm5T3nQ3yAhgIfhD5l4K2eh4nlAyco6v
Q5s38zrfOOYxFaim/GmPRCbOPmZV0V6rvmuh4nbp950pTPksfInUX1zpLa6KMCmDWOC7YADwn9KY
SHs5qm1HzGBA63Mj043LOYUn926P/tjWCEY/WPgDgDA90W5XeQyWwvOpcqgyGVxBPMs2RbuCPue7
ARQazk7wWGyD7qjGGFgpJPOCc2y1IH7CYz0ttxBgTPs9+3Z+xS57H+JsxMUXxps2Enxy4xPhvEjw
e4y9NX7jPDnO/BjQZJc3JIIsYGYHHtJx66LZTcsm5EiRvyHmgdYO/jAadRkkTZVmD1UUOlikbJBL
tGPFWVGzCa0AIRTky5e6R4VlDmW+GNknxVWysJkvdO5ECESWOOt9pGuy5/ZpkV3/RszbpdIvO3UG
OgUycT8f+B+UhL3jeTmkd2xVrHaXqaF/x2chhFuRTMEYyeQrpMQmgg86t72iMWIrX9ItqyfJ9eOJ
1iuOiP361Z28rqqIA0uQhSglYaMNaIiWwuJ04xIQdZECZHc7Jo0jjVzZFAysegk7vQcbd/7HtACX
p1AbqgTtgJ9RsqTK7j4DgW2fXsV7HgzG4HYlb/HrFM1K13EI/x0zOQ+EuyuC4a+TL8LxpG07nE0U
67aSsufN57bkXlhNzNpuE7b59F0t1rbZd/KJygSK9Q+yzgzldbwuo4PHoqzBzL9RkQtsZKqKL8Ct
XHIV6M3eMWYh6o/4YEK00o3A3aUPHsoI54fVQKPRp+7crQPf59rDNpWwCXGROzZePXZzzN06ojvh
9eBS4b8LE/RyBqcGL5XoVcP4hi6oAVig39G83E7LU36oncLe3JMQ1gAgB68GHChU3hRGza5ckRNj
SkiJYkl45tAsNqZ731BKTNPokK7KD/EgP2Vp96k8TuTGpHcnyoIoqkY8GtD4qvO1BfhukKHwLqnz
BaxMVJN7zmBQ2Kf4a7lXdcsE9vKaUgjhDnFaWUc59Qk5iXQR7JhMR8TEPRL0MzXB21dTwVj3PD4Q
ISjeD0q8ewv/0chZ5QkOtP06oqGtwhYBO8P0DAUAkYupTHAe6DKpTyPk5CAk2Guvi0/cFCbYQPqu
YHDbj3QLmXRviQk7uN4hPpbHOi+bV+glVWOGLReRYaJ/yGkHtnpFAD6CHfEHnBTA7KpWrffFjPGG
PcCsVL9PqgWGMvEbSiSawyP00mN4Ge3wY9ipKTO5EcOe4q5/jTUADxKjwKfCqF08w3U7QMwy01tv
4TK3WL1krMd83dKICmZmHnPJyljkJsIx+eDjuEnC0TQiMnRGa/Q6KKutt+PFPOJSsmZztvIMcDRf
UqmFINaGtiES4i8vAMwmtDkoCHb+nNO88CI5QK/YMEiuc/rDztje2rRGEE5TWh7JqK0p60VnqbZo
M1zSPYgtvAYMnsww/d52hVrgjkPPsoP7iXLOIyYWE6X9odW76uzY7kxJ8sZetXMV4bhbYkD2rbJ+
2gqa0e/DHJCG1KFDnTmxYL3mDvAr0lca1wdCt7EWfOpOB3RlmQ74U5p9yEjJ7tMGt9uLScH0+iDc
G9nDn0XPKdnVC6dKtW1wcR+q9KzeiDSKc15Vs9iAVzfZwPvB6jNh+vonMUiJZLwZW034IJbnCQHA
cFR9c+MUVZes4vYEiv2cJupqIaru/E8awqtvP48Nn+KCLzRSohmidQ9g9PF7ofr9T9A5VVb2lwwq
oMtDAZCrZwIplNzpjUKD9Hg5BwEoCnuvExtW5/3QSw/lfOXAls2g/3K0eu909w8soW7kyQtJI29P
KFkLaeNuR/CAB8xF+VwpGHzG4ZfX8DJEtP1hvVIbV27vPMgO1FP1fF6J1tszJWAosKpMDZ8vbKTi
WS0/EVVmZGKcNF1aUm7izjbwZnGj5o29OdTBgNfMG+D74UiGqrGg89+M5JQf2DF7dp4FXuSxJ/F0
3NThxwB6OmCbNvkTqNamyi7epkWIe40a8aGxTPaJSdeSRdpuE5W2shm4AA2vxVwQNR+oAGgOEbtA
iBWJZT6mZ6tmGl8jCncU5+c5b+EnocnJRLGi1mFOFEQvULGan+BU7uiy/+f++V0u/6egmp21Jpmh
n3kHU9L8A9NxVq8Pzaez653QYJvuRRVyhC2teAQ3CiCbTzKk38pOvej9FCc/RAuA7VKEOHaXOdFe
ZxyZFxcSwvPxXa+jmZC+bnqevSZkCw8opE3B4I6M6VnAbruLDm5MTnZVE75Cb4+GDV1IDZmwIqes
z4yGVrX/SaiOXKpUCirRGqCC5jaq+Oh/2xv7IdyUDT5c5XG7F9ENCa7a4kPvej6Iz23MmN7ndeUP
JGE1rp9S0fHGsX0x3n6yEfCiVdgnN95swj3f9SnEvR+gJEaNvcojxnU9ZhmJ2cxr4wb7XIERCKQ/
3szZW4iK37fS0i9ycZmW445HpOZHhOCvcDsORhb8DoCq/DFSqzz9R6iu8L2GTgRvIrW3Y2PURNqU
yxLyjLAcErbkuXJo0m7Aawcdwb2hH5oiAKsnMTrrwsn7GOGW1YnO2dK83GSZKUhr0qUDJQmUafLS
LC61YIbJ0zmCU6WUa3HTr1O+T4zLSlXl6sTsmobQu4z5bZwdytTkvdV/TiQfiNqn4pQpRHAGaUBt
HFLsNDc8T0kwpB7uRqiMLfqgv9kt59vSjegK7POJbWrBqGfmWVpRVZ8XHQSXKKCZCaDURBl923D7
21F+/HcrMtaBPHJWiDSidN5XxylEJAFB30qKzvgRgqkNAIyHX3MsrqSfQ0h611nTV3r22hMuEN33
6LFJrjIYh/NEkClSCiRUJ+GlhJ5XVWjQWXzX+s+ZJc8e3TePvDI6+r8H1Rv1iZMNLAJl5X+JoGc1
uHFW+gpkK1yTaVJQ5OMfhQ4Sull5aPm9/J1jp6GZUxyu2gT5JoJEGduj/SPDusS5QEiFt/UTxv5D
iEGNXT5E4K2/nEmQX//PZ5hZ8mc+lxT1Gar1WPoaDmXMqGwzTq7pGfhARDZJ0ysopzO7GGCpNwpE
9sFLbRcqSvVlRonLtsZ9EwrDcSE+f1UQsJyEGtea/MlRGAVuwCN2TeRpOAPFo2t+SlDdpiUE47rl
oSp+Q2jih5WJpncaVaxoaLeqdt1QIMbzRU+sVBZzGjW/twCb2i1f2TdyjnL017OuQnCdhUsj/94O
U3jK1kyXSlIakIqrXWtRK2VZQrTNRa4GqWcmtJ/G5ay9kPolmlbwCRBkV1dDeqBMeO2S03srdmih
f6XukTXmUbo/l2CaL9Wb9uhVADLhvs+fNJNViUn5+ERKKsaWmSwruwcMGJuwLtk1IBvqNkPxgUVl
CLTBwsDdVQrbpD1071Ye7v4x430B7LTh+JfcdKy5mcDHqE3tJ991jxeTeL5nUdkiMCxwdnTYeCqe
nrvjEnJsiVa+YPomc4xoLAKNo24zULd/mqh2Iqh9u/ztQx0YmZXYGk0WoG+QaQZt2+y0bIISCfKn
u7nR40hV9fGcGmCmphjODLFZPdbE0vsG61jFz8gMQ1zBN0n4G8ALWoeB51dTeUGM7QjjFJVIYbHg
scbpIppm2BhV8E/z/ns+dyoAzD3W84uIe7EeYyaRbJ8dxjZzeWG3jxpNiDgkvecBeYowYx0qsLPI
oCJxZuKXoMtpcLCusYxEPZs4ppHKmx0Jg36vnEHZuES71LJJzNfuJVHHGdcZCympCNTt8Qw/vahd
Kmcw38P6j832PVLCWn7eSm01KCjlLv1dd2T4DnY66lJwb5W5lVaIh8d5S+qrR7ezDRzUw/AN9tIF
A1SVfui1coSEtAuvSWzFoA/8RHaKQXqWl3zvS8shXDQhUDyjwR+VGY8z5I74zGLUnBkejf8gsB2u
JDSiOClLNrbgGvwJGYVBfz+HmqM8q82xOPhoYq9AbCTpl7X9R90JGAg4JjcYkzcOMMaSqDrdKd7n
Wg1XUz8GwrcgpuXzx1X8Jih7S/eZm6Etpl6WRG0XpB2zMShdQVUVbEpnn/rMOYYUrWwI7K+0xdMj
k47L8i0xKQ2sO+welD0GIlW3vJmjsWmwFlNA9ocIMw4wJw8newLZrSzx6/tJFTSiaKHDvRsa/QBi
NTYoNAXo7HYrjpx1hukPw5rFa90iQWq/iC2p6kSIfzTrOqRAWsTfz4dbjDEQ6pX6eHM5H2it08lM
LgadhmGD6Kb3o1yW2KuwCmJByQgFGx84WWB9sl2XHodqjGBYBy+zIzKY0EDzowCVJ3Baxq1G1lcr
Wf+Uy1WvodWHzI9UtElE6VwAuBOHOZ0GjbydjS92Lj1opJK8snNiyv+6ZJl+EjtlFQTycxT9443v
wBm0YDZNw2DhVTaO51d1bxEbbjQKrMlHgCYPgRak5QY2zQQJOqn7r3zyNaCIznvUwRYoRJwRmbYU
e8uEs09FKNF7qbKZ8Rd19LGXBNZy8LLIzX/eGyLHM6liD+p7A1DetLBOyJ/PUor/3r6aLfMbtiM6
b5SO39sr2b2wMgZP2UK9AX1kp9ZWBaJYp9JmB7ayjknzLBV15fo+bSN3/2RKVS1nDzqymHEkfiC8
oE0giywyZwh9w2Hquf/RhmyoOozktEeYq6+Qyg/+o/NJK4Xmixx6UPYHxbqJHpfqOSrriE34EIkV
L1pMygA/+C6U3NG3bgTnmV3hA8FublTDh4ERKDxzM3rdSH2rh1RnXcHHEqLLja+DI+eo4FIs+SN5
lp2qJnEyNeOm0nBsT0oHfNdiTolewVIYx3K50xHkQm+UfIDtiOZfzuMZzxT0M0ORJHveOiWeTvWl
TkEOk9l36FwJBbWBTbgQ9E5Gh1pVy6WbYlp4wzlITCjGaH1lpH4D2wHmwXWKlV368+4lhZcdymkM
HoG0vebnIYq4avk1OTpP4V7WH2TGBZpWGhtNS9Cf5wOLPxxwLa/sj98tQrbzWlvjDzwxd90g4AmR
PxO3GF5iwG63if5f5hqrq/+1gYmuoCsAXprnrXe6e+v73vhF32FnzK5dTRc7z8z/QShYT6OMRq36
+vgYOO2C/7iSA70CO6eIot1/EWh6GceR0ZYrGY41KgKJrDcWjjBM1ic466SdZ47rhJyrywUt5ZgY
7lnYMCQeuibvop2yG5HK3QlHk1Mri3UlpfD7V1kj5e5g0eD0/UZCWfxTMf9HtyTR9rsEp2YfWUOa
hw9ItRJgNAevOyijhXOL0xZEV8g8yAzN3DaTrOjNF1+6MPk7HZRiwlkGVmj+Z5lrqO0kGiZnN6pn
IujpFk2EjLhWEgFIOHKzE0LbKCf+fOtva5LlYs50i9Mm5YjlOKDxQAeJWKUL1RfuAE5GJA9aq3dc
3H/DabLSDXFAC8TpIe3r7i92REoAbL7IJcKpHiaWfmqcoXOJOiNBglOcJ1ELACasX0BvF+HEC9hh
jSP+hZfw5o0uR5pZ6KTr7FAcNjSSlGbvDf4MsSNyAoIijCHTc1WAYSqGQiDl60x1N2rNWoSZNa6e
zOsTSdESBzunvimxFxgeGYMJaTDMWX4Dp3SLKT4EqRtCIYVVbLytizH9fZmLjER+sjulzVN7VRcL
rPL0dYeo85O1kSN0GNpkhNxxaid8vjiI9CwoyNuxUkP4mCGPKWggXN4/RhxWFv+ktVB8UTLzA0GZ
k5Xy+XkyvpDHGVWjFcoegqJuTqQhvY9G4Fv3AR5S2XmcSJ3QVYhs+HY466aTyyfsRaC6KpSTJEcW
nWRCuCeaYPVk5eV7Kyw1yVoNn4SRHOEIdXPZx85DAQdoQNXGL9XzUvPI0K5EiHy1dUZLg6HHKNby
wFs3Ou0lKZKXYf/1pBmZIhP0goBuiGKjapTnW0AV9pay40ATvNSTlAJc7pbeo6qvdrXqrLgJaUPC
7Pj2j3FtU+Wa5rb23ihsFPqwMfhV0AOZJ+xln9MkdxYoXMPzVrdCj4SMVQoLwBZ33xUZ8BvKiGZW
Gnr8EaqSI13poTjuiKMus3EUTmMuzVXaAG8Q//40oSx3MbsTeMNe0uqZe+vk05/6Ebpa0LcII3lC
sTzSTXOVb3lfd+hFh62FagWQjwyZ0xEVP1GVDAQn9GRF3fekx9J/SI6O65geQ99C/nEif6CVIxZf
SswdCBTBw0G/NGU+qz7UGcxX1Eynks01/QHBuJ+/HF+/uEFQJSelhIXR8e9Lsz+VDHIw6PEBFizE
Z5mZ+ADbXj6Vei2QVm+9f88d+GwT96yD9ZZoe+uhNejQ2Au+CtMTuj5Dp067NVsBtwlLXWbVgj5u
CEAA49wEpUEvrXOfBLMEWwOS4N5/iqC2x92usfKblpVDstG5hZlR4QQ+Rc4KyZulvE4Yts2iFzx6
DSajJb37ztE4AxI9buOHAjCe/OmuF8PbkRE6kcdX4mFSIxmg8jpDkePf65Yl1SiOZzsvFzBelxkL
PmYN+L2BxQHzl/PnItn+hEydgJIN3s09RunxK3uNPkF08lyLYMvdEB3lwIxhH0YpgsRPsJTSMOHi
3mYl/28Qqj7QnbLuiXcbK2FSH0zMvbalUNItKnYdmk991kT57Gby1v54R7UsIo6ESe6OVgupWqJp
mfwnd94cegU1CjzOr7YRJaWjbaBqNh0K75lXNmrUJZy1S34+WSt6VP94amHZRU+F2sV7mKDbYUnE
PkK+0VLPhJ4utSuJGfAnDObTyeeVoWacqj/NPA/nQYqnP31BsYHiI+f7w3WAQkGLaSQi5xuauFoD
qn/eLGWhL1bGZ3nMTxOU4kKdX/RCFwll6tWI/spoJGvzUko3PRz1GydlUwbVlkv0beGnqTtzqRGZ
eyYNX5jZyEC6v7TGahGYinn2DWVUSKaJJ01leY6G+vN1KiElTw7PIrk1FJZVfkfmRrvKaB9QXvPG
7Vcvm9KOZwit8hSSHXdf244299bgLbS244pfkr4ASNgp8uQMAWnVssN5n6+EDWu7/RxlthxVNhHJ
OhWRUMs4M+3RQ7nWE/qnvc53vhYeXZSutIBYHe9HQCgNc3WqvoKplRFBAhdYRUbNGvubIxgK8FK8
Xv0Z07VWsxaJ3Pj4/ke/SXhg4gEoOgT5DfYtw5CQ+SENgffOVN3IPu5ZCzjUBoBAe035agvkEVju
J2FiC46COOe4ITGLdhVd8D66Kq+6ZpmhQN0SPM/6MVU58y8PqQHzrrndPLdj+dBj3oOrJDxXr4FA
EZagxYg+6mBZf50D+HyWRMSmlobEd2HiLbajumgadT2nY6v9/gWdN15mmNrfg2uCbIf3s4DRqTsQ
gNxq8tBo2MMK92IIFrL8BzTv0lVkdRf8Wt5gV80WKbV2qbbPPJ4RptbI1KJWEbkqCLVNSu9/qw2j
0MqsQFrAku1dU8nD1kEYiakancu0Zd62063crnD+YbwnGsIzQ/HSiL4j1errUqB77X6ULfZU7hjM
3GeLUpFPpq9V30YZRfIsxRE2LtUaADg5GwzivuxFqEURXS1bbGCbm8AhamNhI9idwstvqJhmCLXV
k6hKuc3bH1Txrl8ocLEgbhaliESVWZRQ0E2l3h1rRS0otjcej0+6FdgHZHfn297/i8KPutcu1hSP
bfVEd7HUOpY3fGUqUDzQrWuwQmEdryvYqcLVuV3QCAnxkL1s4mfGZur+CHW1DFsoEwa+3ouzZ9SD
yix8ArbnKD+EHU0mC3gX+Js8B1s7antZwThK/og4Ye5WabYmCjQQ6gneIdfcUdKx0XEnOFtltbmC
MfLmOXncv/G5p+0fuP+dFMpMu+fdzHdGy/zHz8MG0SutAnlj4pLmNIhvw6ew6krhC7oUGLvLbNgK
MrbEIvYpMQa3VlFW0QOr8wji7UleDd+Ia1NRMd436jIDYQrXOwnaulwmhOYv6QGcAmEl8ReWDgsu
/6DNBw2CE7l2k4EfkcxGUNaSRjofr+fAJsFJPVmXDAtmxil/iYIhzaq1tahadAURieHrZtkNrBHU
WwXdbBx3/aGIpoDFIYPFOYcWKxWUlGyyBIxFCeCbYm0NKrG5/xjTsuxAtMP/w8r/b1GDhzZb76f2
88c3AyMtDO/JEy3j1F5qw3VWiDi8isgbjmXxAqEonKGvDmAsvKTgIteeXupSwBkptkq0TKLh6TLf
cPq8pcLoj4yqbqJHQqdzRWeJF03YhkccK5Ml6TsiEZFhxoxkDI6RWJ6BJvk2Kz5DgkVb+cNVXrkf
JwXahm3p6L13rLrOXc/xlJHKkg2eRxihIhwK1mpzQmVRCS4bh//NCyEM9W+ozy+uNJdL6i9fC6Qd
XzsNxDBQx36iAHzT1mj+b7SbWnjBjBw3meW5c3WclrqXdceirpekzKhyXOYddeEE3/jIg9MEzSX4
S1DIkhDdnLQ0T4FmuXmTpNHp5W/veIs47jNmKzeRUUYg0MLkPe3KLt4EJI0FXSOSAwNwv/wZoXiU
/xbI1pbZR5iUCx4eO6OZ8w7EOuM4RkTnmb8KkE32bW83Ca1ybwQVEgsbGK5K9cDYAu2PQgzQGRMa
avxr5Q9uWyoBp7+bCozwbXF292fYlgXvzdtMFH1FmfXCbMAKDcMIuxFW6sZZqOdbl+wfZLkkuUPW
mvUmqkV/7jLC3TrsekUZU2SnQglxWkeJQLESmaH0M9G9Go651xYc/iAAUo44Wdwqn19PHTeSFpXV
vtjWABYoSP5r398iG+xCc4oomRbuknNktXnb9UAOlsKqf8j/5iMuPL2EEwRX6vKzdSCAG9YJBx0L
V7D2iwlko15ESZkwRF1XOxVfAMiqIlUN0zaXKl0SKjJWbCyOQ4JlAgf9iG92jWzO0Cg78iy1vs/k
wv4NbGR0Vo+BLPXzpndf5uezPFyRnKSn287uykpbyJhrTCUVyDxgVuMCZoFkK8cEvucaNdpQA1aN
iGeHr/I9sTvKBTIZvuGe+rdUqOHYX5ERfpcilpGLwZ8tKCqW/NXKZnOagVYsmBGaCxO+ziYSqFmb
ifmB5r8ybluhxOWK7oCmIYA69WeEGV4oGSJZEi/XG2KUhvPdlqI5SQTn2sNdSeNgoJICQIYQOQPd
B53FzsUxZiDhjBXUrH9vqzNxKKm+CoI8OX7QQ0FciwJH31LJt59F9KgZDPWV0NRrWjzAP1+PGV8y
QES6mLKCjCCXKgIEAvaNF85D+RWUJVELebUiUeP1SRRB9DChzjNbdgzwHyy/S2/+hY9w+9+QtCmH
99UfFg8mGvhwxfmuINu3+YT3uQsu9Rmki4ql9OFn8FsPjjgCQB1SyVDDIJBQ5RQNSVfXKBxjAxls
OO2+LUBXqFRj+1oc0sWgkUJT6gGQIiJue8OTROP84g4cYd7DlQKwoL0zXRJwe0a3uPEzmXu+GFBT
9VcFuwqKoBR+dNFBzQe1hdn3V+haLE7RybrMcHGgVDDlUxaSdxeWK4BHA1haGtAnZT2yn2b+1IOb
UD/BahREga/s7RoACC3kwPm9Gs2Y/DlUnHec07wmtJJG33U7iiLBn+jzn2UkLXxmh6o8sWRBfsze
MVrLvRZnGtt0vao1jtaKw00XXGu51JbPOTwZSwdHzHZfitc8S0Mypcf/ieshsbPoh11DBYJA8Vss
Kns6r8qhZNe3RilF3JVLMu17JnD8/qX0nMdHXcU7D3FTGt7Dn/+u+HXAWXJXN2VxtToBUBqE6QSa
VXnALNR0ewqhHnrQR6E1nrbqDblXjf0K+DpjIRW5IWeYMrtgRX6bRbl0eie9TcrGN9FFZsFIdMRi
j63Nn5rDdBIzGPhbniiXsRNb41/HNCyVZqgn4xnOBuCnDRj/zZs7OI39bB8fgiwU7jEs3xg+mm6q
obb0Lhh1CuipJrD5xLZg5zfYG/+DBTtwUeQ6MAE2DvF2NpOPGBzFSmPLIG4nwQHI+BqAktxJmFsJ
B3ba2jp0g4EtozZszprIXsIBcNd4ufDLZQON2DZzOKLYdSA21RBI7+onRepi6XtF4gZkC3Woj4mU
Xdz1u83itPSAnMrSvI3OgoisVMlK7gMlwk1ogfFw2uXt0ca28gj5ISgKNoOjbtEsTHw6muLmoApl
kHtiNdz87Y3y57q6CEBpjZy2XBtgvuANZaCXXl8jbSMaZi7H9rW7+TILKx7yp/bVSAVetLxMW9xv
/D67v4vdipXMtitN5w4x5G6zs6HyGlo2soreeSujXSB8lfkEQRFc3Bt+/hqTZxH29dU2KdnppBL2
oppvGyLOLUqDZe8anmb8oIzznlcQJzyxDxmvsB6L7LaWR5iSZLz6SAAdASuFtdMvR+Iz9ehNlWfm
oWsfzfesAx+s6ZTO1LUF9c5U0kiPhR0BbcaQie6c2Q5yGzqAW8t1DnTO+8L6j8QX5oONZ4/3sNao
up9DWHaViTKlvlJNZ9rm0wJKLR+wBNo/oFVs1zsKbu4Ii7QyQZqTu0iE88Ay9ERiae7fD6wmq8AW
xJmUvdujNIL32KnOyZtqRl4r2Gng8kkiS3uWbznK+QXJt++2d4fgZPAx2/0TDO6N4t07RwfmEaeV
rzaGIUn4Bz1vyfOmtckNP1Q3evarPI5iKN/dove0h5JnETDnnMhk2g5I22jXNYooO3I3Q+XvP1+/
wDGGkHRCmxBp1oOOX3mrne8s5UZnHVogyssVKhaBfR5cREGkcUVhsVA7lmrxJMXYFGOcvGikEcmU
p1jC7MJTb7qGSe23GhO/orExexU8fiDmQP3e9Yl1y1SQzMcQXF2ZrbHyBJ9P+jX7mIOlkq2qAvu/
1nSINH0cw6qsBM7rWgAbMByqNb9Eu6y+H55tWxqhVCbyo7Pm54C0af4d94ok9El/fn5MnLIEI4ye
XD0ygRmAvdHBRLYJ73rkI0hI2zBMnNWvaRkTc3A5YKZ2wL9Qd54tQUwjHK508nqlexcy0Yj34rmp
MxNbaDCDAOHxl21dlIzcoC9M8eLye27Evsenj8ed6vI+3YZ7QBldlLJJT1g7teV1+7qwV6o801BG
lYMYjZEXMCj1k2EFU1S3oeos8Cy03e+qk18nYKKv6aAP0eS/C/53A41O0gNYhy/gFZBsObP51QT1
1JG/oa04fuwQZdVq6caC9Qd5nJnKk7fEAoi5J3e/wcIhQC9ia0riLpfnmPAmEUC65SHkHTLtvEqB
jzE5NAttnAM1gkytyQKy1dW6mRlsyxa+Hz5COIUMubBvIM4Z8vlFMJCtgVEzj8fTMkX2CG5XMEkP
AzmNFes5RQk4VSizI3Pi5y7f9QnjY7cjgwWq73AI0hZVoSGjDZPAppFq4wvmtpYWGOGCLLmFrlg2
K1fbTUXe3eiAYBXA9vZEaxoqR8VLTG3Cy2BCbE+pCrE46lHjPmpMSWh9ICA9Z+bfSVaMdmi9yGDe
wS7ZzjCIkTb4bxwsz3yigelTHyXDTnXC+5RUUa16R9LmPyji8ouXmiqbt9CZklluJkQzgDCHVcDg
jA5V7dPWdOfIpSmCsVzh5eSJLcC8zgSS6Eibiy0byHxJ6kJvHBaKZJkQ+g0B3DyMlslzwzW4MOSx
D5tlDD9o5En4DbFkbTW/wjP7/mb5iOOh2HIfOVW/TYPT9aV7uMm4TqlGJmIau01VSGHV1SEYMDO8
Z/wQPRYqATcYAk1QQ6i7Tr24fNpoANm1jEfHtOoHcnyv/5WlCun1KQbfJfDnpquWP2ySbZ9TUCEY
ZOyl4/BN6KAJPvjGzCJVObyBYtAvXIT1M3MLAm/0iZKFUP9vnXoCm9G/F7uK1jJY+IXgMLN0dBZb
8+fMTmYuS7Al+Di/xfKafaAJm5CNyhWQ6eyGQu2k7lR29inLjUGBmO2CeiAur6wMp9KzswBlVL06
LL/w37G9E92AoYxvId+OhIJ2w2nWRX8RRCpjlqM188RvY77+bn90pNrtp5ymJ0fK0zRR0mvDTKj7
1ACNA5bQvaQUn87VdmRF4k/Fym173M2LKWLFeDFT4qwxZka/iJQHECP9XmNRcVU3g1zOCE4ewkln
sTEe7QZTQaZbtfQ5Zf/3jDmQDMgsNnLc9GJ5VnLJdtH+NbOkeOmNv6p5maAzn07NNbYurg+pV/Ga
GwLcvfO/QsPY/Kf85WbOIOUsiNiojbyKwOEuEL2szhHs/c1POk0weq/HmgOs3/C7DoUx0uGIOXra
itVwzDx0sUzyBLUvU8DepmrOFGfok7uvrUgeDjbz9jhu40x+X1jfyl6Dtx3D9I46UARPs6ouE924
/r0HUXT1MuBPNXBPtn4wymngWZ7oXWrGg8836OubAwCfOgcOyy/YrYtTRHLSbeL7nYTUEcIEI+MT
uQTs88sYlDPsyMOXb+MMYUpngtxPFB6TuDgisfi8OE8Wa9DDDlekkzuhMsBvEpgwnpMbM67J8sM2
luBtjKD9rmHkDHENSp5E+oSkw4ADYdqWSHcMXhtpsILpEDtXeZx/TEzqyoNAUUVCaV5SXoKmOFTP
BtVRluz0FTy6wqhPy/wwykJV5unZ4ZfKTE5GHdzZZwSWNFlJ+4Lwe7O1JXPyJM8TK/9dLWUyDYyd
oljIUQHPJgsIbNW/6aM787E0/ajyV0WaiiTYogS0j3YKhC80STHNlaarXYmIf4dg9XNEDHRNxyX6
b6kWS/gzMa/E9MP3cvfOElA/NiONhXfXGeB056Phrgg01OB04Wiet7Hn4WqY8v9/ZfkV8t6+aHah
oKLUs7OBKXYP6DKEkQT+ucgknHsiV4eNoLiX/xGIxu2p9vFe+JQ3NMrM8Lkh83cyliNTCxavib2U
jQl7Rf0hz9oezx57TK97doD7rI9yFrPrNaOZmRVDoWIGsQvvDtoIwPY1AFkYv/qpbnrMM23KQM04
OMx94OpV34Q1mi2LMnzMrq/3dMB+l4jiI1+3hEUUqlUejowXbGYr0F80dInUoCZVTh3RGwpPdoeA
R94vSxRtVev8e/Uzuhd8+0kADnbuDH3Guy2OB9OKeHHbkc6tFU/X1C4ikN6h6xXVTqaLMz+bOlik
4r4X6i81Li/sk8+KSkAkXScUvozw8AzZvtz32cemQXFrAo1cGmaPR3NqYgxTMJVEOXOT85EDzT3n
VO7HYzjCnFzaFjTi0xqq8asntrQhPmT66Iu20f0bK7GVESXw4/GB76wmP0m2dlxDZ9G/l5Zx2KrL
/6Y4h+PfA8oHOavj8IzJQsvll3U0IvukZfoIBBEHFuCX1yAU+7dHx6fIzHy8tjM3+iE4vM6dDLvj
JH0TUSjWyL/0WKvuLQgvoD54bSwwX5wH/od011ZEeJgarUta5eGvAdor3eEvCTXmQRqnLTy6z8r9
E/5rVWLSSQdKBKKqWVBzmEzQFTim1Xg2mpjYkBRr1wBNoA85RBNMvo31My5UxY6VJn12xifHE4ko
XNu/fIh9rtZMuy7L2fCOavLcKNy7ONPCE98crd1oINGsQhDOlv/8PNMjcrZYYlSX+A8+ZFxdQaOr
RPnzNbOpnj23pBiMIn6GMxRftxte/F6WwIoWebRyEEwg3sgKy9sby+H3XxmI2t/RNpSMIegPYD6L
r261wepZJBXai74089kvsCr53qEWkaboeyFS1sCYDLrSyRQH5A3cIhpVPb+WBBmLSFq7muekcNBb
ygHAEWiS0AbEacpdjrBRZ7dmcw8OH9SKpe3nrggQdfrzffmsU4jl6bJ2jW23CP9JiXtj3vP2CrIs
dH/Mdva+2chqOfXz7jKm13RTTEKo3CcpLMaKe1EaXVZr2HbfA3GFb8LjW02uIKPPKsS2WbC5bAkp
Z37uyz0SaX+D1somzR0yG2gCgqzqyNqntwE2VDnT6qBdL6Dyhgs7qesJ98WrPVmWi8zolq9bbDeS
RpW61jf3y8LIBvzFz+z4n8krnwEBZVtAowhaR25+XnlGhTk/TlmUGestQT18fSQw2s35JIy/Fwf7
Mxkel/ligh7NW6wbx8SfhE72oY3c2xUlhHlXYnzQXyOlE5C2rK93xa1koix594lqofVr1/sHrFK/
4r9mSx050+FvksaWBnbyrgPQq3FbvkidS2Mcc9IAk6KbjdFzmM7AF3IQVLkNizi/6YqntmfIDnHQ
6cFJcy+S10cj2igpWmEKN8o68yjWoX3UrlBDdofSEv/EtsiWtqa1bz3FJeSDlIjFcH3uw0tEEeDq
aNiaGXzdT3V655vVdNOc4WjOMvcO+cfhrKxq0rmTWmLLtqqtqcn2HHILY1bngMzNbTPVSrgx3aRS
AOJTjACRaCKLsoj31iaXVg0Vc/Tw5emnxDAo32adPHRXBSfxV1znA9pKtS48v05QYxbZ3Moe4cxz
KqWrtaQiNqFt6C4uurWKGJSdP3AzO/uuy7aZDfPHdgTTM4Scfayp86yzTlc3KgL2i9vwa70+3Itx
7awaigBmpZLg5EfaSow76ThAn6DfpQODVAqvvMFuQkw8dltWAustRzJ5mIIVPV4A75pBZEfrzr5E
wCbeYwbskc0zwH7ac1vzyzDDcUG9bTH13Df7GywKMo37GX0RKyCxJ1qjVRmRC+viuIXDq5HYp8oC
yKfFrWC3zqPpyQNEkx5LKS81UoULjwPWU0L/BLXEq/g+FM9c4B2LWZ6Mk5KvZemKVbUbP0RU2s+L
UqCerJqv74jekrgDXSM2x0nAcZWapgNUYI/NEjmSfOqGe+iOiWjKyHc7c5OXWyaGyvD7n/I/mgVj
DB9mQbCsx1xKgavI9hUx2Klw3Z/NcI046pWqxXF3KwM0p11/uHJbkXVWVEDODT4DcmSebdgunoVx
l4mBIc8PGCuXj8iL9LeCC3yIQGzcjc/hfjHdLKoW1BE1aGVQTy9HmDSJRxNg2gQAxxjxKO8kpLEF
OSdiA9wox3Wvtngot2SO2ncvtj8tEEnHJMtcb1XfDL28DrChvmJsFvlOjbcJznkLgHDrVVgqwBPK
XXPZzA3K5iyQ5tdHG3NYsSmxX6ekdCFWXs155WLLoMMygyd6BP4Cm5Yd7nWtkIFM9+BIDLrbFGRu
izWgk6BW+S9gFEm981dVc8Re/hzXj++V+O6xH75Js3WeplFlhJaOit2t/Rl8+p9vv6Wch65RT7qZ
hzD3pWPnuL/n1hxh060gwl3dg/z3PzTTinoSKSN3sifqKV5G8i4aS2DuIs5qNaxim/yHZsgIfsYR
X/O/ij6Ukcn4GPpv1w==
`protect end_protected
| mit |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/builtin/builtin_top.vhd | 19 | 47568 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dpj1rsbRiC2XtvMMkZeaWceey8TRzfvuZghjsYUFfvEbx0wxaUtNO2KtH3hQvHr5R05ZRpFvbxnS
y9eflHJ+fw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RxF4+BsurVIN9R6VPOZY6IjRgF7yOLOJFH+DEaCvilnRUUfGXWquiAJNpzEAXSnsWuptbwUxy5M0
I2FA4+Rh4icthIWWJqsNOFS1K2ZEpNoHe2hVsMzmtRpnsPL9VGvgfvA4do7AYV7YhTUgoQfClGAQ
vFYxy/RbXBzM3PrDcTk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OvIp9LkjFoctqOSaxZyP7bYL7KElD3vYsFbzOXm+yqBzueGP4aoe0+732BJK3cSRYLmSREwKo0o0
Rv3hIBpxf0Y7nOdTTISL4pJ3qn/Q9Div9rDMzGaVxIOMLNLxqjT1ZbqCGU0LBxVzmDxHhBalP4V2
XUBBBCK3eeYn9YA+pujel3BBQ67ibuZRmgjKTwyT9B3SaGu2w8ce0O/YfSF/l+ncmV9cvUhjGdBV
Dsus1J4qhNTtraXR3S8daDpX289UCjsNh8krOgCnmBNlKeEFeTxbhmhnNPIAjDgfW1fdIgrmAH+S
tzDecIht4fghpU24F+FmCjpRFfArF8+d7uvxlA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4ZEqShxRoOQpy+XtDUXlHHAe5v38IR2wWpAtAq2KeZ3f4UCuk5LQw2Oc5c9xFXi1a9SsCAzYO6Rg
6iBcvyh5jboOYApBCjz/4VZfMAndhqby+l7lpAzkB6TqAqvqUfdVhSRn9DQMcQZ2fMALj61IBeLk
rnvtNe9XfB9vaA3zmlE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CxLbTp2UMBa44c/UwixvnmtRjPsy2Xb+fkOsP/coXETbFAb6XdUuKlopddrCIslByXBY8SiCzN9B
XnnZENqObWvYgo2VDZVlPu9SL8ZNuOrh2v/bJ7ztAhTSojfY2dBi8ojKva7J9JwGsRtKubJGASjY
RHw8CGw4rdc0A5dMEVmmoAymqmzBjExIxX3UWjtVz457DADxQ6UUgPgr7ysxQXkHN2eTr8eKtbK1
R8VALM11jq0MxZUpiiq5xDX4POkxGrs4QQL6Repo1WUK5V648ZRUZDaWyRJbcIm/J5ref1gzTZWX
h3koqZ0X3HGeO0DTx9nnC43UDVfA3fgk+YpVGw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33472)
`protect data_block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`protect end_protected
| mit |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/addsub.vhd | 15 | 10861 | -------------------------------------------------------------------------------
-- $Id: addsub.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- Either add an ArgA or subtract an ArgS from an ArgD.
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: addsub.vhd
-- Version:
--------------------------------------------------------------------------------
-- Description:
-- Either add an ArgA or subtract an ArgS from an ArgD. The
-- output, Result, can be optionally combinatorial or registered.
--
-- When C_REGISTERED is false, Result will take on one of
-- two values:
--
-- ArgD - ArgS, when Sub is asserted, or
-- ArgD + ArgA, when Sub is not asserted.
--
-- Cry_BrwN will be '1' if ArgD + ArgA produces a carry
-- and it will be '0' if ArgD - ArgS produces a borrow.
--
-- The signals Clk, Rst and CE are meaningful and used only
-- if C_REGISTERED is true. These may be "tied off" to any
-- std_logic value in combinatorial instantiations (e.g.
-- connected to '0').
--
-- This table details the operation in registered mode:
--
-- Clk Rst CE Sub <Cry_BrwN, Result>
-- --- --- -- --- ------------------
-- _
-- _| 1 x x 0
--
-- _
-- _| 0 1 0 ArgD + ArgA
--
-- _
-- _| 0 1 1 ArgD - ArgS
--
-- _
-- _| 0 0 x No change
--
-- _
-- not _| x x x No change
--
-------------------------------------------------------------------------------
-- Structure:
--
-- addsub.vhd
-------------------------------------------------------------------------------
-- Author: FO
--
-- History:
--
-- FO 08/14/2003 -- First version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity addsub is
generic (
C_WIDTH : natural := 8;
C_REGISTERED : boolean := false
);
port (
Clk : in std_logic;
Rst : in std_logic; -- Reset Result and Cry_BrwN to zero
CE : in std_logic;
ArgD : in std_logic_vector(0 to C_WIDTH-1);
ArgA : in std_logic_vector(0 to C_WIDTH-1);
ArgS : in std_logic_vector(0 to C_WIDTH-1);
Sub : in std_logic;
Cry_BrwN : out std_logic;
Result : out std_logic_vector(0 to C_WIDTH-1)
);
end addsub;
library unisim;
use unisim.VCOMPONENTS.FDRE;
use unisim.VCOMPONENTS.MUXCY;
use unisim.VCOMPONENTS.XORCY;
library ieee;
use ieee.numeric_std.all;
architecture imp of addsub is
signal lutout,
xorcy_out : std_logic_vector(0 to C_WIDTH-1);
signal cry : std_logic_vector(0 to C_WIDTH);
begin
cry(C_WIDTH) <= Sub;
PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate
begin
------------------------------------------------------------------------
-- LUT output generation.
------------------------------------------------------------------------
lutout(j) <= ArgD(j) xor ArgA(j) when Sub = '0' else
ArgD(j) xnor ArgS(j);
------------------------------------------------------------------------
-- Propagate the carry (borrow) out.
------------------------------------------------------------------------
MUXCY_i1: MUXCY
port map (
DI => ArgD(j),
CI => cry(j+1),
S => lutout(j),
O => cry(j)
);
------------------------------------------------------------------------
-- Apply the effect of carry (borrow) in.
------------------------------------------------------------------------
XORCY_i1: XORCY
port map (
LI => lutout(j),
CI => cry(j+1),
O => xorcy_out(j)
);
------------------------------------------------------------------------
-- Result, combinatorial or registered.
------------------------------------------------------------------------
COM_GEN : if not C_REGISTERED generate
Result(j) <= xorcy_out(j);
end generate;
-- else
REG_GEN : if C_REGISTERED generate
FDRE_I1: FDRE
port map (
Q => Result(j),
C => Clk,
CE => CE,
D => xorcy_out(j),
R => Rst
);
end generate;
end generate;
----------------------------------------------------------------------------
-- Cry_BrwN, combinatorial or registered.
----------------------------------------------------------------------------
COM_GEN : if not C_REGISTERED generate
Cry_BrwN <= cry(0);
end generate;
-- else
REG_GEN : if C_REGISTERED generate
FDRE_I1: FDRE
port map (
Q => Cry_BrwN,
C => Clk,
CE => CE,
D => cry(0),
R => Rst
);
end generate;
end imp;
| mit |
Given-Jiang/Add_Frame | Add_Frame_dspbuilder/hdl/alt_dspbuilder_testbench_capture_GNZUHKKGTG.vhd | 3 | 1774 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
library std;
use std.textio.all;
entity alt_dspbuilder_testbench_capture_GNZUHKKGTG is
generic ( XFILE : string := "default";
DSPBTYPE : string := "");
port(
clock : in std_logic;
aclr : in std_logic;
input : in std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_testbench_capture_GNZUHKKGTG is
function str(sl: std_logic) return character is
variable c: character;
begin
case sl is
when '0' => c := '0';
when '1' => c := '1';
when others => c := 'X';
end case;
return c;
end str;
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := str(slv(i));
r := r + 1;
end loop;
return result;
end str;
procedure write_type_header(file f:text) is
use STD.textio.all;
variable my_line : line;
begin
write ( my_line, DSPBTYPE);
writeline ( f, my_line );
end procedure write_type_header ;
file oFile : text open write_mode is XFILE;
Begin
-- data capture
-- write type information to output file
write_type_header(oFile);
-- Writing Output Signal into file
Output:process(clock)
variable traceline : line ;
begin
if (aclr ='1') then
-- do not record
elsif clock'event and clock='1' then
write(traceline, str(input),justified=>left);
writeline(oFile,traceline);
end if ;
end process ;
end architecture;
| mit |
lsangild/DSD | Exercise5/GuessGame/GuessGame.vhd | 1 | 1230 | -----Library statements -----
library ieee;
use ieee.std_logic_1164.all;
-----Entity declaration -----
entity GuessGame is
port(
inputs : in std_logic_vector(7 downto 0);
set : in std_logic; -- set predefined value
show : in std_logic; -- Show predefined value
try : in std_logic; -- Evaluate guess
hex1 : out std_logic_vector(6 downto 0); -- 7seg ones
hex10 : out std_logic_vector(6 downto 0) -- 7seg tens
);
end GuessGame;
architecture guessing of GuessGame is
-- declare signals, components here...
signal setValue, dispValue : std_logic_vector(7 downto 0) := "00000000";
begin
ones: entity work.DecimalSeg port map(bin => dispValue(3 downto 0), seg => hex1);
tens: entity work.DecimalSeg port map(bin => dispValue(7 downto 4), seg => hex10);
-- architecture body...
process(show, set, try)
begin
if set = '0' then
setValue <= inputs;
dispValue <= setValue; -- to avoid inferred latch for dispValue
elsif show = '0' then
dispValue <= setValue;
elsif try = '0' then
if inputs < setValue then
dispValue <= "10101011";
elsif inputs > setValue then
dispValue <= "11001101";
else
dispValue <= "11101110";
end if;
else
dispValue <= inputs;
end if;
end process;
end architecture; | mit |
Given-Jiang/Add_Frame | Add_Frame_dspbuilder/db/alt_dspbuilder_decoder_GNASZZCDAR.vhd | 2 | 903 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_decoder_GNASZZCDAR is
generic ( decode : string := "110";
pipeline : natural := 1;
width : natural := 3);
port(
aclr : in std_logic;
clock : in std_logic;
data : in std_logic_vector((width)-1 downto 0);
dec : out std_logic;
ena : in std_logic;
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_decoder_GNASZZCDAR is
Begin
-- DSP Builder Block - Simulink Block "Decoder"
Decoderi : alt_dspbuilder_sdecoderaltr Generic map (
width => 3,
decode => "110",
pipeline => 1)
port map (
aclr => aclr,
user_aclr => '0',
sclr => sclr,
clock => clock,
data => data,
dec => dec);
end architecture; | mit |
Given-Jiang/Add_Frame | Add_Frame_dspbuilder/hdl/alt_dspbuilder_cast_GN46N4UJ5S.vhd | 20 | 844 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GN46N4UJ5S is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic;
output : out std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GN46N4UJ5S is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 1 + 1 ,
width_inr=> 0,
width_outl=> 1,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(0) => input,
xin(1) => '0', yout => output
);
end architecture; | mit |
Given-Jiang/Add_Frame | tb_Add_Frame/db/alt_dspbuilder_cast_GNMU5M7DX7.vhd | 4 | 877 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNMU5M7DX7 is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(23 downto 0);
output : out std_logic_vector(3 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNMU5M7DX7 is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 8 + 1 ,
width_inr=> 16,
width_outl=> 4,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(23 downto 0) => input,
xin(24) => '0', yout => output
);
end architecture; | mit |
Given-Jiang/Add_Frame | Add_Frame_dspbuilder/hdl/alt_dspbuilder_cast_GNMU5M7DX7.vhd | 4 | 877 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNMU5M7DX7 is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(23 downto 0);
output : out std_logic_vector(3 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNMU5M7DX7 is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 8 + 1 ,
width_inr=> 16,
width_outl=> 4,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(23 downto 0) => input,
xin(24) => '0', yout => output
);
end architecture; | mit |
Given-Jiang/Add_Frame | tb_Add_Frame/hdl/alt_dspbuilder_decoder_GN7W55JURN.vhd | 4 | 903 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_decoder_GN7W55JURN is
generic ( decode : string := "100";
pipeline : natural := 1;
width : natural := 3);
port(
aclr : in std_logic;
clock : in std_logic;
data : in std_logic_vector((width)-1 downto 0);
dec : out std_logic;
ena : in std_logic;
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_decoder_GN7W55JURN is
Begin
-- DSP Builder Block - Simulink Block "Decoder"
Decoderi : alt_dspbuilder_sdecoderaltr Generic map (
width => 3,
decode => "100",
pipeline => 1)
port map (
aclr => aclr,
user_aclr => '0',
sclr => sclr,
clock => clock,
data => data,
dec => dec);
end architecture; | mit |
lsangild/DSD | Exercise7/2_Code_Lock/Tester.vhd | 1 | 479 | ----- Libraries -----
library ieee;
use ieee.std_logic_1164.all;
entity Tester is
port( CLOCK_50 : in std_logic;
KEY : in std_logic_vector(3 downto 2);
SW : in std_logic_vector(3 downto 0);
LEDR : out std_logic_vector(2 downto 0)
);
end Tester;
architecture Code_Test of Tester is
begin
cl : entity work.Code_Lock port map ( clk => CLOCK_50, reset => KEY(2), enter => KEY(3),
code => SW, lock => LEDR(0), err => LEDR(2 downto 1));
end Code_Test; | mit |
Given-Jiang/Add_Frame | tb_Add_Frame/hdl/alt_dspbuilder_constant_GN5UKV3NIG.vhd | 1 | 576 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GN5UKV3NIG is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000000000011001";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GN5UKV3NIG is
Begin
-- Constant
output <= "0000000000011001";
end architecture; | mit |
Given-Jiang/Add_Frame | Add_Frame_dspbuilder/hdl/alt_dspbuilder_vcc.vhd | 20 | 747 | -- This file is not intended for synthesis, is is present so that simulators
-- see a complete view of the system.
-- You may use the entity declaration from this file as the basis for a
-- component declaration in a VHDL file instantiating this entity.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity alt_dspbuilder_vcc is
port (
output : out std_logic
);
end entity alt_dspbuilder_vcc;
architecture rtl of alt_dspbuilder_vcc is
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic
);
end component alt_dspbuilder_vcc_GN;
begin
alt_dspbuilder_vcc_GN_0: if true generate
inst_alt_dspbuilder_vcc_GN_0: alt_dspbuilder_vcc_GN
port map(output => output);
end generate;
end architecture rtl;
| mit |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.