repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
jonathanrainer/serial_processors_project | simulations/greendroid_core_simulations/sources/utsa-var-args/utsa_var_args_testbench.vhd | 1 | 3125 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 04.03.2016 11:22:26
-- Design Name:
-- Module Name: rem_testbench - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity utsa_var_args_testbench is
end utsa_var_args_testbench;
architecture Behavioural of utsa_var_args_testbench is
signal sig_i00, sig_i01, sig_i02, sig_r00, sig_r01, sig_r02,
sig_FP, sig_FPout,sig_MDAT : std_logic_vector(31 DOWNTO 0);
signal sig_reset, sig_CLK, sig_MWAIT : std_logic;
component UTSA_VA_ARGSCoreAndMemory is
PORT (
in0 : IN std_logic_vector(31 DOWNTO 0);
in1 : IN std_logic_vector(31 DOWNTO 0);
in2 : IN std_logic_vector(31 DOWNTO 0);
out0 : OUT std_logic_vector(31 DOWNTO 0);
out1 : OUT std_logic_vector(31 DOWNTO 0);
out2 : OUT std_logic_vector(31 DOWNTO 0);
frame_pointer : IN std_logic_vector(31 DOWNTO 0);
frame_pointer_out : OUT std_logic_vector(31 DOWNTO 0);
rst : IN std_logic;
clck : IN std_logic;
mem_wait : IN std_logic;
mem_push : IN std_logic_vector(31 DOWNTO 0)
);
end component;
begin
uut: UTSA_VA_ARGSCoreAndMemory
port map (
in0 => sig_i00,
in1 => sig_i01,
in2 => sig_i02,
out0 => sig_r00,
out1 => sig_r01,
out2 => sig_r02,
frame_pointer => sig_FP,
frame_pointer_out => sig_FPout,
rst => sig_reset,
clck => sig_CLK,
mem_wait => sig_MWAIT,
mem_push => sig_MDAT
);
clock: process
constant clock_period:time := 40ns;
begin
wait for 200ns;
for I in 0 to 100 loop
sig_CLK <= '0';
wait for clock_period/2;
sig_CLK <= '1';
wait for clock_period/2;
end loop;
wait;
end process clock;
test: process begin
sig_MWAIT <= '1';
sig_reset <= '1';
wait for 100ns;
sig_reset <= '0';
wait for 100ns;
sig_i00 <= "00000000000000000000000000010000";
sig_i01 <= "00000000000000000000000000100000";
sig_i02 <= "00000000000000000000000001111001";
sig_MDAT <= "00000000000000000000000000011111";
sig_FP <= "00000000000000000000000001010000";
wait;
end process test;
end Behavioural;
| mit |
Pajeh/mips1 | src/vhdl/cpu_control.vhd | 1 | 7290 | -- Revision history:
-- 2015-08-12 Lukas Jaeger created
-- 2015-08-16 Lukas Jaeger fixed all bugs and made it working with the cpu
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library WORK;
use WORK.all;
entity cpu_control is
port(
clk : in std_logic;
rst : in std_logic;
rd_mask : out std_logic_vector(3 downto 0);
wr_mask : out std_logic_vector(3 downto 0);
instr_stall : in std_logic;
data_stall : in std_logic;
instr_in : in std_logic_vector(31 downto 0);
alu_op : out std_logic_vector(5 downto 0);
exc_mux1 : out std_logic_vector(1 downto 0);
exc_mux2 : out std_logic_vector(1 downto 0);
exc_alu_zero : in std_logic_vector(0 downto 0);
memstg_mux : out std_logic;
id_regdest_mux : out std_logic_vector (1 downto 0);
id_regshift_mux : out std_logic_vector (1 downto 0);
id_enable_regs : out std_logic;
in_mux_pc : out std_logic;
stage_control : out std_logic_vector (4 downto 0)
);
end entity cpu_control;
architecture structure_cpu_control of cpu_control is
signal instr_1, instr_2, instr_3, instr_4: std_logic_vector (31 downto 0);
begin
pipeline: process(clk, rst) is
begin
if (rst = '1') then
instr_1 <= x"00000000";
instr_2 <= x"00000000";
instr_3 <= x"00000000";
instr_4 <= x"00000000";
elsif (rising_edge(clk) and instr_stall /= '1' and data_stall /= '1') then
instr_1 <= instr_in;
instr_2 <= instr_1;
instr_3 <= instr_2;
instr_4 <= instr_3;
end if;
end process;
id: process (instr_1) is
begin
if (instr_1(31 downto 26) = "000000") then -- R-type instructions
id_regdest_mux <= "00";
id_regshift_mux <= "00";
if (instr_1(20 downto 0) = "000000000000000001000") then -- JR-instruction
in_mux_pc <= '1';
else
in_mux_pc <= '0';
end if;
else -- I-Type- and J-Type instructions. They can go together, because nobody cares about
-- the alu-result of a J-Type, so it does not matter, which value is yielded to ex
id_regdest_mux <= "10";
if (instr_1(31 downto 26) = "001111") then -- LUI needs a shift
id_regshift_mux <= "01";
elsif ((instr_1(31 downto 26) = "000010") -- J
or (instr_1 (31 downto 26) = "000011") -- JAL
or (instr_1 (31 downto 26) = "011101") -- JALX
or (instr_1 (31 downto 26) = "000100") -- BEQ
or (instr_1 (31 downto 26) = "000001") -- BGEZ
or (instr_1 (31 downto 26) = "000111") -- BGTZ
or (instr_1 (31 downto 26) = "000110") -- BLEZ
or (instr_1 (31 downto 26) = "000101") -- BEQZ
) then
id_regshift_mux <= "00";
in_mux_pc <= '1';
else
id_regshift_mux <= "00";
in_mux_pc <= '0';
end if;
end if;
end process;
ex: process (instr_2) is
begin
if (instr_2 (31 downto 26) = "001111") then --LUI
exc_mux1 <= "00";
exc_mux2 <= "01";
alu_op <="000100";
elsif ((instr_2 (31 downto 26) = "001001") --ADDIU
or (instr_2 (31 downto 26) = "100011") --LW
or (instr_2 (31 downto 26) = "101011") --SW
or (instr_2 (31 downto 26) = "101000") --SB
or (instr_2 (31 downto 26) = "100100") --LBU
)then
exc_mux1 <="10";
exc_mux2 <="01";
alu_op <="100000";
elsif (instr_2 (31 downto 26) = "001010") then --SLTI
exc_mux1 <="10";
exc_mux2 <="01";
alu_op <="001000";
elsif (instr_2 (31 downto 26) = "001100") then --ANDI
exc_mux1 <="10";
exc_mux2 <="01";
alu_op <="100100";
elsif (instr_2 (31 downto 26) = "001101") then --ORI
exc_mux1 <="10";
exc_mux2 <="01";
alu_op <="100101";
elsif ((instr_2 (31 downto 26) = "000000") and (instr_2(10 downto 0) = "00000101010")) then
exc_mux1 <= "10";
exc_mux2 <= "00";
alu_op <= "001000";
else --if (instr_2 (31 downto 26) = "000000") then -- NOP and other R-types and Ops, where the result does not matter
exc_mux1 <= "10";
exc_mux2 <= "00";
alu_op <= "000100";
end if;
end process;
mem: process (instr_3) is
begin
if (instr_3 (31 downto 26) = "100011") then --LW
memstg_mux <= '1';
rd_mask <= "1111";
wr_mask <= "0000";
elsif (instr_3 (31 downto 26) = "100100") then --LBU
memstg_mux <= '1';
rd_mask <= "0001";
wr_mask <= "0000";
elsif (instr_3 (31 downto 26) = "101011") then --SW
memstg_mux <= '0';
rd_mask <= "0000";
wr_mask <= "1111";
elsif (instr_3 (31 downto 26) = "101000") then --SB
memstg_mux <= '0';
rd_mask <= "0000";
wr_mask <= "0001";
else
memstg_mux <= '0';
rd_mask <= "0000";
wr_mask <= "0000";
end if;
end process;
wb: process (instr_4) is
begin
if ((instr_4 (31 downto 26) = "001111") or --LUI
(instr_4 (31 downto 26) = "001001") or --ADDIU
(instr_4 (31 downto 26) = "100011") or --LW
(instr_4 (31 downto 26) = "100100") or --LBU
(instr_4 (31 downto 26) = "001010") or --SLTI
(instr_4 (31 downto 26) = "001100") or --ANDI
(instr_4 (31 downto 26) = "001101") or --ORI
(instr_4 (31 downto 26) = "000000") and (instr_4(10 downto 0) = "00000101010")) --SLT
) then
id_enable_regs <= '1';
else
id_enable_regs <= '0';
end if;
end process;
stall: process (data_stall, instr_stall) is
begin
if (data_stall = '1' or instr_stall = '1') then
stage_control <= "00000";
else
stage_control <= "11111";
end if;
end process;
end architecture;
| mit |
plac-lab/TMIIaTest | Firmware/src/pulse2pulse.vhd | 1 | 5223 | --------------------------------------------------------------------------------
--! @file pulse2pulse.vhd
--! @brief Drive a pulse from one clock domain to another.
--!
--! Regardless of the duration of pulsein, the pulseout will be one out_clk
--! cycle wide and synchronized to out_clk. pulsein has to be synchronized to
--! in_clk already.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all ;
use ieee.std_logic_arith.all ;
use ieee.std_logic_unsigned.all ;
use ieee.std_logic_misc.all ;
--! A module that drive a pulse from one clock domain to another.
--! Regardless of the duration of pulsein, the pulseout will be one out_clk
--! cycle wide and synchronized to out_clk. pulsein has to be synchronized to
--! in_clk already.
entity pulse2pulse is
port (
in_clk :in std_logic; --! input clock
out_clk :in std_logic; --! output clock
rst :in std_logic; --! reset
pulsein :in std_logic; --! input pulse which is synchronized to in_clk
inbusy :out std_logic; --! notify input side that output is not ready yet
pulseout :out std_logic --! one out_clk wide output pulse, synchronized to out_clk
);
end pulse2pulse;
architecture syn of pulse2pulse is
-----------------------------------------------------------------------------------
--constant declarations
-----------------------------------------------------------------------------------
-----------------------------------------------------------------------------------
--constant declarations
-----------------------------------------------------------------------------------
-----------------------------------------------------------------------------------
--signal declarations
-----------------------------------------------------------------------------------
signal out_set :std_logic;
signal out_set_prev :std_logic;
signal out_set_prev2 :std_logic;
signal in_set :std_logic;
signal outreset :std_logic;
signal in_reset :std_logic;
signal in_reset_prev :std_logic;
signal in_reset_prev2:std_logic;
-----------------------------------------------------------------------------------
--component declarations
-----------------------------------------------------------------------------------
--*********************************************************************************
begin
--*********************************************************************************
-----------------------------------------------------------------------------------
--component instantiations
-----------------------------------------------------------------------------------
-----------------------------------------------------------------------------------
--synchronous processes
-----------------------------------------------------------------------------------
in_proc:process(in_clk,rst)
begin
if(rst = '1') then
in_reset <= '0';
in_reset_prev <= '0';
in_reset_prev2<= '0';
in_set <= '0';
elsif(in_clk'event and in_clk = '1') then
--regitser a pulse on the pulse in port
--reset the signal when the ouput has registerred the pulse
if (in_reset_prev = '1' and in_reset_prev2 = '1') then
in_set <= '0';
elsif (pulsein = '1') then
in_set <= '1';
end if;
--register the reset signal from the other clock domain
--three times. double stage synchronising circuit
--reduces the MTB
in_reset <= outreset;
in_reset_prev <= in_reset;
in_reset_prev2 <= in_reset_prev;
end if;
end process in_proc;
out_proc:process(out_clk,rst)
begin
if(rst = '1') then
out_set <= '0';
out_set_prev <= '0';
out_set_prev2 <= '0';
outreset <= '0';
pulseout <= '0';
elsif(out_clk'event and out_clk = '1') then
--generate a pulse on the output when the
--set signal has travelled through the synchronising fip flops
if (out_set_prev = '1' and out_set_prev2 = '0') then
pulseout <= '1';
else
pulseout <= '0';
end if;
--feedback the corret reception of the set signal to reset the set pulse
if (out_set_prev = '1' and out_set_prev2 = '1') then
outreset <= '1';
elsif (out_set_prev = '0' and out_set_prev2 = '0') then
outreset <= '0';
end if;
--register the reset signal from the other clock domain
--three times. double stage synchronising circuit
--reduces the MTB
out_set <= in_set;
out_set_prev <= out_set;
out_set_prev2 <= out_set_prev;
end if;
end process out_proc;
-----------------------------------------------------------------------------------
--asynchronous processes
-----------------------------------------------------------------------------------
-----------------------------------------------------------------------------------
--asynchronous mapping
-----------------------------------------------------------------------------------
inbusy <= in_set or in_reset_prev;
-------------------
-------------------
end syn;
| mit |
cadesalaberry/digital-system-design | lab2/g23_7_segment_decoder.vhd | 1 | 2127 | ---- generates the appropriate 7-segment display associated with the input code
--
-- entity name: g23_7_segment_decoder
--
-- Copyright (C) 2014 cadesalaberry, grahamludwinski
--
-- Version 1.0
--
-- Author:
-- Charles-Antoine de Salaberry; [email protected],
-- Graham Ludwinski; [email protected]
--
-- Date: 13/02/2014
library ieee; -- allows use of the std_logic_vector type
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; -- allows use of the unsigned type
entity g23_7_segment_decoder is
port (
code : in std_logic_vector(3 downto 0);
RippleBlank_In : in std_logic;
RippleBlank_Out : out std_logic;
segments : out std_logic_vector(6 downto 0)
);
end g23_7_segment_decoder;
architecture alpha of g23_7_segment_decoder is
signal temp : std_logic_vector(7 downto 0);
begin
RippleBlank_Out <= temp(7);
segments <= temp(6 downto 0);
with RippleBlank_In & code select
temp <=
"0000001" when "00000", -- '0'
"1001111" when "00001", -- '1'
"0010010" when "00010", -- '2'
"0000110" when "00011", -- '3'
"1001100" when "00100", -- '4'
"0100100" when "00101", -- '5'
"0100000" when "00110", -- '6'
"0001111" when "00111", -- '7'
"0000000" when "01000", -- '8'
"0000100" when "01001", -- '9'
"0001000" when "01010", -- 'A'
"1100000" when "01011", -- 'b' (lowercase B)
"0110001" when "01100", -- 'C'
"1000010" when "01101", -- 'd' (lowercase D)
"0110000" when "01110", -- 'E'
"0111000" when "01111", -- 'F'
"1111111" when "10000",
"1001111" when "10001", -- '1'
"0010010" when "10010", -- '2'
"0000110" when "10011", -- '3'
"1001100" when "10100", -- '4'
"0100100" when "10101", -- '5'
"0100000" when "10110", -- '6'
"0001111" when "10111", -- '7'
"0000000" when "11000", -- '8'
"0000100" when "11001", -- '9'
"0001000" when "11010", -- 'A'
"0000000" when "11011", -- 'B'
"0110001" when "11100", -- 'C'
"0000001" when "11101", -- 'D'
"0110000" when "11110", -- 'E'
"0111000" when "11111", -- 'F'
"1011010" when others;
end alpha; | mit |
Pajeh/mips1 | test/vhdl/tb_fsm2.vhd | 1 | 203 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library WORK;
use WORK.cpu_pack.all;
entity tb_fsm2 is
end entity tb_fsm2;
architecture behavioural of tb_fsm2 is
end architecture; | mit |
Pajeh/mips1 | test/vhdl/tb_alu.vhd | 1 | 2276 | -- revision history:
-- 05.08.2015 Patrick Appenheimer created
-- 05.08.2015 Patrick Appenheimer testcase added
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
library WORK;
use WORK.cpu_pack.all;
entity tb_alu is
end entity tb_alu;
architecture behav_tb_alu of tb_alu is
-- -------- SIMULATION CONSTANTS -----
constant CLK_TIME : time := 2500 ps;
constant RST_TIME : time := 15 ns;
-- -------- ALU INTERFACE -----------------
signal clk : std_logic := '0';
signal rst : std_logic;
signal test_in_a : std_logic_vector(31 downto 0);
signal test_in_b : std_logic_vector(31 downto 0);
signal test_function_code : std_logic_vector(5 downto 0);
signal test_result : std_logic_vector(31 downto 0);
signal test_zero : std_logic_vector(0 downto 0);
-- ------ SIMULATION CONTROL ---------------
signal sim_finish : std_logic;
begin
-- GENERAL CONTROL SIGNALS
clk <= not clk after CLK_TIME;
rst <= '1', '0' after RST_TIME;
-- ALU
u1_alu: entity work.alu(behave)
PORT MAP(test_in_a, test_in_b, test_function_code, test_result, test_zero);
-- TEST PROCESS
test_process:
process
begin
sim_finish <= '0';
test_in_a <= x"0000_0001";
test_in_b <= x"0000_0001";
test_function_code <= b"10_0000";
wait for 1 ns;
test_in_a <= x"0000_0000";
test_in_b <= x"0000_0000";
wait for 1 ns;
test_in_a <= x"0000_0002";
test_in_b <= x"0000_0003";
test_function_code <= b"10_0000";
wait for 1 ns;
test_function_code <= b"11_0000";
wait for 1 ns;
test_in_a <= x"0000_0001";
test_in_b <= x"0000_0001";
test_function_code <= b"10_0100";
wait for 1 ns;
test_in_a <= x"0000_0001";
test_in_b <= x"0000_0002";
wait for 1 ns;
test_in_a <= x"0000_000F";
test_in_b <= x"0000_000C";
wait for 1 ns;
test_function_code <= b"10_0011";
wait for 1 ns;
test_in_a <= x"0000_0005";
test_in_b <= x"0000_000A";
test_function_code <= b"10_0101";
wait for 1 ns;
sim_finish <= '1';
wait;
end process;
end architecture behav_tb_alu;
| mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/axis_accelerator_adapter_v2_1/hdl/src/vhdl/xd_m2s_memory_dc.vhd | 1 | 35061 | -------------------------------------------------------------------------------
-- Title : Accelerator Adapter
-- Project :
-------------------------------------------------------------------------------
-- File : xd_m2s_memory_dc.vhd
-- Author : rmg/jn
-- Company : Xilinx, Inc.
-- Created : 2012-09-05
-- Last update: 2013-10-25
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-09-05 1.0 rmg/jn Created
-- 2013-10-25 2.0 pvk Added support for UltraScale primitives.
-------------------------------------------------------------------------------
-- ****************************************************************************
--
-- (c) Copyright 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- ****************************************************************************
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.numeric_std.all;
library axis_accelerator_adapter_v2_1_6;
use axis_accelerator_adapter_v2_1_6.xd_adapter_pkg.all;
use axis_accelerator_adapter_v2_1_6.arg_mem_bank;
use axis_accelerator_adapter_v2_1_6.oarg_columnized_mem_bank;
use axis_accelerator_adapter_v2_1_6.srl_fifo_32_wt;
entity xd_m2s_memory_dc is
generic (
-- System generics:
C_FAMILY : string ; -- Xilinx FPGA family
C_MTBF_STAGES : integer;
C_BRAM_TYPE : string := "7_SERIES"; -- 7_SERIES = RAMB36E1. ULTRASCALE = RAMB36E2
SIZE_WIDTH : integer;
CONV_DATA_WIDTH : integer;
CONV_ADDR_WIDTH : integer;
C_AP_ARG_DATA_WIDTH : integer;
C_AP_ARG_ADDR_WIDTH : integer;
C_MULTIBUFFER_DEPTH : integer;
C_AP_ARG_WIDTH : integer;
C_AP_ARG_N_DIM : integer;
C_AP_ARG_DIMS : int_vector;
C_AP_ARG_DIM_1 : integer;
C_AP_ARG_DIM_2 : integer;
C_AP_ARG_FORMAT_TYPE : integer;
C_AP_ARG_FORMAT_FACTOR : integer;
C_AP_ARG_FORMAT_DIM : integer;
C_NONE : integer := 2);
port (
clk : in std_logic;
rst : in std_logic;
conv_addr : in std_logic_vector(CONV_ADDR_WIDTH-1 downto 0);
conv_ce : in std_logic;
conv_we : in std_logic;
conv_last : in std_logic;
conv_rdy : out std_logic;
conv_data : out std_logic_vector(CONV_DATA_WIDTH-1 downto 0);
conv_size : out std_logic_vector(SIZE_WIDTH-1 downto 0);
sw_length : in std_logic_vector(31 downto 0);
sw_length_we : in std_logic;
use_sw_length : in std_logic;
ap_clk : in std_logic;
ap_rst : in std_logic;
ap_arg_addr : in std_logic_vector(C_AP_ARG_ADDR_WIDTH-1 downto 0);
ap_arg_ce : in std_logic;
ap_arg_we : in std_logic;
ap_arg_din : in std_logic_vector(C_AP_ARG_DATA_WIDTH-1 downto 0);
ap_arg_dout : out std_logic_vector(C_AP_ARG_DATA_WIDTH-1 downto 0);
ap_arg_rqt : out std_logic;
ap_arg_ack : in std_logic;
-- Status info
ap_arg_empty : out std_logic;
ap_arg_full : out std_logic;
ap_arg_used : out std_logic_vector(3 downto 0)); -- Number of used buffers
end entity;
architecture rtl of xd_m2s_memory_dc is
function calc_sw_length_fifo_width return integer is
variable N_elements : integer;
begin
if (C_AP_ARG_N_DIM = 2) then
N_elements := C_AP_ARG_DIM_1*C_AP_ARG_DIM_2;
else
N_elements := C_AP_ARG_DIM_1;
end if;
return log2(N_elements)+1;
end function calc_sw_length_fifo_width;
function calc_use_columnized_bank return boolean is
variable ret : boolean := false;
begin
if (C_AP_ARG_N_DIM = 2) then
if(C_AP_ARG_FORMAT_TYPE = FORMAT_TYPE_RESHAPE_BLOCK) then
if(C_AP_ARG_FORMAT_DIM = 1 and C_AP_ARG_FORMAT_FACTOR > 1) then
ret := true;
end if;
end if;
end if;
return ret;
end function calc_use_columnized_bank;
--------------------------------------------------------------------------
-- C_AP_ARG_DIMS generic is a vector with range between 1 and C_AP_ARG_N_DIM.
-- It follows VivadoHLS approach (i.e., dimension 1 is the closest to the
-- varibale declaration). For example, A[2][4][8]:
-- C_AP_ARG_DIMS[1] = 2
-- C_AP_ARG_DIMS[2] = 4
-- C_AP_ARG_DIMS[3] = 8
-- For the memory bank organization, it's easier to have this sorted
-- differently, so it reflects the linear organization in which is stored in
-- memory. That is, the dimension more far away from definition is 0 and
-- closest is C_AP_ARG_N_DIM-1. For previous example:
-- ARG_DIMS[2] = 2
-- ARG_DIMS[1] = 4
-- ARG_DIMS[0] = 8
constant ARG_DIMS : int_vector(0 to C_AP_ARG_N_DIM-1) := C_AP_ARG_DIMS;
function calc_addr_lsb return int_vector is
variable lsb_vector : int_vector(C_AP_ARG_N_DIM-1 downto 0);
variable msb_vector : int_vector(C_AP_ARG_N_DIM-1 downto 0);
variable lsb : integer := 0;
variable dim_width : integer;
begin
for i in 0 to C_AP_ARG_N_DIM-1 loop
dim_width := log2(ARG_DIMS(i));
lsb_vector(i) := lsb;
msb_vector(i) := lsb+dim_width-1;
lsb := lsb + dim_width;
end loop;
return lsb_vector;
end function calc_addr_lsb;
function calc_addr_msb return int_vector is
variable lsb_vector : int_vector(C_AP_ARG_N_DIM-1 downto 0);
variable msb_vector : int_vector(C_AP_ARG_N_DIM-1 downto 0);
variable lsb : integer := 0;
variable dim_width : integer;
begin
for i in 0 to C_AP_ARG_N_DIM-1 loop
dim_width := log2(ARG_DIMS(i));
lsb_vector(i) := lsb;
msb_vector(i) := lsb+dim_width-1;
lsb := lsb + dim_width;
end loop;
return msb_vector;
end function calc_addr_msb;
--constant PTR_WIDTH : integer := log2(C_MULTIBUFFER_DEPTH);
constant PTR_WIDTH : integer := if_then_else((C_MULTIBUFFER_DEPTH = 1),1,log2(C_MULTIBUFFER_DEPTH));
constant GRAY_WIDTH : integer := calc_gray_width(C_MULTIBUFFER_DEPTH);
constant INIT_RD_GRAY : integer := 0;
constant INIT_WR_GRAY : integer := INIT_RD_GRAY;
constant INIT_WR_GRAY_AHEAD : integer := INIT_RD_GRAY-C_MULTIBUFFER_DEPTH+1;
constant conv_din_zero : std_logic_vector(CONV_DATA_WIDTH-1 downto 0) := (others => '0');
constant SW_LENGTH_FIFO_WIDTH : integer := calc_sw_length_fifo_width;
constant USE_COLUMNIZED_BANK : boolean := calc_use_columnized_bank;
signal empty_n : std_logic;
signal full_n : std_logic;
-- Multibuffer push/pop
signal mb_push : std_logic;
signal mb_pop : std_logic;
signal mb_push_ok : std_logic;
signal mb_pop_ok : std_logic;
signal mb_pop_ok1 : std_logic;
signal mb_pop_ok_rd : std_logic;
signal mb_pop_ok_vect: std_logic_vector(0 downto 0);
--
signal iport_ce : std_logic; -- ap_arg_ce validated with full_n
signal oport_ce : std_logic; -- conv_ce validated with empty_n
-- Read buffer selection
signal rd_ptr : unsigned(PTR_WIDTH-1 downto 0);
signal rd_pntr : std_logic_vector(PTR_WIDTH-1 downto 0);
signal rd_pntr_wr : std_logic_vector(PTR_WIDTH-1 downto 0);
signal rd_ptr_dec : std_logic_vector(C_MULTIBUFFER_DEPTH-1 downto 0);
signal rd_gray : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal rd_gray_wr : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal next_rd_gray : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal next_rd_gray_wr: std_logic_vector(GRAY_WIDTH-1 downto 0);
signal prev_rd_gray : std_logic_vector(GRAY_WIDTH-1 downto 0);
-- Gray counter for writes synchronized with read clock
signal wr_gray_sync : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal rd_bin : unsigned(GRAY_WIDTH-1 downto 0);
signal rd_bins : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal wr_bin : unsigned(GRAY_WIDTH-1 downto 0);
signal wr_bins : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal ptr_dist : unsigned(GRAY_WIDTH-1 downto 0);
signal pntr_dist : std_logic_vector(PTR_WIDTH-1 downto 0);
-- Write buffer selection
signal wr_ptr : unsigned(PTR_WIDTH-1 downto 0);
signal wr_pntr : std_logic_vector(PTR_WIDTH-1 downto 0);
signal wr_pntr_rd : std_logic_vector(PTR_WIDTH-1 downto 0);
signal wr_ptr_dec : std_logic_vector(C_MULTIBUFFER_DEPTH-1 downto 0);
signal wr_gray : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal wr_gray_rd : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal wr_gray_ahead : std_logic_vector(GRAY_WIDTH-1 downto 0);
signal wr_gray_ahead_rd : std_logic_vector(GRAY_WIDTH-1 downto 0);
-- Only required for almost empty:
signal prev_wr_gray : std_logic_vector(GRAY_WIDTH-1 downto 0);
-- pragma translate_off
signal empty : std_logic;
signal full : std_logic;
-- pragma translate_on
signal ap_arg_hw_length : unsigned(C_AP_ARG_ADDR_WIDTH downto 0);
signal ap_arg_length : std_logic_vector(C_AP_ARG_ADDR_WIDTH downto 0);
signal sw_length_fifo_dout : std_logic_vector(SW_LENGTH_FIFO_WIDTH-1 downto 0);
signal sw_length_wr : std_logic_vector(SW_LENGTH_FIFO_WIDTH-1 downto 0);
signal sw_length_rd : std_logic_vector(SW_LENGTH_FIFO_WIDTH-1 downto 0);
signal sw_length_we_vector : std_logic_vector(0 downto 0);
signal sw_length_we_rd : std_logic;
signal sw_length_we_rd_vector : std_logic_vector(0 downto 0);
signal ap_arg_full_i : std_logic;
type length_mem_type is array (2**PTR_WIDTH-1 downto 0) of std_logic_vector(C_AP_ARG_ADDR_WIDTH downto 0);
signal hw_length_mem : length_mem_type;
signal hw_length_mem_dout : std_logic_vector(C_AP_ARG_ADDR_WIDTH downto 0);
signal hw_length_mem_dout_rd : std_logic_vector(C_AP_ARG_ADDR_WIDTH downto 0);
attribute ram_style : string;
attribute ram_style of hw_length_mem : signal is "distributed";
constant C_EXTRA_SYNCS : integer := 1;
begin
EXISTING : if (C_EXTRA_SYNCS = 0) generate
begin
-- pragma translate_off
empty <= not(empty_n);
full <= not(full_n);
-- pragma translate_on
-- New buffer has been produced when accelerator generates ack and the
-- multibuffer is not full.
mb_push <= full_n and ap_arg_ack;
-- New buffer consumed when the last data is read and the multibuffer is not
-- empty.
mb_pop <= empty_n and conv_ce and conv_last;
-- Selection pointer to write buffer (push)
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
wr_ptr <= (others => '0');
wr_ptr_dec <= (others => '0');
wr_ptr_dec(0) <= '1';
elsif(ap_clk'event and ap_clk = '1') then
if (mb_push_ok = '1') then
if(wr_ptr = C_MULTIBUFFER_DEPTH-1) then
wr_ptr <= (others => '0');
else
wr_ptr <= wr_ptr + 1;
end if;
wr_ptr_dec <= wr_ptr_dec(C_MULTIBUFFER_DEPTH-2 downto 0) & wr_ptr_dec(C_MULTIBUFFER_DEPTH-1);
end if;
end if;
end process;
-- Gray pointers (write) to manage status of multibuffer
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
wr_gray_ahead <= bin2gray(INIT_WR_GRAY_AHEAD, GRAY_WIDTH);
wr_gray <= bin2gray(INIT_WR_GRAY, GRAY_WIDTH);
prev_wr_gray <= bin2gray(INIT_WR_GRAY-1, GRAY_WIDTH);
elsif(ap_clk'event and ap_clk = '1') then
if (mb_push_ok = '1') then
wr_gray_ahead <= gray_inc(wr_gray_ahead);
wr_gray <= gray_inc(wr_gray);
prev_wr_gray <= wr_gray;
end if;
end if;
end process;
-- Full status signal generation
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
full_n <= '0';
elsif(ap_clk'event and ap_clk = '1') then
if(full_n = '0') then
-- Stay in full if wr_gray_ahead = next_rd_gray
if(wr_gray_ahead = next_rd_gray) then
full_n <= '0';
else
full_n <= '1';
end if;
else
-- Go to full if writting and wr_gray_ahead = rd_gray
if(wr_gray_ahead = rd_gray) then
full_n <= not(mb_push_ok);
else
full_n <= '1';
end if;
end if;
end if;
end process;
-- Read buffer selection pointer (pop)
process(clk, rst)
begin
if(rst = '1') then
rd_ptr <= (others => '0');
rd_ptr_dec <= (others => '0');
rd_ptr_dec(0) <= '1';
elsif(clk'event and clk = '1') then
if (mb_pop_ok = '1') then
if(rd_ptr = C_MULTIBUFFER_DEPTH-1) then
rd_ptr <= (others => '0');
else
rd_ptr <= rd_ptr + 1;
end if;
rd_ptr_dec <= rd_ptr_dec(C_MULTIBUFFER_DEPTH-2 downto 0) & rd_ptr_dec(C_MULTIBUFFER_DEPTH-1);
end if;
end if;
end process;
-- Gray pointers (read) to manage status of multibuffer
process(clk, rst)
begin
if(rst = '1') then
next_rd_gray <= bin2gray(INIT_RD_GRAY+1, GRAY_WIDTH);
rd_gray <= bin2gray(INIT_RD_GRAY, GRAY_WIDTH);
prev_rd_gray <= bin2gray(INIT_RD_GRAY-1, GRAY_WIDTH);
elsif(clk'event and clk = '1') then
if (mb_pop_ok = '1') then
next_rd_gray <= gray_inc(next_rd_gray);
rd_gray <= next_rd_gray;
prev_rd_gray <= rd_gray;
end if;
end if;
end process;
-- Empty status signal generation:
process(clk, rst)
begin
if(rst = '1') then
empty_n <= '0';
elsif(clk'event and clk = '1') then
if(empty_n = '0') then
-- Stay in empty if rd_gray = wr_gray
if(rd_gray = wr_gray) then
empty_n <= '0';
else
empty_n <= '1';
end if;
else
-- Move to empty if reading and next_rd_gray = wr_gray
if(next_rd_gray = wr_gray) then
empty_n <= not(mb_pop_ok);
else
empty_n <= '1';
end if;
end if;
end if;
end process;
mb_push_ok <= mb_push and full_n;
-- Management of pseudo-static buffers
-- Para los argumentos de salida no hay buffers pseudo-estáticos.
mb_pop_ok <= mb_pop and empty_n;
---------------------------------------------
conv_rdy <= empty_n;
ap_arg_rqt <= full_n;
iport_ce <= ap_arg_ce and full_n;
oport_ce <= conv_ce and empty_n;
-- Number of used buffers it's calculated as the distance between read/write
-- pointers
-- synch wr_gray to reduce metastability. Added one cycle clock latency on rd_clk
process(clk, rst)
begin
if(rst = '1') then
wr_gray_sync <= bin2gray(INIT_WR_GRAY, GRAY_WIDTH);
elsif(clk'event and clk = '1') then
wr_gray_sync <= wr_gray;
end if;
end process;
wr_bin <= unsigned(gray2bin(wr_gray_sync));
process(clk, rst)
begin
if(rst = '1') then
rd_bin <= to_unsigned(INIT_RD_GRAY, GRAY_WIDTH);
elsif(clk'event and clk = '1') then
if (mb_pop_ok = '1') then
rd_bin <= rd_bin + 1;
end if;
end if;
end process;
-- If we only look at the pointers, there will be one cycle latency to reflect
-- the status of the fifo. To refresh inmediately during a read, we decrement
-- the counter
process(clk, rst)
begin
if(rst = '1') then
ptr_dist <= (others => '0');
elsif(clk'event and clk = '1') then
if (mb_pop_ok = '1') then
ptr_dist <= ptr_dist - 1;
else
-- This ia also valid when we arrive at the end of sequence counter wr_bin < rd_bin
ptr_dist <= wr_bin - rd_bin;
end if;
end if;
end process;
process(ptr_dist)
begin
ap_arg_used <= (others => '0');
ap_arg_used(ptr_dist'range) <= std_logic_vector(ptr_dist);
end process;
-- Status signals empty/full should be synchronized with AXI clk
ap_arg_empty <= not(empty_n);
process(clk, rst)
begin
if(rst = '1') then
ap_arg_full_i <= '1';
elsif(clk'event and clk = '1') then
-- If read, we move out of full state
if(mb_pop_ok = '1') then
ap_arg_full_i <= '0';
else
-- Stay in full if wr_gray_ahead = next_rd_gray
if(wr_gray_ahead = next_rd_gray) then
ap_arg_full_i <= '1';
else
ap_arg_full_i <= '0';
end if;
end if;
end if;
end process;
ap_arg_full <= ap_arg_full_i;
end generate EXISTING;
NEW_INTRO : if (C_EXTRA_SYNCS = 1) generate
CONSTANT LOG2DEPTH : integer := log2(C_MULTIBUFFER_DEPTH);
CONSTANT ONE : std_logic_vector(PTR_WIDTH-1 DOWNTO 0)
:= int2lv(1, PTR_WIDTH);
begin
-- pragma translate_off
empty <= not(empty_n);
full <= not(full_n);
-- pragma translate_on
-- New buffer has been produced when accelerator generates ack and the
-- multibuffer is not full.
mb_push <= full_n and ap_arg_ack;
-- New buffer consumed when the last data is read and the multibuffer is not
-- empty.
mb_pop <= empty_n and conv_ce and conv_last;
-- Selection pointer to write buffer (push)
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
wr_pntr <= (others => '0');
elsif(ap_clk'event and ap_clk = '1') then
if (mb_push_ok = '1') then
if(wr_pntr = int2lv(C_MULTIBUFFER_DEPTH-1,PTR_WIDTH)) then
wr_pntr <= (others => '0');
else
wr_pntr <= wr_pntr + ONE;
end if;
end if;
end if;
end process;
process(clk, rst)
begin
if(rst = '1') then
rd_pntr <= (others => '0');
elsif(clk'event and clk = '1') then
if (mb_pop_ok_rd = '1') then
if(rd_pntr = int2lv(C_MULTIBUFFER_DEPTH-1,PTR_WIDTH)) then
rd_pntr <= (others => '0');
else
rd_pntr <= rd_pntr + ONE;
end if;
end if;
end if;
end process;
-- Gray pointers (write) to manage status of multibuffer
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
wr_gray_ahead <= bin2gray(INIT_WR_GRAY_AHEAD, GRAY_WIDTH);
wr_gray <= bin2gray(INIT_WR_GRAY, GRAY_WIDTH);
prev_wr_gray <= bin2gray(INIT_WR_GRAY-1, GRAY_WIDTH);
elsif(ap_clk'event and ap_clk = '1') then
if (mb_push_ok = '1') then
wr_gray_ahead <= gray_inc(wr_gray_ahead);
wr_gray <= gray_inc(wr_gray);
prev_wr_gray <= wr_gray;
end if;
end if;
end process;
-- Gray pointers (read) to manage status of multibuffer
process(clk, rst)
begin
if(rst = '1') then
next_rd_gray <= bin2gray(INIT_RD_GRAY+1, GRAY_WIDTH);
rd_gray <= bin2gray(INIT_RD_GRAY, GRAY_WIDTH);
prev_rd_gray <= bin2gray(INIT_RD_GRAY-1, GRAY_WIDTH);
elsif(clk'event and clk = '1') then
if (mb_pop_ok_rd = '1') then
next_rd_gray <= gray_inc(next_rd_gray);
rd_gray <= next_rd_gray;
prev_rd_gray <= rd_gray;
end if;
end if;
end process;
clkx_1: ENTITY axis_accelerator_adapter_v2_1_6.clk_x_pntrs
GENERIC MAP(
C_HAS_RST => 1,
C_RD_PNTR_WIDTH => GRAY_WIDTH,
C_WR_PNTR_WIDTH => GRAY_WIDTH,
C_MSGON_VAL => 1,
C_SYNCHRONIZER_STAGE => C_MTBF_STAGES
)
PORT MAP(
WR_CLK => ap_clk,
RD_CLK => clk,
WR_RST => ap_rst,
RD_RST => rst,
WR_PNTR => wr_gray,
RD_PNTR => rd_gray,
WR_PNTR_RD => wr_gray_rd,
RD_PNTR_WR => rd_gray_wr
);
clkx_2: ENTITY axis_accelerator_adapter_v2_1_6.clk_x_pntrs
GENERIC MAP(
C_HAS_RST => 1,
C_RD_PNTR_WIDTH => GRAY_WIDTH,
C_WR_PNTR_WIDTH => GRAY_WIDTH,
C_MSGON_VAL => 1,
C_SYNCHRONIZER_STAGE => C_MTBF_STAGES
)
PORT MAP(
WR_CLK => ap_clk,
RD_CLK => clk,
WR_RST => ap_rst,
RD_RST => rst,
WR_PNTR => wr_gray_ahead,
RD_PNTR => next_rd_gray,
WR_PNTR_RD => wr_gray_ahead_rd,
RD_PNTR_WR => next_rd_gray_wr
);
clkx_3: ENTITY axis_accelerator_adapter_v2_1_6.clk_x_pntrs
GENERIC MAP(
C_HAS_RST => 1,
C_RD_PNTR_WIDTH => PTR_WIDTH,
C_WR_PNTR_WIDTH => PTR_WIDTH,
C_MSGON_VAL => 1,
C_SYNCHRONIZER_STAGE => C_MTBF_STAGES
)
PORT MAP(
WR_CLK => ap_clk,
RD_CLK => clk,
WR_RST => ap_rst,
RD_RST => rst,
WR_PNTR => wr_pntr,
RD_PNTR => rd_pntr,
WR_PNTR_RD => wr_pntr_rd,
RD_PNTR_WR => rd_pntr_wr
);
-- Full status signal generation
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
full_n <= '0';
elsif(ap_clk'event and ap_clk = '1') then
if(full_n = '0') then
-- Stay in full if wr_gray_ahead = next_rd_gray
if(wr_gray_ahead = next_rd_gray_wr) then
full_n <= '0';
else
full_n <= '1';
end if;
else
-- Go to full if writting and wr_gray_ahead = rd_gray
if(wr_gray_ahead = rd_gray_wr) then
full_n <= not(mb_push_ok);
else
full_n <= '1';
end if;
end if;
end if;
end process;
prd1: PROCESS (clk, rst)
BEGIN
-- Register Stage #1
IF (rst = '1') THEN
mb_pop_ok1 <= '0';
mb_pop_ok_rd <= '0';
ELSIF (clk'event and clk = '1') THEN
mb_pop_ok1 <= mb_pop_ok;
mb_pop_ok_rd <= mb_pop_ok1;
END IF;
END PROCESS prd1;
-- Empty status signal generation:
process(clk, rst)
begin
if(rst = '1') then
empty_n <= '0';
elsif(clk'event and clk = '1') then
if(empty_n = '0') then
-- Stay in empty if rd_gray = wr_gray
if(rd_gray = wr_gray_rd) then
empty_n <= '0';
else
empty_n <= '1';
end if;
else
-- Move to empty if reading and next_rd_gray = wr_gray
if(next_rd_gray = wr_gray_rd) then
empty_n <= not(mb_pop_ok_rd);
else
empty_n <= '1';
end if;
end if;
end if;
end process;
mb_push_ok <= mb_push and full_n;
-- Management of pseudo-static buffers
-- Para los argumentos de salida no hay buffers pseudo-estáticos.
mb_pop_ok <= mb_pop and empty_n;
---------------------------------------------
conv_rdy <= empty_n;
ap_arg_rqt <= full_n;
iport_ce <= ap_arg_ce and full_n;
oport_ce <= conv_ce and empty_n;
-- If we only look at the pointers, there will be one cycle latency to reflect
-- the status of the fifo. To refresh inmediately during a read, we decrement
-- the counter
MBn : if (C_MULTIBUFFER_DEPTH > 1) generate
begin
process(clk, rst)
begin
if(rst = '1') then
pntr_dist <= (others => '0');
elsif(clk'event and clk = '1') then
if (mb_pop_ok_rd = '1') then
pntr_dist <= pntr_dist - 1;
else
-- This ia also valid when we arrive at the end of sequence counter wr_bin < rd_bin
--pntr_dist <= ('0' & wr_pntr_rd) - ('0' & rd_pntr);
pntr_dist <= (wr_pntr_rd) - (rd_pntr);
end if;
end if;
end process;
end generate MBn;
MB1 : if (C_MULTIBUFFER_DEPTH = 1) generate
begin
process(clk, rst)
begin
if(rst = '1') then
rd_bins <= (others => '0');
elsif(clk'event and clk = '1') then
if (mb_pop_ok_rd = '1') then
rd_bins <= rd_bins + 1;
end if;
end if;
end process;
wr_bins <= wr_gray_rd;
process(clk, rst)
begin
if(rst = '1') then
pntr_dist <= (others => '0');
elsif(clk'event and clk = '1') then
if (mb_pop_ok_rd = '1') then
pntr_dist <= pntr_dist - 1;
else
-- This ia also valid when we arrive at the end of sequence counter wr_bin < rd_bin
--pntr_dist <= ('0' & wr_pntr_rd) - ('0' & rd_pntr);
pntr_dist <= (wr_bins) - (rd_bins);
end if;
end if;
end process;
end generate MB1;
process(pntr_dist)
begin
ap_arg_used <= (others => '0');
ap_arg_used(pntr_dist'range) <= (pntr_dist);
end process;
-- Status signals empty/full should be synchronized with AXI clk
ap_arg_empty <= not(empty_n);
process(clk, rst)
begin
if(rst = '1') then
ap_arg_full_i <= '1';
elsif(clk'event and clk = '1') then
-- If read, we move out of full state
if(mb_pop_ok_rd = '1') then
ap_arg_full_i <= '0';
else
-- Stay in full if wr_gray_ahead = next_rd_gray
if(wr_gray_ahead_rd = next_rd_gray) then
ap_arg_full_i <= '1';
else
ap_arg_full_i <= '0';
end if;
end if;
end if;
end process;
ap_arg_full <= ap_arg_full_i;
end generate NEW_INTRO;
LINEAR_BANK_GEN : if not(USE_COLUMNIZED_BANK) generate
-- address width for input port is the addition of required bits for the
-- argument plus the required bits to select buffer (PTR_WIDTH).
constant IPORT_ADDR_WIDTH : integer := C_AP_ARG_ADDR_WIDTH+log2(C_MULTIBUFFER_DEPTH);
constant OPORT_ADDR_WIDTH : integer := CONV_ADDR_WIDTH+log2(C_MULTIBUFFER_DEPTH);
signal iport_addr : std_logic_vector(IPORT_ADDR_WIDTH-1 downto 0);
signal oport_addr : std_logic_vector(OPORT_ADDR_WIDTH-1 downto 0);
begin
MB1_addr : if (C_MULTIBUFFER_DEPTH = 1) generate
begin
iport_addr <= ap_arg_addr;
oport_addr <= conv_addr;
end generate MB1_addr;
MBn_addr : if (C_MULTIBUFFER_DEPTH > 1) generate
begin
iport_addr <= std_logic_vector(wr_pntr) & ap_arg_addr;
oport_addr <= std_logic_vector(rd_pntr) & conv_addr;
end generate MBn_addr;
MEM_I : entity axis_accelerator_adapter_v2_1_6.arg_mem_bank
generic map (
C_FAMILY => C_FAMILY,
C_BRAM_TYPE => C_BRAM_TYPE,
C_IS_UNIDIR => 0,
C_IPORT_DWIDTH => C_AP_ARG_DATA_WIDTH,
C_IPORT_AWIDTH => IPORT_ADDR_WIDTH,
C_OPORT_DWIDTH => CONV_DATA_WIDTH,
C_OPORT_AWIDTH => OPORT_ADDR_WIDTH)
port map (
rst => ap_rst,
iport_clk => ap_clk,
iport_ce => iport_ce,
iport_we => ap_arg_we,
iport_addr => iport_addr,
iport_din => ap_arg_din,
iport_dout => ap_arg_dout,
oport_clk => clk,
oport_ce => oport_ce,
oport_we => conv_we,
oport_addr => oport_addr,
oport_din => conv_din_zero,
oport_dout => conv_data);
end generate LINEAR_BANK_GEN;
COLUMNIZED_BANK_GEN : if (USE_COLUMNIZED_BANK) generate
begin
MEM_I : entity axis_accelerator_adapter_v2_1_6.oarg_columnized_mem_bank
generic map (
C_FAMILY => C_FAMILY,
C_BRAM_TYPE => C_BRAM_TYPE,
C_FACTOR => C_AP_ARG_FORMAT_FACTOR,
C_BUFFER_WIDTH => PTR_WIDTH,
C_CONV_AWIDTH => CONV_ADDR_WIDTH,
C_CONV_DWIDTH => CONV_DATA_WIDTH,
C_ARG_WIDTH => C_AP_ARG_WIDTH,
C_ARG_AWIDTH => C_AP_ARG_ADDR_WIDTH)
port map (
ap_rst => ap_rst,
ap_clk => ap_clk,
ap_arg_ce => ap_arg_ce,
ap_arg_we => ap_arg_we,
ap_arg_buffer => std_logic_vector(wr_ptr),
ap_arg_addr => ap_arg_addr,
ap_arg_din => ap_arg_din,
ap_arg_dout => ap_arg_dout,
clk => clk,
conv_ce => conv_ce,
conv_we => conv_we,
conv_buffer => std_logic_vector(rd_ptr),
conv_addr => conv_addr,
conv_data => conv_data);
end generate COLUMNIZED_BANK_GEN;
-- To know how many beats to produce on output stream, we track the highest
-- address written
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
ap_arg_hw_length <= (others => '0');
elsif(ap_clk'event and ap_clk = '1') then
if(ap_arg_ack = '1') then
ap_arg_hw_length <= (others => '0');
elsif(iport_ce = '1' and ap_arg_we = '1') then
if(unsigned(ap_arg_addr) >= ap_arg_hw_length) then
ap_arg_hw_length <= unsigned('0' & ap_arg_addr) + 1;
end if;
end if;
end if;
end process;
-- length memory modeling (XST infer)
process(ap_clk)
begin
if(ap_clk'event and ap_clk = '1') then
if(ap_arg_ack = '1') then
hw_length_mem(to_integer(wr_ptr)) <= std_logic_vector(ap_arg_hw_length);
end if;
end if;
end process;
hw_length_mem_dout <= hw_length_mem(to_integer(rd_ptr));
-- clkx_4: ENTITY axis_accelerator_adapter_v2_1_6.clk_x_pntrs
-- GENERIC MAP(
-- C_HAS_RST => 1,
-- C_RD_PNTR_WIDTH => SW_LENGTH_FIFO_WIDTH,
-- C_WR_PNTR_WIDTH => SW_LENGTH_FIFO_WIDTH,
-- C_MSGON_VAL => 1,
-- C_SYNCHRONIZER_STAGE => 2
-- )
-- PORT MAP(
-- WR_CLK => ap_clk,
-- RD_CLK => clk,
-- WR_RST => ap_rst,
-- RD_RST => rst,
-- WR_PNTR => sw_length_wr,
-- RD_PNTR => open,
-- WR_PNTR_RD => sw_length_rd,
-- RD_PNTR_WR => open
-- );
-- SW_LENGTH_SYNC : entity axis_accelerator_adapter_v2_1_6.cdc_sync
-- generic map (
-- C_CDC_TYPE => 1,
-- C_RESET_STATE => 0,
-- C_SINGLE_BIT => 0,
-- C_VECTOR_WIDTH => SW_LENGTH_FIFO_WIDTH,
-- C_MTBF_STAGES => 2
-- )
-- port map (
-- prmry_aclk => '0',
-- prmry_resetn => '0',
-- prmry_in => '0',
-- prmry_vect_in => sw_length_wr,
--
-- scndry_aclk => clk,
-- scndry_resetn => rst,
-- scndry_out => open,
-- scndry_vect_out => sw_length_rd
-- );
clkx_5: ENTITY axis_accelerator_adapter_v2_1_6.clk_x_pntrs
GENERIC MAP(
C_HAS_RST => 1,
C_RD_PNTR_WIDTH => C_AP_ARG_ADDR_WIDTH+1,
C_WR_PNTR_WIDTH => C_AP_ARG_ADDR_WIDTH+1,
C_MSGON_VAL => 1,
C_SYNCHRONIZER_STAGE => 2
)
PORT MAP(
WR_CLK => ap_clk,
RD_CLK => clk,
WR_RST => ap_rst,
RD_RST => rst,
WR_PNTR => hw_length_mem_dout,
RD_PNTR => open,
WR_PNTR_RD => hw_length_mem_dout_rd,
RD_PNTR_WR => open
);
sw_length_rd <= sw_length(SW_LENGTH_FIFO_WIDTH-1 downto 0);
sw_length_we_vector(0) <= sw_length_we;
sw_length_we_rd <= sw_length_we_rd_vector(0);
wr_stg_inst: ENTITY axis_accelerator_adapter_v2_1_6.synchronizer_ff
GENERIC MAP (
C_HAS_RST => 1,
C_WIDTH => 1
)
PORT MAP (
RST => rst,
CLK => clk,
D => sw_length_we_vector,
Q => sw_length_we_rd_vector
);
SW_LENGTH_FIFO : entity axis_accelerator_adapter_v2_1_6.srl_fifo_32_wt
generic map (
C_FAMILY => C_FAMILY,
WIDTH => SW_LENGTH_FIFO_WIDTH)
port map (
rst => rst,
clk => clk,
din => sw_length_rd,
din_vld => sw_length_we_rd,
din_rdy => open,
dout => sw_length_fifo_dout,
dout_vld => open,
dout_rdy => mb_pop_ok_rd
);
process(use_sw_length, hw_length_mem_dout_rd, sw_length_fifo_dout)
constant HW_LSB : integer := log2(C_AP_ARG_DATA_WIDTH/8);
constant SW_LSB : integer := log2(C_AP_ARG_WIDTH/8);
constant SW_MSB : integer := SW_LSB+SW_LENGTH_FIFO_WIDTH-1;
begin
conv_size <= (others => '0');
if(use_sw_length = '0') then
conv_size(SIZE_WIDTH-1 downto HW_LSB) <= hw_length_mem_dout_rd;
else
conv_size(SW_MSB downto SW_LSB) <= sw_length_fifo_dout;
end if;
end process;
end rtl;
| mit |
agural/FPGA-Oscilloscope | osc/lpm_counter9.vhd | 1 | 4349 | -- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_COUNTER
-- ============================================================
-- File Name: lpm_counter9.vhd
-- Megafunction Name(s):
-- LPM_COUNTER
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_counter9 IS
PORT
(
clock : IN STD_LOGIC ;
sclr : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (23 DOWNTO 0)
);
END lpm_counter9;
ARCHITECTURE SYN OF lpm_counter9 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (23 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (23 DOWNTO 0);
sclr : IN STD_LOGIC
);
END COMPONENT;
BEGIN
q <= sub_wire0(23 DOWNTO 0);
LPM_COUNTER_component : LPM_COUNTER
GENERIC MAP (
lpm_direction => "UP",
lpm_port_updown => "PORT_UNUSED",
lpm_type => "LPM_COUNTER",
lpm_width => 24
)
PORT MAP (
clock => clock,
sclr => sclr,
q => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "0"
-- Retrieval info: PRIVATE: Direction NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "0"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "0"
-- Retrieval info: PRIVATE: SCLR NUMERIC "1"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "24"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "24"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
-- Retrieval info: USED_PORT: q 0 0 24 0 OUTPUT NODEFVAL "q[23..0]"
-- Retrieval info: USED_PORT: sclr 0 0 0 0 INPUT NODEFVAL "sclr"
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @sclr 0 0 0 0 sclr 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 24 0 @q 0 0 24 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter9.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter9.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter9.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter9.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter9_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
| mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/axis_accelerator_adapter_v2_1/hdl/src/vhdl/oarg_columnized_mem_bank.vhd | 1 | 8266 | -------------------------------------------------------------------------------
-- Title : Accelerator Adapter
-- Project :
-------------------------------------------------------------------------------
-- File : oarg_columnized_mem_bank.vhd
-- Author : rmg/jn
-- Company : Xilinx, Inc.
-- Created : 2012-09-05
-- Last update: 2013-10-25
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-09-05 1.0 rmg/jn Created
-- 2013-10-25 2.0 pvk Added support for UltraScale primitives.
-------------------------------------------------------------------------------
-- ****************************************************************************
--
-- (c) Copyright 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- ****************************************************************************
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library axis_accelerator_adapter_v2_1_6;
use axis_accelerator_adapter_v2_1_6.xd_adapter_pkg.all;
use axis_accelerator_adapter_v2_1_6.arg_mem_bank;
entity oarg_columnized_mem_bank is
generic (
C_FAMILY : string;
C_BRAM_TYPE : string := "7_SERIES"; -- 7_SERIES = RAMB36E1. ULTRASCALE = RAMB36E2
C_FACTOR : integer;
C_BUFFER_WIDTH : integer;
C_CONV_AWIDTH : integer;
C_CONV_DWIDTH : integer;
C_ARG_WIDTH : integer;
C_ARG_AWIDTH : integer);
port (
ap_rst : in std_logic;
ap_clk : in std_logic;
ap_arg_ce : in std_logic;
ap_arg_we : in std_logic;
ap_arg_buffer : in std_logic_vector(C_BUFFER_WIDTH-1 downto 0);
ap_arg_addr : in std_logic_vector(C_ARG_AWIDTH-1 downto 0);
ap_arg_din : in std_logic_vector(C_ARG_WIDTH*C_FACTOR-1 downto 0);
ap_arg_dout : out std_logic_vector(C_ARG_WIDTH*C_FACTOR-1 downto 0);
clk : in std_logic;
conv_ce : in std_logic;
conv_we : in std_logic;
conv_buffer : in std_logic_vector(C_BUFFER_WIDTH-1 downto 0);
conv_addr : in std_logic_vector(C_CONV_AWIDTH-1 downto 0);
conv_data : out std_logic_vector(C_CONV_DWIDTH-1 downto 0));
end oarg_columnized_mem_bank;
architecture rtl of oarg_columnized_mem_bank is
constant BANK_AWIDTH : integer := log2(C_FACTOR);
constant IPORT_AWIDTH : integer := C_BUFFER_WIDTH+C_ARG_AWIDTH;
constant OPORT_AWIDTH : integer := C_BUFFER_WIDTH+(C_CONV_AWIDTH-BANK_AWIDTH);
signal oport_addr : std_logic_vector(OPORT_AWIDTH-1 downto 0);
signal iport_addr : std_logic_vector(IPORT_AWIDTH-1 downto 0);
signal oport_ce : std_logic_vector(C_FACTOR-1 downto 0);
signal oport_din : std_logic_vector(C_CONV_DWIDTH*C_FACTOR-1 downto 0);
signal oport_dout : std_logic_vector(C_CONV_DWIDTH*C_FACTOR-1 downto 0);
begin
ONLY_ONE_BANK : if (C_FACTOR = 1) generate
begin
oport_ce(0) <= conv_ce;
iport_addr <= ap_arg_buffer & ap_arg_addr;
oport_addr <= conv_buffer & conv_addr;
conv_data <= oport_dout;
end generate ONLY_ONE_BANK;
SEVERAL_BANKS : if (C_FACTOR > 1) generate
constant OFFSET_WIDTH : integer := C_CONV_AWIDTH-BANK_AWIDTH;
constant BANK_MSB : integer := C_CONV_AWIDTH-1;
constant BANK_LSB : integer := BANK_MSB-BANK_AWIDTH+1;
constant OFFSET_MSB : integer := BANK_LSB-1;
constant OFFSET_LSB : integer := 0;
signal conv_bank : std_logic_vector(BANK_AWIDTH-1 downto 0);
signal conv_offset : std_logic_vector(OFFSET_WIDTH-1 downto 0);
signal conv_bank_r : std_logic_vector(BANK_AWIDTH-1 downto 0);
begin
iport_addr <= ap_arg_buffer & ap_arg_addr;
conv_bank <= conv_addr(BANK_MSB downto BANK_LSB);
conv_offset <= conv_addr(OFFSET_MSB downto OFFSET_LSB);
process(conv_ce, conv_bank)
begin
oport_ce <= (others => '0');
for i in 0 to C_FACTOR-1 loop
if(unsigned(conv_bank) = i) then
oport_ce(i) <= conv_ce;
end if;
end loop;
end process;
oport_addr <= conv_buffer & conv_offset;
-- Register part of address used to select the bank
process(clk)
begin
if(clk'event and clk = '1') then
if(conv_ce = '1') then
conv_bank_r <= conv_bank;
end if;
end if;
end process;
-- use conv_bank_r to select the mux at the output
process(conv_bank_r, oport_dout)
begin
conv_data <= (others => '0');
for i in 0 to C_FACTOR-1 loop
if(unsigned(conv_bank_r) = i) then
conv_data <= oport_dout(C_CONV_DWIDTH*(i+1)-1 downto C_CONV_DWIDTH*i);
end if;
end loop;
end process;
end generate SEVERAL_BANKS;
BANK_GEN : for i in 0 to C_FACTOR-1 generate
begin
MEM_I : entity axis_accelerator_adapter_v2_1_6.arg_mem_bank
generic map (
C_FAMILY => C_FAMILY,
C_BRAM_TYPE => C_BRAM_TYPE,
C_IS_UNIDIR => 0,
C_IPORT_DWIDTH => C_ARG_WIDTH,
C_IPORT_AWIDTH => IPORT_AWIDTH,
C_OPORT_DWIDTH => C_CONV_DWIDTH,
C_OPORT_AWIDTH => OPORT_AWIDTH)
port map (
rst => ap_rst,
iport_clk => ap_clk,
iport_ce => ap_arg_ce,
iport_we => ap_arg_we,
iport_addr => iport_addr,
iport_din => ap_arg_din(C_ARG_WIDTH*(i+1)-1 downto C_ARG_WIDTH*i),
iport_dout => ap_arg_dout(C_ARG_WIDTH*(i+1)-1 downto C_ARG_WIDTH*i),
oport_clk => clk,
oport_ce => oport_ce(i),
oport_we => conv_we,
oport_addr => oport_addr,
oport_din => oport_din(C_CONV_DWIDTH*(i+1)-1 downto C_CONV_DWIDTH*i),
oport_dout => oport_dout(C_CONV_DWIDTH*(i+1)-1 downto C_CONV_DWIDTH*i));
end generate BANK_GEN;
end rtl;
| mit |
DacHt/CU_Droptest | component/work/CU_TOP/FPGA_UART/rtl/vhdl/core/components.vhd | 1 | 2651 |
library ieee;
use ieee.std_logic_1164.all;
package CU_TOP_FPGA_UART_components is
component CU_TOP_FPGA_UART_CoreUARTapb
GENERIC (
RX_LEGACY_MODE : integer := 0;
-- DEVICE FAMILY
FAMILY : integer := 15;
-- UART configuration parameters
TX_FIFO : integer := 0; -- 1 = with tx fifo, 0 = without tx fifo
RX_FIFO : integer := 0; -- 1 = with rx fifo, 0 = without rx fifo
BAUD_VALUE : integer := 0; -- Baud value is set only when fixed buad rate is selected
FIXEDMODE : integer := 0; -- fixed or programmable mode, 0: programmable; 1:fixed
PRG_BIT8 : integer := 0; -- This bit value is selected only when FIXEDMODE is set to 1
PRG_PARITY : integer := 0; -- This bit value is selected only when FIXEDMODE is set to 1
BAUD_VAL_FRCTN : integer := 0; -- 0 = +0.0, 1 = +0.125, 2 = +0.25, 3 = +0.375, 4 = +0.5, 5 = +0.625, 6 = +0.75, 7 = +0.875,
BAUD_VAL_FRCTN_EN : integer := 0 -- 1 = enable baud fraction, 0 = disable baud fraction
);
PORT (
-- Inputs and Outputs
-- APB signals
PCLK : IN std_logic; -- APB system clock
PRESETN : IN std_logic; -- APB system reset
PADDR : IN std_logic_vector(4 DOWNTO 0); -- Address
PSEL : IN std_logic; -- Peripheral select signal
PENABLE : IN std_logic; -- Enable (data valid strobe)
PWRITE : IN std_logic; -- Write/nRead signal
PWDATA : IN std_logic_vector(7 DOWNTO 0); -- 8 bit write data
PRDATA : OUT std_logic_vector(7 DOWNTO 0); -- 8 bit read data
-- AS: Added PREADY and PSLVERR
PREADY : OUT std_logic; -- APB READY signal (tied to 1)
PSLVERR : OUT std_logic; -- APB slave error signal (tied to 0)
-- transmit ready and receive full indicators
TXRDY : OUT std_logic;
RXRDY : OUT std_logic;
-- FLAGS
FRAMING_ERR : OUT std_logic;
PARITY_ERR : OUT std_logic;
OVERFLOW : OUT std_logic;
-- Serial receive and transmit data
RX : IN std_logic;
TX : OUT std_logic
);
end component;
end CU_TOP_FPGA_UART_components; | mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/vhls/set/solution/impl/ip/hdl/vhdl/set.vhd | 4 | 37773 | -- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity set is
generic (
C_M_AXI_GMEM_ADDR_WIDTH : INTEGER := 32;
C_M_AXI_GMEM_ID_WIDTH : INTEGER := 1;
C_M_AXI_GMEM_AWUSER_WIDTH : INTEGER := 1;
C_M_AXI_GMEM_DATA_WIDTH : INTEGER := 32;
C_M_AXI_GMEM_WUSER_WIDTH : INTEGER := 1;
C_M_AXI_GMEM_ARUSER_WIDTH : INTEGER := 1;
C_M_AXI_GMEM_RUSER_WIDTH : INTEGER := 1;
C_M_AXI_GMEM_BUSER_WIDTH : INTEGER := 1;
C_M_AXI_GMEM_CACHE_VALUE : INTEGER := 3;
C_M_AXI_GMEM_USER_VALUE : INTEGER := 0;
C_M_AXI_GMEM_PROT_VALUE : INTEGER := 0 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
m_axi_gmem_AWVALID : OUT STD_LOGIC;
m_axi_gmem_AWREADY : IN STD_LOGIC;
m_axi_gmem_AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_ADDR_WIDTH-1 downto 0);
m_axi_gmem_AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_ID_WIDTH-1 downto 0);
m_axi_gmem_AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0);
m_axi_gmem_AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_gmem_AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_gmem_AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_gmem_AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_gmem_AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_gmem_AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_gmem_AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_gmem_AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_AWUSER_WIDTH-1 downto 0);
m_axi_gmem_WVALID : OUT STD_LOGIC;
m_axi_gmem_WREADY : IN STD_LOGIC;
m_axi_gmem_WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_DATA_WIDTH-1 downto 0);
m_axi_gmem_WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_DATA_WIDTH/8-1 downto 0);
m_axi_gmem_WLAST : OUT STD_LOGIC;
m_axi_gmem_WID : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_ID_WIDTH-1 downto 0);
m_axi_gmem_WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_WUSER_WIDTH-1 downto 0);
m_axi_gmem_ARVALID : OUT STD_LOGIC;
m_axi_gmem_ARREADY : IN STD_LOGIC;
m_axi_gmem_ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_ADDR_WIDTH-1 downto 0);
m_axi_gmem_ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_ID_WIDTH-1 downto 0);
m_axi_gmem_ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0);
m_axi_gmem_ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_gmem_ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_gmem_ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_gmem_ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_gmem_ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_gmem_ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_gmem_ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_gmem_ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_GMEM_ARUSER_WIDTH-1 downto 0);
m_axi_gmem_RVALID : IN STD_LOGIC;
m_axi_gmem_RREADY : OUT STD_LOGIC;
m_axi_gmem_RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_GMEM_DATA_WIDTH-1 downto 0);
m_axi_gmem_RLAST : IN STD_LOGIC;
m_axi_gmem_RID : IN STD_LOGIC_VECTOR (C_M_AXI_GMEM_ID_WIDTH-1 downto 0);
m_axi_gmem_RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_GMEM_RUSER_WIDTH-1 downto 0);
m_axi_gmem_RRESP : IN STD_LOGIC_VECTOR (1 downto 0);
m_axi_gmem_BVALID : IN STD_LOGIC;
m_axi_gmem_BREADY : OUT STD_LOGIC;
m_axi_gmem_BRESP : IN STD_LOGIC_VECTOR (1 downto 0);
m_axi_gmem_BID : IN STD_LOGIC_VECTOR (C_M_AXI_GMEM_ID_WIDTH-1 downto 0);
m_axi_gmem_BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_GMEM_BUSER_WIDTH-1 downto 0);
data : IN STD_LOGIC_VECTOR (31 downto 0);
key : IN STD_LOGIC_VECTOR (31 downto 0);
val_r : IN STD_LOGIC_VECTOR (31 downto 0);
ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) );
end;
architecture behav of set is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"set,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=8.500000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=7.437500,HLS_SYN_LAT=8,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=623,HLS_SYN_LUT=743}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant C_M_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (1 downto 0) := "01";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_20 : BOOLEAN;
signal gmem_AWVALID : STD_LOGIC;
signal gmem_AWREADY : STD_LOGIC;
signal gmem_AWADDR : STD_LOGIC_VECTOR (31 downto 0);
signal gmem_AWID : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_AWLEN : STD_LOGIC_VECTOR (31 downto 0);
signal gmem_AWSIZE : STD_LOGIC_VECTOR (2 downto 0);
signal gmem_AWBURST : STD_LOGIC_VECTOR (1 downto 0);
signal gmem_AWLOCK : STD_LOGIC_VECTOR (1 downto 0);
signal gmem_AWCACHE : STD_LOGIC_VECTOR (3 downto 0);
signal gmem_AWPROT : STD_LOGIC_VECTOR (2 downto 0);
signal gmem_AWQOS : STD_LOGIC_VECTOR (3 downto 0);
signal gmem_AWREGION : STD_LOGIC_VECTOR (3 downto 0);
signal gmem_AWUSER : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_WVALID : STD_LOGIC;
signal gmem_WREADY : STD_LOGIC;
signal gmem_WDATA : STD_LOGIC_VECTOR (31 downto 0);
signal gmem_WSTRB : STD_LOGIC_VECTOR (3 downto 0);
signal gmem_WLAST : STD_LOGIC;
signal gmem_WID : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_WUSER : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_ARVALID : STD_LOGIC;
signal gmem_ARREADY : STD_LOGIC;
signal gmem_ARADDR : STD_LOGIC_VECTOR (31 downto 0);
signal gmem_ARID : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_ARLEN : STD_LOGIC_VECTOR (31 downto 0);
signal gmem_ARSIZE : STD_LOGIC_VECTOR (2 downto 0);
signal gmem_ARBURST : STD_LOGIC_VECTOR (1 downto 0);
signal gmem_ARLOCK : STD_LOGIC_VECTOR (1 downto 0);
signal gmem_ARCACHE : STD_LOGIC_VECTOR (3 downto 0);
signal gmem_ARPROT : STD_LOGIC_VECTOR (2 downto 0);
signal gmem_ARQOS : STD_LOGIC_VECTOR (3 downto 0);
signal gmem_ARREGION : STD_LOGIC_VECTOR (3 downto 0);
signal gmem_ARUSER : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_RVALID : STD_LOGIC;
signal gmem_RREADY : STD_LOGIC;
signal gmem_RDATA : STD_LOGIC_VECTOR (31 downto 0);
signal gmem_RLAST : STD_LOGIC;
signal gmem_RID : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_RUSER : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_RRESP : STD_LOGIC_VECTOR (1 downto 0);
signal gmem_BVALID : STD_LOGIC;
signal gmem_BREADY : STD_LOGIC;
signal gmem_BRESP : STD_LOGIC_VECTOR (1 downto 0);
signal gmem_BID : STD_LOGIC_VECTOR (0 downto 0);
signal gmem_BUSER : STD_LOGIC_VECTOR (0 downto 0);
signal set_gmem_m_axi_U_ap_dummy_ce : STD_LOGIC;
signal data1_reg_90 : STD_LOGIC_VECTOR (29 downto 0);
signal grp_set_assign_val_fu_58_ap_start : STD_LOGIC;
signal grp_set_assign_val_fu_58_ap_done : STD_LOGIC;
signal grp_set_assign_val_fu_58_ap_idle : STD_LOGIC;
signal grp_set_assign_val_fu_58_ap_ready : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_AWVALID : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_AWREADY : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_AWADDR : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWID : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWLEN : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWSIZE : STD_LOGIC_VECTOR (2 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWBURST : STD_LOGIC_VECTOR (1 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWLOCK : STD_LOGIC_VECTOR (1 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWCACHE : STD_LOGIC_VECTOR (3 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWPROT : STD_LOGIC_VECTOR (2 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWQOS : STD_LOGIC_VECTOR (3 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWREGION : STD_LOGIC_VECTOR (3 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_AWUSER : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_WVALID : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_WREADY : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_WDATA : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_WSTRB : STD_LOGIC_VECTOR (3 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_WLAST : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_WID : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_WUSER : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARVALID : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_ARREADY : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_ARADDR : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARID : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARLEN : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARSIZE : STD_LOGIC_VECTOR (2 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARBURST : STD_LOGIC_VECTOR (1 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARLOCK : STD_LOGIC_VECTOR (1 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARCACHE : STD_LOGIC_VECTOR (3 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARPROT : STD_LOGIC_VECTOR (2 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARQOS : STD_LOGIC_VECTOR (3 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARREGION : STD_LOGIC_VECTOR (3 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_ARUSER : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_RVALID : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_RREADY : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_RDATA : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_RLAST : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_RID : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_RUSER : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_RRESP : STD_LOGIC_VECTOR (1 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_BVALID : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_BREADY : STD_LOGIC;
signal grp_set_assign_val_fu_58_m_axi_dest_BRESP : STD_LOGIC_VECTOR (1 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_BID : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_m_axi_dest_BUSER : STD_LOGIC_VECTOR (0 downto 0);
signal grp_set_assign_val_fu_58_data1 : STD_LOGIC_VECTOR (29 downto 0);
signal grp_set_assign_val_fu_58_tmp : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_src : STD_LOGIC_VECTOR (31 downto 0);
signal grp_set_assign_val_fu_58_ap_start_ap_start_reg : STD_LOGIC := '0';
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_230 : BOOLEAN;
signal ap_NS_fsm : STD_LOGIC_VECTOR (1 downto 0);
component set_assign_val IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
m_axi_dest_AWVALID : OUT STD_LOGIC;
m_axi_dest_AWREADY : IN STD_LOGIC;
m_axi_dest_AWADDR : OUT STD_LOGIC_VECTOR (31 downto 0);
m_axi_dest_AWID : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_AWLEN : OUT STD_LOGIC_VECTOR (31 downto 0);
m_axi_dest_AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_dest_AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_dest_AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_dest_AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_dest_AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_dest_AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_dest_AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_dest_AWUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_WVALID : OUT STD_LOGIC;
m_axi_dest_WREADY : IN STD_LOGIC;
m_axi_dest_WDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
m_axi_dest_WSTRB : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_dest_WLAST : OUT STD_LOGIC;
m_axi_dest_WID : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_WUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_ARVALID : OUT STD_LOGIC;
m_axi_dest_ARREADY : IN STD_LOGIC;
m_axi_dest_ARADDR : OUT STD_LOGIC_VECTOR (31 downto 0);
m_axi_dest_ARID : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_ARLEN : OUT STD_LOGIC_VECTOR (31 downto 0);
m_axi_dest_ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_dest_ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_dest_ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0);
m_axi_dest_ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_dest_ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0);
m_axi_dest_ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_dest_ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0);
m_axi_dest_ARUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_RVALID : IN STD_LOGIC;
m_axi_dest_RREADY : OUT STD_LOGIC;
m_axi_dest_RDATA : IN STD_LOGIC_VECTOR (31 downto 0);
m_axi_dest_RLAST : IN STD_LOGIC;
m_axi_dest_RID : IN STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_RUSER : IN STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_RRESP : IN STD_LOGIC_VECTOR (1 downto 0);
m_axi_dest_BVALID : IN STD_LOGIC;
m_axi_dest_BREADY : OUT STD_LOGIC;
m_axi_dest_BRESP : IN STD_LOGIC_VECTOR (1 downto 0);
m_axi_dest_BID : IN STD_LOGIC_VECTOR (0 downto 0);
m_axi_dest_BUSER : IN STD_LOGIC_VECTOR (0 downto 0);
data1 : IN STD_LOGIC_VECTOR (29 downto 0);
tmp : IN STD_LOGIC_VECTOR (31 downto 0);
src : IN STD_LOGIC_VECTOR (31 downto 0) );
end component;
component set_gmem_m_axi IS
generic (
USER_DW : INTEGER;
USER_AW : INTEGER;
USER_MAXREQS : INTEGER;
C_M_AXI_ID_WIDTH : INTEGER;
C_M_AXI_ADDR_WIDTH : INTEGER;
C_M_AXI_DATA_WIDTH : INTEGER;
C_M_AXI_AWUSER_WIDTH : INTEGER;
C_M_AXI_ARUSER_WIDTH : INTEGER;
C_M_AXI_WUSER_WIDTH : INTEGER;
C_M_AXI_RUSER_WIDTH : INTEGER;
C_M_AXI_BUSER_WIDTH : INTEGER;
C_USER_VALUE : INTEGER;
C_PROT_VALUE : INTEGER;
C_CACHE_VALUE : INTEGER );
port (
AWVALID : OUT STD_LOGIC;
AWREADY : IN STD_LOGIC;
AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0);
AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0);
AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0);
AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0);
AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0);
AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0);
AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0);
AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0);
AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0);
AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0);
AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_AWUSER_WIDTH-1 downto 0);
WVALID : OUT STD_LOGIC;
WREADY : IN STD_LOGIC;
WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0);
WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH/8-1 downto 0);
WLAST : OUT STD_LOGIC;
WID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0);
WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_WUSER_WIDTH-1 downto 0);
ARVALID : OUT STD_LOGIC;
ARREADY : IN STD_LOGIC;
ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0);
ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0);
ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0);
ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0);
ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0);
ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0);
ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0);
ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0);
ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0);
ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0);
ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_ARUSER_WIDTH-1 downto 0);
RVALID : IN STD_LOGIC;
RREADY : OUT STD_LOGIC;
RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0);
RLAST : IN STD_LOGIC;
RID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0);
RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_RUSER_WIDTH-1 downto 0);
RRESP : IN STD_LOGIC_VECTOR (1 downto 0);
BVALID : IN STD_LOGIC;
BREADY : OUT STD_LOGIC;
BRESP : IN STD_LOGIC_VECTOR (1 downto 0);
BID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0);
BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_BUSER_WIDTH-1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
I_ARVALID : IN STD_LOGIC;
I_ARREADY : OUT STD_LOGIC;
I_ARADDR : IN STD_LOGIC_VECTOR (31 downto 0);
I_ARID : IN STD_LOGIC_VECTOR (0 downto 0);
I_ARLEN : IN STD_LOGIC_VECTOR (31 downto 0);
I_ARSIZE : IN STD_LOGIC_VECTOR (2 downto 0);
I_ARLOCK : IN STD_LOGIC_VECTOR (1 downto 0);
I_ARCACHE : IN STD_LOGIC_VECTOR (3 downto 0);
I_ARQOS : IN STD_LOGIC_VECTOR (3 downto 0);
I_ARPROT : IN STD_LOGIC_VECTOR (2 downto 0);
I_ARUSER : IN STD_LOGIC_VECTOR (0 downto 0);
I_ARBURST : IN STD_LOGIC_VECTOR (1 downto 0);
I_ARREGION : IN STD_LOGIC_VECTOR (3 downto 0);
I_RVALID : OUT STD_LOGIC;
I_RREADY : IN STD_LOGIC;
I_RDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
I_RID : OUT STD_LOGIC_VECTOR (0 downto 0);
I_RUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
I_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
I_RLAST : OUT STD_LOGIC;
I_AWVALID : IN STD_LOGIC;
I_AWREADY : OUT STD_LOGIC;
I_AWADDR : IN STD_LOGIC_VECTOR (31 downto 0);
I_AWID : IN STD_LOGIC_VECTOR (0 downto 0);
I_AWLEN : IN STD_LOGIC_VECTOR (31 downto 0);
I_AWSIZE : IN STD_LOGIC_VECTOR (2 downto 0);
I_AWLOCK : IN STD_LOGIC_VECTOR (1 downto 0);
I_AWCACHE : IN STD_LOGIC_VECTOR (3 downto 0);
I_AWQOS : IN STD_LOGIC_VECTOR (3 downto 0);
I_AWPROT : IN STD_LOGIC_VECTOR (2 downto 0);
I_AWUSER : IN STD_LOGIC_VECTOR (0 downto 0);
I_AWBURST : IN STD_LOGIC_VECTOR (1 downto 0);
I_AWREGION : IN STD_LOGIC_VECTOR (3 downto 0);
I_WVALID : IN STD_LOGIC;
I_WREADY : OUT STD_LOGIC;
I_WDATA : IN STD_LOGIC_VECTOR (31 downto 0);
I_WID : IN STD_LOGIC_VECTOR (0 downto 0);
I_WUSER : IN STD_LOGIC_VECTOR (0 downto 0);
I_WLAST : IN STD_LOGIC;
I_WSTRB : IN STD_LOGIC_VECTOR (3 downto 0);
I_BVALID : OUT STD_LOGIC;
I_BREADY : IN STD_LOGIC;
I_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
I_BID : OUT STD_LOGIC_VECTOR (0 downto 0);
I_BUSER : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
begin
set_gmem_m_axi_U : component set_gmem_m_axi
generic map (
USER_DW => 32,
USER_AW => 32,
USER_MAXREQS => 5,
C_M_AXI_ID_WIDTH => C_M_AXI_GMEM_ID_WIDTH,
C_M_AXI_ADDR_WIDTH => C_M_AXI_GMEM_ADDR_WIDTH,
C_M_AXI_DATA_WIDTH => C_M_AXI_GMEM_DATA_WIDTH,
C_M_AXI_AWUSER_WIDTH => C_M_AXI_GMEM_AWUSER_WIDTH,
C_M_AXI_ARUSER_WIDTH => C_M_AXI_GMEM_ARUSER_WIDTH,
C_M_AXI_WUSER_WIDTH => C_M_AXI_GMEM_WUSER_WIDTH,
C_M_AXI_RUSER_WIDTH => C_M_AXI_GMEM_RUSER_WIDTH,
C_M_AXI_BUSER_WIDTH => C_M_AXI_GMEM_BUSER_WIDTH,
C_USER_VALUE => C_M_AXI_GMEM_USER_VALUE,
C_PROT_VALUE => C_M_AXI_GMEM_PROT_VALUE,
C_CACHE_VALUE => C_M_AXI_GMEM_CACHE_VALUE)
port map (
AWVALID => m_axi_gmem_AWVALID,
AWREADY => m_axi_gmem_AWREADY,
AWADDR => m_axi_gmem_AWADDR,
AWID => m_axi_gmem_AWID,
AWLEN => m_axi_gmem_AWLEN,
AWSIZE => m_axi_gmem_AWSIZE,
AWBURST => m_axi_gmem_AWBURST,
AWLOCK => m_axi_gmem_AWLOCK,
AWCACHE => m_axi_gmem_AWCACHE,
AWPROT => m_axi_gmem_AWPROT,
AWQOS => m_axi_gmem_AWQOS,
AWREGION => m_axi_gmem_AWREGION,
AWUSER => m_axi_gmem_AWUSER,
WVALID => m_axi_gmem_WVALID,
WREADY => m_axi_gmem_WREADY,
WDATA => m_axi_gmem_WDATA,
WSTRB => m_axi_gmem_WSTRB,
WLAST => m_axi_gmem_WLAST,
WID => m_axi_gmem_WID,
WUSER => m_axi_gmem_WUSER,
ARVALID => m_axi_gmem_ARVALID,
ARREADY => m_axi_gmem_ARREADY,
ARADDR => m_axi_gmem_ARADDR,
ARID => m_axi_gmem_ARID,
ARLEN => m_axi_gmem_ARLEN,
ARSIZE => m_axi_gmem_ARSIZE,
ARBURST => m_axi_gmem_ARBURST,
ARLOCK => m_axi_gmem_ARLOCK,
ARCACHE => m_axi_gmem_ARCACHE,
ARPROT => m_axi_gmem_ARPROT,
ARQOS => m_axi_gmem_ARQOS,
ARREGION => m_axi_gmem_ARREGION,
ARUSER => m_axi_gmem_ARUSER,
RVALID => m_axi_gmem_RVALID,
RREADY => m_axi_gmem_RREADY,
RDATA => m_axi_gmem_RDATA,
RLAST => m_axi_gmem_RLAST,
RID => m_axi_gmem_RID,
RUSER => m_axi_gmem_RUSER,
RRESP => m_axi_gmem_RRESP,
BVALID => m_axi_gmem_BVALID,
BREADY => m_axi_gmem_BREADY,
BRESP => m_axi_gmem_BRESP,
BID => m_axi_gmem_BID,
BUSER => m_axi_gmem_BUSER,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => set_gmem_m_axi_U_ap_dummy_ce,
I_ARVALID => gmem_ARVALID,
I_ARREADY => gmem_ARREADY,
I_ARADDR => gmem_ARADDR,
I_ARID => gmem_ARID,
I_ARLEN => gmem_ARLEN,
I_ARSIZE => gmem_ARSIZE,
I_ARLOCK => gmem_ARLOCK,
I_ARCACHE => gmem_ARCACHE,
I_ARQOS => gmem_ARQOS,
I_ARPROT => gmem_ARPROT,
I_ARUSER => gmem_ARUSER,
I_ARBURST => gmem_ARBURST,
I_ARREGION => gmem_ARREGION,
I_RVALID => gmem_RVALID,
I_RREADY => gmem_RREADY,
I_RDATA => gmem_RDATA,
I_RID => gmem_RID,
I_RUSER => gmem_RUSER,
I_RRESP => gmem_RRESP,
I_RLAST => gmem_RLAST,
I_AWVALID => gmem_AWVALID,
I_AWREADY => gmem_AWREADY,
I_AWADDR => gmem_AWADDR,
I_AWID => gmem_AWID,
I_AWLEN => gmem_AWLEN,
I_AWSIZE => gmem_AWSIZE,
I_AWLOCK => gmem_AWLOCK,
I_AWCACHE => gmem_AWCACHE,
I_AWQOS => gmem_AWQOS,
I_AWPROT => gmem_AWPROT,
I_AWUSER => gmem_AWUSER,
I_AWBURST => gmem_AWBURST,
I_AWREGION => gmem_AWREGION,
I_WVALID => gmem_WVALID,
I_WREADY => gmem_WREADY,
I_WDATA => gmem_WDATA,
I_WID => gmem_WID,
I_WUSER => gmem_WUSER,
I_WLAST => gmem_WLAST,
I_WSTRB => gmem_WSTRB,
I_BVALID => gmem_BVALID,
I_BREADY => gmem_BREADY,
I_BRESP => gmem_BRESP,
I_BID => gmem_BID,
I_BUSER => gmem_BUSER);
grp_set_assign_val_fu_58 : component set_assign_val
port map (
ap_clk => ap_clk,
ap_rst => ap_rst_n_inv,
ap_start => grp_set_assign_val_fu_58_ap_start,
ap_done => grp_set_assign_val_fu_58_ap_done,
ap_idle => grp_set_assign_val_fu_58_ap_idle,
ap_ready => grp_set_assign_val_fu_58_ap_ready,
m_axi_dest_AWVALID => grp_set_assign_val_fu_58_m_axi_dest_AWVALID,
m_axi_dest_AWREADY => grp_set_assign_val_fu_58_m_axi_dest_AWREADY,
m_axi_dest_AWADDR => grp_set_assign_val_fu_58_m_axi_dest_AWADDR,
m_axi_dest_AWID => grp_set_assign_val_fu_58_m_axi_dest_AWID,
m_axi_dest_AWLEN => grp_set_assign_val_fu_58_m_axi_dest_AWLEN,
m_axi_dest_AWSIZE => grp_set_assign_val_fu_58_m_axi_dest_AWSIZE,
m_axi_dest_AWBURST => grp_set_assign_val_fu_58_m_axi_dest_AWBURST,
m_axi_dest_AWLOCK => grp_set_assign_val_fu_58_m_axi_dest_AWLOCK,
m_axi_dest_AWCACHE => grp_set_assign_val_fu_58_m_axi_dest_AWCACHE,
m_axi_dest_AWPROT => grp_set_assign_val_fu_58_m_axi_dest_AWPROT,
m_axi_dest_AWQOS => grp_set_assign_val_fu_58_m_axi_dest_AWQOS,
m_axi_dest_AWREGION => grp_set_assign_val_fu_58_m_axi_dest_AWREGION,
m_axi_dest_AWUSER => grp_set_assign_val_fu_58_m_axi_dest_AWUSER,
m_axi_dest_WVALID => grp_set_assign_val_fu_58_m_axi_dest_WVALID,
m_axi_dest_WREADY => grp_set_assign_val_fu_58_m_axi_dest_WREADY,
m_axi_dest_WDATA => grp_set_assign_val_fu_58_m_axi_dest_WDATA,
m_axi_dest_WSTRB => grp_set_assign_val_fu_58_m_axi_dest_WSTRB,
m_axi_dest_WLAST => grp_set_assign_val_fu_58_m_axi_dest_WLAST,
m_axi_dest_WID => grp_set_assign_val_fu_58_m_axi_dest_WID,
m_axi_dest_WUSER => grp_set_assign_val_fu_58_m_axi_dest_WUSER,
m_axi_dest_ARVALID => grp_set_assign_val_fu_58_m_axi_dest_ARVALID,
m_axi_dest_ARREADY => grp_set_assign_val_fu_58_m_axi_dest_ARREADY,
m_axi_dest_ARADDR => grp_set_assign_val_fu_58_m_axi_dest_ARADDR,
m_axi_dest_ARID => grp_set_assign_val_fu_58_m_axi_dest_ARID,
m_axi_dest_ARLEN => grp_set_assign_val_fu_58_m_axi_dest_ARLEN,
m_axi_dest_ARSIZE => grp_set_assign_val_fu_58_m_axi_dest_ARSIZE,
m_axi_dest_ARBURST => grp_set_assign_val_fu_58_m_axi_dest_ARBURST,
m_axi_dest_ARLOCK => grp_set_assign_val_fu_58_m_axi_dest_ARLOCK,
m_axi_dest_ARCACHE => grp_set_assign_val_fu_58_m_axi_dest_ARCACHE,
m_axi_dest_ARPROT => grp_set_assign_val_fu_58_m_axi_dest_ARPROT,
m_axi_dest_ARQOS => grp_set_assign_val_fu_58_m_axi_dest_ARQOS,
m_axi_dest_ARREGION => grp_set_assign_val_fu_58_m_axi_dest_ARREGION,
m_axi_dest_ARUSER => grp_set_assign_val_fu_58_m_axi_dest_ARUSER,
m_axi_dest_RVALID => grp_set_assign_val_fu_58_m_axi_dest_RVALID,
m_axi_dest_RREADY => grp_set_assign_val_fu_58_m_axi_dest_RREADY,
m_axi_dest_RDATA => grp_set_assign_val_fu_58_m_axi_dest_RDATA,
m_axi_dest_RLAST => grp_set_assign_val_fu_58_m_axi_dest_RLAST,
m_axi_dest_RID => grp_set_assign_val_fu_58_m_axi_dest_RID,
m_axi_dest_RUSER => grp_set_assign_val_fu_58_m_axi_dest_RUSER,
m_axi_dest_RRESP => grp_set_assign_val_fu_58_m_axi_dest_RRESP,
m_axi_dest_BVALID => grp_set_assign_val_fu_58_m_axi_dest_BVALID,
m_axi_dest_BREADY => grp_set_assign_val_fu_58_m_axi_dest_BREADY,
m_axi_dest_BRESP => grp_set_assign_val_fu_58_m_axi_dest_BRESP,
m_axi_dest_BID => grp_set_assign_val_fu_58_m_axi_dest_BID,
m_axi_dest_BUSER => grp_set_assign_val_fu_58_m_axi_dest_BUSER,
data1 => grp_set_assign_val_fu_58_data1,
tmp => grp_set_assign_val_fu_58_tmp,
src => grp_set_assign_val_fu_58_src);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- grp_set_assign_val_fu_58_ap_start_ap_start_reg assign process. --
grp_set_assign_val_fu_58_ap_start_ap_start_reg_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
grp_set_assign_val_fu_58_ap_start_ap_start_reg <= ap_const_logic_0;
else
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
grp_set_assign_val_fu_58_ap_start_ap_start_reg <= ap_const_logic_1;
elsif ((ap_const_logic_1 = grp_set_assign_val_fu_58_ap_ready)) then
grp_set_assign_val_fu_58_ap_start_ap_start_reg <= ap_const_logic_0;
end if;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
data1_reg_90 <= data(31 downto 2);
end if;
end if;
end process;
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, grp_set_assign_val_fu_58_ap_done)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if (not((ap_start = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if (not((ap_const_logic_0 = grp_set_assign_val_fu_58_ap_done))) then
ap_NS_fsm <= ap_ST_st1_fsm_0;
else
ap_NS_fsm <= ap_ST_st2_fsm_1;
end if;
when others =>
ap_NS_fsm <= "XX";
end case;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(grp_set_assign_val_fu_58_ap_done, ap_sig_cseq_ST_st2_fsm_1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_logic_0 = grp_set_assign_val_fu_58_ap_done)))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(grp_set_assign_val_fu_58_ap_done, ap_sig_cseq_ST_st2_fsm_1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_logic_0 = grp_set_assign_val_fu_58_ap_done)))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= ap_const_lv32_0;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_20 assign process. --
ap_sig_bdd_20_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_20 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_230 assign process. --
ap_sig_bdd_230_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_230 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_20)
begin
if (ap_sig_bdd_20) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_230)
begin
if (ap_sig_bdd_230) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
gmem_ARADDR <= ap_const_lv32_0;
gmem_ARBURST <= ap_const_lv2_0;
gmem_ARCACHE <= ap_const_lv4_0;
gmem_ARID <= ap_const_lv1_0;
gmem_ARLEN <= ap_const_lv32_0;
gmem_ARLOCK <= ap_const_lv2_0;
gmem_ARPROT <= ap_const_lv3_0;
gmem_ARQOS <= ap_const_lv4_0;
gmem_ARREGION <= ap_const_lv4_0;
gmem_ARSIZE <= ap_const_lv3_0;
gmem_ARUSER <= ap_const_lv1_0;
gmem_ARVALID <= ap_const_logic_0;
gmem_AWADDR <= grp_set_assign_val_fu_58_m_axi_dest_AWADDR;
gmem_AWBURST <= grp_set_assign_val_fu_58_m_axi_dest_AWBURST;
gmem_AWCACHE <= grp_set_assign_val_fu_58_m_axi_dest_AWCACHE;
gmem_AWID <= grp_set_assign_val_fu_58_m_axi_dest_AWID;
gmem_AWLEN <= grp_set_assign_val_fu_58_m_axi_dest_AWLEN;
gmem_AWLOCK <= grp_set_assign_val_fu_58_m_axi_dest_AWLOCK;
gmem_AWPROT <= grp_set_assign_val_fu_58_m_axi_dest_AWPROT;
gmem_AWQOS <= grp_set_assign_val_fu_58_m_axi_dest_AWQOS;
gmem_AWREGION <= grp_set_assign_val_fu_58_m_axi_dest_AWREGION;
gmem_AWSIZE <= grp_set_assign_val_fu_58_m_axi_dest_AWSIZE;
gmem_AWUSER <= grp_set_assign_val_fu_58_m_axi_dest_AWUSER;
-- gmem_AWVALID assign process. --
gmem_AWVALID_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, grp_set_assign_val_fu_58_m_axi_dest_AWVALID, ap_sig_cseq_ST_st2_fsm_1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1))) then
gmem_AWVALID <= grp_set_assign_val_fu_58_m_axi_dest_AWVALID;
else
gmem_AWVALID <= ap_const_logic_0;
end if;
end process;
-- gmem_BREADY assign process. --
gmem_BREADY_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, grp_set_assign_val_fu_58_m_axi_dest_BREADY, ap_sig_cseq_ST_st2_fsm_1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1))) then
gmem_BREADY <= grp_set_assign_val_fu_58_m_axi_dest_BREADY;
else
gmem_BREADY <= ap_const_logic_0;
end if;
end process;
gmem_RREADY <= ap_const_logic_0;
gmem_WDATA <= grp_set_assign_val_fu_58_m_axi_dest_WDATA;
gmem_WID <= grp_set_assign_val_fu_58_m_axi_dest_WID;
gmem_WLAST <= grp_set_assign_val_fu_58_m_axi_dest_WLAST;
gmem_WSTRB <= grp_set_assign_val_fu_58_m_axi_dest_WSTRB;
gmem_WUSER <= grp_set_assign_val_fu_58_m_axi_dest_WUSER;
-- gmem_WVALID assign process. --
gmem_WVALID_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, grp_set_assign_val_fu_58_m_axi_dest_WVALID, ap_sig_cseq_ST_st2_fsm_1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1))) then
gmem_WVALID <= grp_set_assign_val_fu_58_m_axi_dest_WVALID;
else
gmem_WVALID <= ap_const_logic_0;
end if;
end process;
grp_set_assign_val_fu_58_ap_start <= grp_set_assign_val_fu_58_ap_start_ap_start_reg;
grp_set_assign_val_fu_58_data1 <= data1_reg_90;
grp_set_assign_val_fu_58_m_axi_dest_ARREADY <= ap_const_logic_0;
grp_set_assign_val_fu_58_m_axi_dest_AWREADY <= gmem_AWREADY;
grp_set_assign_val_fu_58_m_axi_dest_BID <= gmem_BID;
grp_set_assign_val_fu_58_m_axi_dest_BRESP <= gmem_BRESP;
grp_set_assign_val_fu_58_m_axi_dest_BUSER <= gmem_BUSER;
grp_set_assign_val_fu_58_m_axi_dest_BVALID <= gmem_BVALID;
grp_set_assign_val_fu_58_m_axi_dest_RDATA <= ap_const_lv32_0;
grp_set_assign_val_fu_58_m_axi_dest_RID <= ap_const_lv1_0;
grp_set_assign_val_fu_58_m_axi_dest_RLAST <= ap_const_logic_0;
grp_set_assign_val_fu_58_m_axi_dest_RRESP <= ap_const_lv2_0;
grp_set_assign_val_fu_58_m_axi_dest_RUSER <= ap_const_lv1_0;
grp_set_assign_val_fu_58_m_axi_dest_RVALID <= ap_const_logic_0;
grp_set_assign_val_fu_58_m_axi_dest_WREADY <= gmem_WREADY;
grp_set_assign_val_fu_58_src <= val_r;
grp_set_assign_val_fu_58_tmp <= key;
set_gmem_m_axi_U_ap_dummy_ce <= ap_const_logic_1;
end behav;
| mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ip/zc702_set_0_if_0/sim/zc702_set_0_if_0.vhd | 1 | 50762 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axis_accelerator_adapter:2.1
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axis_accelerator_adapter_v2_1_6;
USE axis_accelerator_adapter_v2_1_6.axis_accelerator_adapter;
ENTITY zc702_set_0_if_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
aclk : IN STD_LOGIC;
aresetn : OUT STD_LOGIC;
ap_start : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_continue : OUT STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_iscalar_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
interrupt : OUT STD_LOGIC
);
END zc702_set_0_if_0;
ARCHITECTURE zc702_set_0_if_0_arch OF zc702_set_0_if_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF zc702_set_0_if_0_arch: ARCHITECTURE IS "yes";
COMPONENT axis_accelerator_adapter IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_AP_ADAPTER_ID : INTEGER;
C_N_INPUT_ARGS : INTEGER;
C_N_OUTPUT_ARGS : INTEGER;
C_S_AXIS_TDATA_WIDTH : INTEGER;
C_S_AXIS_TUSER_WIDTH : INTEGER;
C_S_AXIS_TID_WIDTH : INTEGER;
C_S_AXIS_TDEST_WIDTH : INTEGER;
C_AP_IARG_TYPE : STD_LOGIC_VECTOR;
C_AP_IARG_MB_DEPTH : STD_LOGIC_VECTOR;
C_AP_IARG_WIDTH : STD_LOGIC_VECTOR;
C_AP_IARG_N_DIM : STD_LOGIC_VECTOR;
C_AP_IARG_DIM_1 : STD_LOGIC_VECTOR;
C_AP_IARG_DIM_2 : STD_LOGIC_VECTOR;
C_AP_IARG_FORMAT_TYPE : STD_LOGIC_VECTOR;
C_AP_IARG_FORMAT_FACTOR : STD_LOGIC_VECTOR;
C_AP_IARG_FORMAT_DIM : STD_LOGIC_VECTOR;
C_AP_IARG_0_DWIDTH : INTEGER;
C_AP_IARG_1_DWIDTH : INTEGER;
C_AP_IARG_2_DWIDTH : INTEGER;
C_AP_IARG_3_DWIDTH : INTEGER;
C_AP_IARG_4_DWIDTH : INTEGER;
C_AP_IARG_5_DWIDTH : INTEGER;
C_AP_IARG_6_DWIDTH : INTEGER;
C_AP_IARG_7_DWIDTH : INTEGER;
C_M_AXIS_TDATA_WIDTH : INTEGER;
C_M_AXIS_TUSER_WIDTH : INTEGER;
C_M_AXIS_TID_WIDTH : INTEGER;
C_M_AXIS_TDEST_WIDTH : INTEGER;
C_AP_OARG_TYPE : STD_LOGIC_VECTOR;
C_AP_OARG_MB_DEPTH : STD_LOGIC_VECTOR;
C_AP_OARG_WIDTH : STD_LOGIC_VECTOR;
C_AP_OARG_N_DIM : STD_LOGIC_VECTOR;
C_AP_OARG_DIM : STD_LOGIC_VECTOR;
C_AP_OARG_DIM_1 : STD_LOGIC_VECTOR;
C_AP_OARG_DIM_2 : STD_LOGIC_VECTOR;
C_AP_OARG_FORMAT_TYPE : STD_LOGIC_VECTOR;
C_AP_OARG_FORMAT_FACTOR : STD_LOGIC_VECTOR;
C_AP_OARG_FORMAT_DIM : STD_LOGIC_VECTOR;
C_AP_OARG_0_DWIDTH : INTEGER;
C_AP_OARG_1_DWIDTH : INTEGER;
C_AP_OARG_2_DWIDTH : INTEGER;
C_AP_OARG_3_DWIDTH : INTEGER;
C_AP_OARG_4_DWIDTH : INTEGER;
C_AP_OARG_5_DWIDTH : INTEGER;
C_AP_OARG_6_DWIDTH : INTEGER;
C_AP_OARG_7_DWIDTH : INTEGER;
C_N_INOUT_SCALARS : INTEGER;
C_N_INPUT_SCALARS : INTEGER;
C_INPUT_SCALAR_DWIDTH : STD_LOGIC_VECTOR;
C_INPUT_SCALAR_MODE : STD_LOGIC_VECTOR;
C_OUTPUT_SCALAR_MODE : STD_LOGIC_VECTOR;
C_AP_ISCALAR_DOUT_WIDTH : INTEGER;
C_AP_ISCALAR_IO_DOUT_WIDTH : INTEGER;
C_INPUT_SCALAR_0_WIDTH : INTEGER;
C_INPUT_SCALAR_1_WIDTH : INTEGER;
C_INPUT_SCALAR_2_WIDTH : INTEGER;
C_INPUT_SCALAR_3_WIDTH : INTEGER;
C_INPUT_SCALAR_4_WIDTH : INTEGER;
C_INPUT_SCALAR_5_WIDTH : INTEGER;
C_INPUT_SCALAR_6_WIDTH : INTEGER;
C_INPUT_SCALAR_7_WIDTH : INTEGER;
C_INPUT_SCALAR_8_WIDTH : INTEGER;
C_INPUT_SCALAR_9_WIDTH : INTEGER;
C_INPUT_SCALAR_10_WIDTH : INTEGER;
C_INPUT_SCALAR_11_WIDTH : INTEGER;
C_INPUT_SCALAR_12_WIDTH : INTEGER;
C_INPUT_SCALAR_13_WIDTH : INTEGER;
C_INPUT_SCALAR_14_WIDTH : INTEGER;
C_INPUT_SCALAR_15_WIDTH : INTEGER;
C_OUTPUT_SCALAR_0_WIDTH : INTEGER;
C_OUTPUT_SCALAR_1_WIDTH : INTEGER;
C_OUTPUT_SCALAR_2_WIDTH : INTEGER;
C_OUTPUT_SCALAR_3_WIDTH : INTEGER;
C_OUTPUT_SCALAR_4_WIDTH : INTEGER;
C_OUTPUT_SCALAR_5_WIDTH : INTEGER;
C_OUTPUT_SCALAR_6_WIDTH : INTEGER;
C_OUTPUT_SCALAR_7_WIDTH : INTEGER;
C_OUTPUT_SCALAR_8_WIDTH : INTEGER;
C_OUTPUT_SCALAR_9_WIDTH : INTEGER;
C_OUTPUT_SCALAR_10_WIDTH : INTEGER;
C_OUTPUT_SCALAR_11_WIDTH : INTEGER;
C_OUTPUT_SCALAR_12_WIDTH : INTEGER;
C_OUTPUT_SCALAR_13_WIDTH : INTEGER;
C_OUTPUT_SCALAR_14_WIDTH : INTEGER;
C_OUTPUT_SCALAR_15_WIDTH : INTEGER;
C_N_OUTPUT_SCALARS : INTEGER;
C_OUTPUT_SCALAR_DWIDTH : STD_LOGIC_VECTOR;
C_AP_OSCALAR_DIN_WIDTH : INTEGER;
C_AP_OSCALAR_IO_DIN_WIDTH : INTEGER;
C_ENABLE_STREAM_CLK : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_S_AXIS_HAS_TSTRB : INTEGER;
C_S_AXIS_HAS_TKEEP : INTEGER;
C_NONE : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axis_aclk : IN STD_LOGIC;
s_axis_aresetn : IN STD_LOGIC;
s_axis_0_aclk : IN STD_LOGIC;
s_axis_0_aresetn : IN STD_LOGIC;
s_axis_0_tvalid : IN STD_LOGIC;
s_axis_0_tready : OUT STD_LOGIC;
s_axis_0_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_0_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_0_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_0_tlast : IN STD_LOGIC;
s_axis_0_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_0_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_0_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_1_aclk : IN STD_LOGIC;
s_axis_1_aresetn : IN STD_LOGIC;
s_axis_1_tvalid : IN STD_LOGIC;
s_axis_1_tready : OUT STD_LOGIC;
s_axis_1_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_1_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_1_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_1_tlast : IN STD_LOGIC;
s_axis_1_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_1_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_1_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_2_aclk : IN STD_LOGIC;
s_axis_2_aresetn : IN STD_LOGIC;
s_axis_2_tvalid : IN STD_LOGIC;
s_axis_2_tready : OUT STD_LOGIC;
s_axis_2_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_2_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_2_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_2_tlast : IN STD_LOGIC;
s_axis_2_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_2_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_2_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_3_aclk : IN STD_LOGIC;
s_axis_3_aresetn : IN STD_LOGIC;
s_axis_3_tvalid : IN STD_LOGIC;
s_axis_3_tready : OUT STD_LOGIC;
s_axis_3_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_3_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_3_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_3_tlast : IN STD_LOGIC;
s_axis_3_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_3_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_3_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_4_aclk : IN STD_LOGIC;
s_axis_4_aresetn : IN STD_LOGIC;
s_axis_4_tvalid : IN STD_LOGIC;
s_axis_4_tready : OUT STD_LOGIC;
s_axis_4_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_4_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_4_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_4_tlast : IN STD_LOGIC;
s_axis_4_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_4_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_4_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_5_aclk : IN STD_LOGIC;
s_axis_5_aresetn : IN STD_LOGIC;
s_axis_5_tvalid : IN STD_LOGIC;
s_axis_5_tready : OUT STD_LOGIC;
s_axis_5_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_5_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_5_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_5_tlast : IN STD_LOGIC;
s_axis_5_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_5_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_5_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_6_aclk : IN STD_LOGIC;
s_axis_6_aresetn : IN STD_LOGIC;
s_axis_6_tvalid : IN STD_LOGIC;
s_axis_6_tready : OUT STD_LOGIC;
s_axis_6_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_6_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_6_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_6_tlast : IN STD_LOGIC;
s_axis_6_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_6_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_6_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_7_aclk : IN STD_LOGIC;
s_axis_7_aresetn : IN STD_LOGIC;
s_axis_7_tvalid : IN STD_LOGIC;
s_axis_7_tready : OUT STD_LOGIC;
s_axis_7_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_7_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_7_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_7_tlast : IN STD_LOGIC;
s_axis_7_tid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_7_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_7_tuser : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ap_iarg_0_clk : IN STD_LOGIC;
ap_iarg_0_rst : IN STD_LOGIC;
ap_iarg_0_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_0_ce : IN STD_LOGIC;
ap_iarg_0_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_1_clk : IN STD_LOGIC;
ap_iarg_1_rst : IN STD_LOGIC;
ap_iarg_1_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_1_ce : IN STD_LOGIC;
ap_iarg_1_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_2_clk : IN STD_LOGIC;
ap_iarg_2_rst : IN STD_LOGIC;
ap_iarg_2_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_2_ce : IN STD_LOGIC;
ap_iarg_2_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_3_clk : IN STD_LOGIC;
ap_iarg_3_rst : IN STD_LOGIC;
ap_iarg_3_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_3_ce : IN STD_LOGIC;
ap_iarg_3_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_4_clk : IN STD_LOGIC;
ap_iarg_4_rst : IN STD_LOGIC;
ap_iarg_4_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_4_ce : IN STD_LOGIC;
ap_iarg_4_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_5_clk : IN STD_LOGIC;
ap_iarg_5_rst : IN STD_LOGIC;
ap_iarg_5_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_5_ce : IN STD_LOGIC;
ap_iarg_5_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_6_clk : IN STD_LOGIC;
ap_iarg_6_rst : IN STD_LOGIC;
ap_iarg_6_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_6_ce : IN STD_LOGIC;
ap_iarg_6_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_7_clk : IN STD_LOGIC;
ap_iarg_7_rst : IN STD_LOGIC;
ap_iarg_7_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_7_ce : IN STD_LOGIC;
ap_iarg_7_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_iarg_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iarg_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_0_read : IN STD_LOGIC;
ap_fifo_iarg_0_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_1_read : IN STD_LOGIC;
ap_fifo_iarg_1_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_2_read : IN STD_LOGIC;
ap_fifo_iarg_2_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_3_read : IN STD_LOGIC;
ap_fifo_iarg_3_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_4_read : IN STD_LOGIC;
ap_fifo_iarg_4_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_5_read : IN STD_LOGIC;
ap_fifo_iarg_5_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_6_read : IN STD_LOGIC;
ap_fifo_iarg_6_empty_n : OUT STD_LOGIC;
ap_fifo_iarg_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_iarg_7_read : IN STD_LOGIC;
ap_fifo_iarg_7_empty_n : OUT STD_LOGIC;
m_axis_aclk : IN STD_LOGIC;
m_axis_aresetn : IN STD_LOGIC;
m_axis_0_aclk : IN STD_LOGIC;
m_axis_0_aresetn : IN STD_LOGIC;
m_axis_0_tvalid : OUT STD_LOGIC;
m_axis_0_tready : IN STD_LOGIC;
m_axis_0_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_0_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_0_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_0_tlast : OUT STD_LOGIC;
m_axis_0_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_0_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_0_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_1_aclk : IN STD_LOGIC;
m_axis_1_aresetn : IN STD_LOGIC;
m_axis_1_tvalid : OUT STD_LOGIC;
m_axis_1_tready : IN STD_LOGIC;
m_axis_1_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_1_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_1_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_1_tlast : OUT STD_LOGIC;
m_axis_1_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_1_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_1_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_2_aclk : IN STD_LOGIC;
m_axis_2_aresetn : IN STD_LOGIC;
m_axis_2_tvalid : OUT STD_LOGIC;
m_axis_2_tready : IN STD_LOGIC;
m_axis_2_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_2_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_2_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_2_tlast : OUT STD_LOGIC;
m_axis_2_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_2_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_2_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_3_aclk : IN STD_LOGIC;
m_axis_3_aresetn : IN STD_LOGIC;
m_axis_3_tvalid : OUT STD_LOGIC;
m_axis_3_tready : IN STD_LOGIC;
m_axis_3_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_3_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_3_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_3_tlast : OUT STD_LOGIC;
m_axis_3_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_3_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_3_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_4_aclk : IN STD_LOGIC;
m_axis_4_aresetn : IN STD_LOGIC;
m_axis_4_tvalid : OUT STD_LOGIC;
m_axis_4_tready : IN STD_LOGIC;
m_axis_4_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_4_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_4_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_4_tlast : OUT STD_LOGIC;
m_axis_4_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_4_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_4_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_5_aclk : IN STD_LOGIC;
m_axis_5_aresetn : IN STD_LOGIC;
m_axis_5_tvalid : OUT STD_LOGIC;
m_axis_5_tready : IN STD_LOGIC;
m_axis_5_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_5_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_5_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_5_tlast : OUT STD_LOGIC;
m_axis_5_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_5_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_5_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_6_aclk : IN STD_LOGIC;
m_axis_6_aresetn : IN STD_LOGIC;
m_axis_6_tvalid : OUT STD_LOGIC;
m_axis_6_tready : IN STD_LOGIC;
m_axis_6_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_6_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_6_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_6_tlast : OUT STD_LOGIC;
m_axis_6_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_6_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_6_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_7_aclk : IN STD_LOGIC;
m_axis_7_aresetn : IN STD_LOGIC;
m_axis_7_tvalid : OUT STD_LOGIC;
m_axis_7_tready : IN STD_LOGIC;
m_axis_7_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_7_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_7_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_7_tlast : OUT STD_LOGIC;
m_axis_7_tid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_7_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_7_tuser : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
ap_oarg_0_clk : IN STD_LOGIC;
ap_oarg_0_rst : IN STD_LOGIC;
ap_oarg_0_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_0_ce : IN STD_LOGIC;
ap_oarg_0_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_1_clk : IN STD_LOGIC;
ap_oarg_1_rst : IN STD_LOGIC;
ap_oarg_1_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_1_ce : IN STD_LOGIC;
ap_oarg_1_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_2_clk : IN STD_LOGIC;
ap_oarg_2_rst : IN STD_LOGIC;
ap_oarg_2_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_2_ce : IN STD_LOGIC;
ap_oarg_2_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_3_clk : IN STD_LOGIC;
ap_oarg_3_rst : IN STD_LOGIC;
ap_oarg_3_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_3_ce : IN STD_LOGIC;
ap_oarg_3_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_4_clk : IN STD_LOGIC;
ap_oarg_4_rst : IN STD_LOGIC;
ap_oarg_4_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_4_ce : IN STD_LOGIC;
ap_oarg_4_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_5_clk : IN STD_LOGIC;
ap_oarg_5_rst : IN STD_LOGIC;
ap_oarg_5_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_5_ce : IN STD_LOGIC;
ap_oarg_5_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_6_clk : IN STD_LOGIC;
ap_oarg_6_rst : IN STD_LOGIC;
ap_oarg_6_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_6_ce : IN STD_LOGIC;
ap_oarg_6_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_7_clk : IN STD_LOGIC;
ap_oarg_7_rst : IN STD_LOGIC;
ap_oarg_7_addr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_7_ce : IN STD_LOGIC;
ap_oarg_7_we : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ap_oarg_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oarg_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_0_write : IN STD_LOGIC;
ap_fifo_oarg_0_full_n : OUT STD_LOGIC;
ap_fifo_oarg_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_1_write : IN STD_LOGIC;
ap_fifo_oarg_1_full_n : OUT STD_LOGIC;
ap_fifo_oarg_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_2_write : IN STD_LOGIC;
ap_fifo_oarg_2_full_n : OUT STD_LOGIC;
ap_fifo_oarg_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_3_write : IN STD_LOGIC;
ap_fifo_oarg_3_full_n : OUT STD_LOGIC;
ap_fifo_oarg_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_4_write : IN STD_LOGIC;
ap_fifo_oarg_4_full_n : OUT STD_LOGIC;
ap_fifo_oarg_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_5_write : IN STD_LOGIC;
ap_fifo_oarg_5_full_n : OUT STD_LOGIC;
ap_fifo_oarg_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_6_write : IN STD_LOGIC;
ap_fifo_oarg_6_full_n : OUT STD_LOGIC;
ap_fifo_oarg_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_fifo_oarg_7_write : IN STD_LOGIC;
ap_fifo_oarg_7_full_n : OUT STD_LOGIC;
aclk : IN STD_LOGIC;
aresetn : OUT STD_LOGIC;
ap_start : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_continue : OUT STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_iscalar_0_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_1_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_2_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_3_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_4_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_5_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_6_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_7_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_8_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_9_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_10_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_11_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_12_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_13_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_14_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_iscalar_15_dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_0_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_1_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_2_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_3_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_4_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_5_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_6_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_7_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_8_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_9_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_10_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_11_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_12_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_13_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_14_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_15_din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ap_oscalar_0_vld : IN STD_LOGIC;
ap_oscalar_1_vld : IN STD_LOGIC;
ap_oscalar_2_vld : IN STD_LOGIC;
ap_oscalar_3_vld : IN STD_LOGIC;
ap_oscalar_4_vld : IN STD_LOGIC;
ap_oscalar_5_vld : IN STD_LOGIC;
ap_oscalar_6_vld : IN STD_LOGIC;
ap_oscalar_7_vld : IN STD_LOGIC;
ap_oscalar_8_vld : IN STD_LOGIC;
ap_oscalar_9_vld : IN STD_LOGIC;
ap_oscalar_10_vld : IN STD_LOGIC;
ap_oscalar_11_vld : IN STD_LOGIC;
ap_oscalar_12_vld : IN STD_LOGIC;
ap_oscalar_13_vld : IN STD_LOGIC;
ap_oscalar_14_vld : IN STD_LOGIC;
ap_oscalar_15_vld : IN STD_LOGIC;
ap_oscalar_0_ack : OUT STD_LOGIC;
ap_oscalar_1_ack : OUT STD_LOGIC;
ap_oscalar_2_ack : OUT STD_LOGIC;
ap_oscalar_3_ack : OUT STD_LOGIC;
ap_oscalar_4_ack : OUT STD_LOGIC;
ap_oscalar_5_ack : OUT STD_LOGIC;
ap_oscalar_6_ack : OUT STD_LOGIC;
ap_oscalar_7_ack : OUT STD_LOGIC;
ap_oscalar_8_ack : OUT STD_LOGIC;
ap_oscalar_9_ack : OUT STD_LOGIC;
ap_oscalar_10_ack : OUT STD_LOGIC;
ap_oscalar_11_ack : OUT STD_LOGIC;
ap_oscalar_12_ack : OUT STD_LOGIC;
ap_oscalar_13_ack : OUT STD_LOGIC;
ap_oscalar_14_ack : OUT STD_LOGIC;
ap_oscalar_15_ack : OUT STD_LOGIC;
ap_iscalar_0_ack : IN STD_LOGIC;
ap_iscalar_1_ack : IN STD_LOGIC;
ap_iscalar_2_ack : IN STD_LOGIC;
ap_iscalar_3_ack : IN STD_LOGIC;
ap_iscalar_4_ack : IN STD_LOGIC;
ap_iscalar_5_ack : IN STD_LOGIC;
ap_iscalar_6_ack : IN STD_LOGIC;
ap_iscalar_7_ack : IN STD_LOGIC;
ap_iscalar_8_ack : IN STD_LOGIC;
ap_iscalar_9_ack : IN STD_LOGIC;
ap_iscalar_10_ack : IN STD_LOGIC;
ap_iscalar_11_ack : IN STD_LOGIC;
ap_iscalar_12_ack : IN STD_LOGIC;
ap_iscalar_13_ack : IN STD_LOGIC;
ap_iscalar_14_ack : IN STD_LOGIC;
ap_iscalar_15_ack : IN STD_LOGIC;
ap_iscalar_0_vld : OUT STD_LOGIC;
ap_iscalar_1_vld : OUT STD_LOGIC;
ap_iscalar_2_vld : OUT STD_LOGIC;
ap_iscalar_3_vld : OUT STD_LOGIC;
ap_iscalar_4_vld : OUT STD_LOGIC;
ap_iscalar_5_vld : OUT STD_LOGIC;
ap_iscalar_6_vld : OUT STD_LOGIC;
ap_iscalar_7_vld : OUT STD_LOGIC;
ap_iscalar_8_vld : OUT STD_LOGIC;
ap_iscalar_9_vld : OUT STD_LOGIC;
ap_iscalar_10_vld : OUT STD_LOGIC;
ap_iscalar_11_vld : OUT STD_LOGIC;
ap_iscalar_12_vld : OUT STD_LOGIC;
ap_iscalar_13_vld : OUT STD_LOGIC;
ap_iscalar_14_vld : OUT STD_LOGIC;
ap_iscalar_15_vld : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC
);
END COMPONENT axis_accelerator_adapter;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s_axi_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s_axi_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF ap_start: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL start";
ATTRIBUTE X_INTERFACE_INFO OF ap_ready: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL ready";
ATTRIBUTE X_INTERFACE_INFO OF ap_done: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL done";
ATTRIBUTE X_INTERFACE_INFO OF ap_continue: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL continue";
ATTRIBUTE X_INTERFACE_INFO OF ap_idle: SIGNAL IS "xilinx.com:interface:acc_handshake:1.0 AP_CTRL idle";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
BEGIN
U0 : axis_accelerator_adapter
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 13,
C_S_AXI_DATA_WIDTH => 32,
C_AP_ADAPTER_ID => 1,
C_N_INPUT_ARGS => 0,
C_N_OUTPUT_ARGS => 0,
C_S_AXIS_TDATA_WIDTH => 64,
C_S_AXIS_TUSER_WIDTH => 8,
C_S_AXIS_TID_WIDTH => 4,
C_S_AXIS_TDEST_WIDTH => 4,
C_AP_IARG_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_IARG_MB_DEPTH => X"0000000400000004000000040000000400000004000000040000000400000004",
C_AP_IARG_WIDTH => X"0000002000000020000000200000002000000020000000200000002000000020",
C_AP_IARG_N_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_DIM_1 => X"0000040000000400000004000000040000000400000004000000040000000400",
C_AP_IARG_DIM_2 => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_FORMAT_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_IARG_FORMAT_FACTOR => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_FORMAT_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_IARG_0_DWIDTH => 32,
C_AP_IARG_1_DWIDTH => 32,
C_AP_IARG_2_DWIDTH => 32,
C_AP_IARG_3_DWIDTH => 32,
C_AP_IARG_4_DWIDTH => 32,
C_AP_IARG_5_DWIDTH => 32,
C_AP_IARG_6_DWIDTH => 32,
C_AP_IARG_7_DWIDTH => 32,
C_M_AXIS_TDATA_WIDTH => 64,
C_M_AXIS_TUSER_WIDTH => 8,
C_M_AXIS_TID_WIDTH => 4,
C_M_AXIS_TDEST_WIDTH => 4,
C_AP_OARG_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_OARG_MB_DEPTH => X"0000000400000004000000040000000400000004000000040000000400000004",
C_AP_OARG_WIDTH => X"0000002000000020000000200000002000000020000000200000002000000020",
C_AP_OARG_N_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_DIM => X"0000000100000001000000010000040000000001000000010000000100000400000000010000000100000001000004000000000100000001000000010000040000000001000000010000000100000400000000010000000100000001000004000000000100000001000000010000080000000001000000010000000100000008",
C_AP_OARG_DIM_1 => X"0000040000000400000004000000040000000400000004000000040000000400",
C_AP_OARG_DIM_2 => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_FORMAT_TYPE => X"0000000000000000000000000000000000000000000000000000000000000000",
C_AP_OARG_FORMAT_FACTOR => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_FORMAT_DIM => X"0000000100000001000000010000000100000001000000010000000100000001",
C_AP_OARG_0_DWIDTH => 32,
C_AP_OARG_1_DWIDTH => 32,
C_AP_OARG_2_DWIDTH => 32,
C_AP_OARG_3_DWIDTH => 32,
C_AP_OARG_4_DWIDTH => 32,
C_AP_OARG_5_DWIDTH => 32,
C_AP_OARG_6_DWIDTH => 32,
C_AP_OARG_7_DWIDTH => 32,
C_N_INOUT_SCALARS => 0,
C_N_INPUT_SCALARS => 3,
C_INPUT_SCALAR_DWIDTH => X"00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020",
C_INPUT_SCALAR_MODE => X"0000000000000000",
C_OUTPUT_SCALAR_MODE => X"0000000000000000",
C_AP_ISCALAR_DOUT_WIDTH => 96,
C_AP_ISCALAR_IO_DOUT_WIDTH => 32,
C_INPUT_SCALAR_0_WIDTH => 32,
C_INPUT_SCALAR_1_WIDTH => 32,
C_INPUT_SCALAR_2_WIDTH => 32,
C_INPUT_SCALAR_3_WIDTH => 32,
C_INPUT_SCALAR_4_WIDTH => 32,
C_INPUT_SCALAR_5_WIDTH => 32,
C_INPUT_SCALAR_6_WIDTH => 32,
C_INPUT_SCALAR_7_WIDTH => 32,
C_INPUT_SCALAR_8_WIDTH => 32,
C_INPUT_SCALAR_9_WIDTH => 32,
C_INPUT_SCALAR_10_WIDTH => 32,
C_INPUT_SCALAR_11_WIDTH => 32,
C_INPUT_SCALAR_12_WIDTH => 32,
C_INPUT_SCALAR_13_WIDTH => 32,
C_INPUT_SCALAR_14_WIDTH => 32,
C_INPUT_SCALAR_15_WIDTH => 32,
C_OUTPUT_SCALAR_0_WIDTH => 32,
C_OUTPUT_SCALAR_1_WIDTH => 32,
C_OUTPUT_SCALAR_2_WIDTH => 32,
C_OUTPUT_SCALAR_3_WIDTH => 32,
C_OUTPUT_SCALAR_4_WIDTH => 32,
C_OUTPUT_SCALAR_5_WIDTH => 32,
C_OUTPUT_SCALAR_6_WIDTH => 32,
C_OUTPUT_SCALAR_7_WIDTH => 32,
C_OUTPUT_SCALAR_8_WIDTH => 32,
C_OUTPUT_SCALAR_9_WIDTH => 32,
C_OUTPUT_SCALAR_10_WIDTH => 32,
C_OUTPUT_SCALAR_11_WIDTH => 32,
C_OUTPUT_SCALAR_12_WIDTH => 32,
C_OUTPUT_SCALAR_13_WIDTH => 32,
C_OUTPUT_SCALAR_14_WIDTH => 32,
C_OUTPUT_SCALAR_15_WIDTH => 32,
C_N_OUTPUT_SCALARS => 1,
C_OUTPUT_SCALAR_DWIDTH => X"00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020",
C_AP_OSCALAR_DIN_WIDTH => 32,
C_AP_OSCALAR_IO_DIN_WIDTH => 32,
C_ENABLE_STREAM_CLK => 0,
C_PRMRY_IS_ACLK_ASYNC => 0,
C_S_AXIS_HAS_TSTRB => 0,
C_S_AXIS_HAS_TKEEP => 0,
C_NONE => 2
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
s_axis_aclk => '0',
s_axis_aresetn => '0',
s_axis_0_aclk => '0',
s_axis_0_aresetn => '0',
s_axis_0_tvalid => '0',
s_axis_0_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_0_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_0_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_0_tlast => '0',
s_axis_0_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_0_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_0_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_1_aclk => '0',
s_axis_1_aresetn => '0',
s_axis_1_tvalid => '0',
s_axis_1_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_1_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_1_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_1_tlast => '0',
s_axis_1_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_1_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_1_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_2_aclk => '0',
s_axis_2_aresetn => '0',
s_axis_2_tvalid => '0',
s_axis_2_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_2_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_2_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_2_tlast => '0',
s_axis_2_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_2_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_2_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_3_aclk => '0',
s_axis_3_aresetn => '0',
s_axis_3_tvalid => '0',
s_axis_3_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_3_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_3_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_3_tlast => '0',
s_axis_3_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_3_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_3_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_4_aclk => '0',
s_axis_4_aresetn => '0',
s_axis_4_tvalid => '0',
s_axis_4_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_4_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_4_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_4_tlast => '0',
s_axis_4_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_4_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_4_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_5_aclk => '0',
s_axis_5_aresetn => '0',
s_axis_5_tvalid => '0',
s_axis_5_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_5_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_5_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_5_tlast => '0',
s_axis_5_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_5_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_5_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_6_aclk => '0',
s_axis_6_aresetn => '0',
s_axis_6_tvalid => '0',
s_axis_6_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_6_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_6_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_6_tlast => '0',
s_axis_6_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_6_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_6_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_7_aclk => '0',
s_axis_7_aresetn => '0',
s_axis_7_tvalid => '0',
s_axis_7_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_7_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_7_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_7_tlast => '0',
s_axis_7_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_7_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_7_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
ap_iarg_0_clk => '0',
ap_iarg_0_rst => '0',
ap_iarg_0_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_0_ce => '0',
ap_iarg_0_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_0_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_1_clk => '0',
ap_iarg_1_rst => '0',
ap_iarg_1_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_1_ce => '0',
ap_iarg_1_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_2_clk => '0',
ap_iarg_2_rst => '0',
ap_iarg_2_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_2_ce => '0',
ap_iarg_2_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_3_clk => '0',
ap_iarg_3_rst => '0',
ap_iarg_3_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_3_ce => '0',
ap_iarg_3_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_4_clk => '0',
ap_iarg_4_rst => '0',
ap_iarg_4_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_4_ce => '0',
ap_iarg_4_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_5_clk => '0',
ap_iarg_5_rst => '0',
ap_iarg_5_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_5_ce => '0',
ap_iarg_5_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_6_clk => '0',
ap_iarg_6_rst => '0',
ap_iarg_6_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_6_ce => '0',
ap_iarg_6_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_7_clk => '0',
ap_iarg_7_rst => '0',
ap_iarg_7_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_iarg_7_ce => '0',
ap_iarg_7_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_iarg_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_iarg_0_read => '0',
ap_fifo_iarg_1_read => '0',
ap_fifo_iarg_2_read => '0',
ap_fifo_iarg_3_read => '0',
ap_fifo_iarg_4_read => '0',
ap_fifo_iarg_5_read => '0',
ap_fifo_iarg_6_read => '0',
ap_fifo_iarg_7_read => '0',
m_axis_aclk => '0',
m_axis_aresetn => '0',
m_axis_0_aclk => '0',
m_axis_0_aresetn => '0',
m_axis_0_tready => '0',
m_axis_1_aclk => '0',
m_axis_1_aresetn => '0',
m_axis_1_tready => '0',
m_axis_2_aclk => '0',
m_axis_2_aresetn => '0',
m_axis_2_tready => '0',
m_axis_3_aclk => '0',
m_axis_3_aresetn => '0',
m_axis_3_tready => '0',
m_axis_4_aclk => '0',
m_axis_4_aresetn => '0',
m_axis_4_tready => '0',
m_axis_5_aclk => '0',
m_axis_5_aresetn => '0',
m_axis_5_tready => '0',
m_axis_6_aclk => '0',
m_axis_6_aresetn => '0',
m_axis_6_tready => '0',
m_axis_7_aclk => '0',
m_axis_7_aresetn => '0',
m_axis_7_tready => '0',
ap_oarg_0_clk => '0',
ap_oarg_0_rst => '0',
ap_oarg_0_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_0_ce => '0',
ap_oarg_0_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_0_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_1_clk => '0',
ap_oarg_1_rst => '0',
ap_oarg_1_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_1_ce => '0',
ap_oarg_1_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_2_clk => '0',
ap_oarg_2_rst => '0',
ap_oarg_2_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_2_ce => '0',
ap_oarg_2_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_3_clk => '0',
ap_oarg_3_rst => '0',
ap_oarg_3_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_3_ce => '0',
ap_oarg_3_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_4_clk => '0',
ap_oarg_4_rst => '0',
ap_oarg_4_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_4_ce => '0',
ap_oarg_4_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_5_clk => '0',
ap_oarg_5_rst => '0',
ap_oarg_5_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_5_ce => '0',
ap_oarg_5_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_6_clk => '0',
ap_oarg_6_rst => '0',
ap_oarg_6_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_6_ce => '0',
ap_oarg_6_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_7_clk => '0',
ap_oarg_7_rst => '0',
ap_oarg_7_addr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oarg_7_ce => '0',
ap_oarg_7_we => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
ap_oarg_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_0_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_0_write => '0',
ap_fifo_oarg_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_1_write => '0',
ap_fifo_oarg_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_2_write => '0',
ap_fifo_oarg_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_3_write => '0',
ap_fifo_oarg_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_4_write => '0',
ap_fifo_oarg_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_5_write => '0',
ap_fifo_oarg_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_6_write => '0',
ap_fifo_oarg_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_fifo_oarg_7_write => '0',
aclk => aclk,
aresetn => aresetn,
ap_start => ap_start,
ap_ready => ap_ready,
ap_done => ap_done,
ap_continue => ap_continue,
ap_idle => ap_idle,
ap_iscalar_0_dout => ap_iscalar_0_dout,
ap_iscalar_1_dout => ap_iscalar_1_dout,
ap_iscalar_2_dout => ap_iscalar_2_dout,
ap_oscalar_0_din => ap_oscalar_0_din,
ap_oscalar_1_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_2_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_3_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_4_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_5_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_6_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_7_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_8_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_9_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_10_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_11_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_12_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_13_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_14_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_15_din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
ap_oscalar_0_vld => '0',
ap_oscalar_1_vld => '0',
ap_oscalar_2_vld => '0',
ap_oscalar_3_vld => '0',
ap_oscalar_4_vld => '0',
ap_oscalar_5_vld => '0',
ap_oscalar_6_vld => '0',
ap_oscalar_7_vld => '0',
ap_oscalar_8_vld => '0',
ap_oscalar_9_vld => '0',
ap_oscalar_10_vld => '0',
ap_oscalar_11_vld => '0',
ap_oscalar_12_vld => '0',
ap_oscalar_13_vld => '0',
ap_oscalar_14_vld => '0',
ap_oscalar_15_vld => '0',
ap_iscalar_0_ack => '0',
ap_iscalar_1_ack => '0',
ap_iscalar_2_ack => '0',
ap_iscalar_3_ack => '0',
ap_iscalar_4_ack => '0',
ap_iscalar_5_ack => '0',
ap_iscalar_6_ack => '0',
ap_iscalar_7_ack => '0',
ap_iscalar_8_ack => '0',
ap_iscalar_9_ack => '0',
ap_iscalar_10_ack => '0',
ap_iscalar_11_ack => '0',
ap_iscalar_12_ack => '0',
ap_iscalar_13_ack => '0',
ap_iscalar_14_ack => '0',
ap_iscalar_15_ack => '0',
interrupt => interrupt
);
END zc702_set_0_if_0_arch;
| mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/vhls/set/solution/syn/vhdl/set_gmem_m_axi.vhd | 4 | 110726 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity set_gmem_m_axi is
generic (
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_AWUSER_WIDTH : INTEGER := 1;
C_M_AXI_ARUSER_WIDTH : INTEGER := 1;
C_M_AXI_WUSER_WIDTH : INTEGER := 1;
C_M_AXI_RUSER_WIDTH : INTEGER := 1;
C_M_AXI_BUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 2#000#;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
-- system signal
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
-- write address channel
AWID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
AWADDR : out STD_LOGIC_VECTOR(C_M_AXI_ADDR_WIDTH-1 downto 0);
AWLEN : out STD_LOGIC_VECTOR(7 downto 0);
AWSIZE : out STD_LOGIC_VECTOR(2 downto 0);
AWBURST : out STD_LOGIC_VECTOR(1 downto 0);
AWLOCK : out STD_LOGIC_VECTOR(1 downto 0);
AWCACHE : out STD_LOGIC_VECTOR(3 downto 0);
AWPROT : out STD_LOGIC_VECTOR(2 downto 0);
AWQOS : out STD_LOGIC_VECTOR(3 downto 0);
AWREGION : out STD_LOGIC_VECTOR(3 downto 0);
AWUSER : out STD_LOGIC_VECTOR(C_M_AXI_AWUSER_WIDTH-1 downto 0);
AWVALID : out STD_LOGIC;
AWREADY : in STD_LOGIC;
-- write data channel
WID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
WDATA : out STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH-1 downto 0);
WSTRB : out STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH/8-1 downto 0);
WLAST : out STD_LOGIC;
WUSER : out STD_LOGIC_VECTOR(C_M_AXI_WUSER_WIDTH-1 downto 0);
WVALID : out STD_LOGIC;
WREADY : in STD_LOGIC;
-- write response channel
BID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
BRESP : in STD_LOGIC_VECTOR(1 downto 0);
BUSER : in STD_LOGIC_VECTOR(C_M_AXI_BUSER_WIDTH-1 downto 0);
BVALID : in STD_LOGIC;
BREADY : out STD_LOGIC;
-- read address channel
ARID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
ARADDR : out STD_LOGIC_VECTOR(C_M_AXI_ADDR_WIDTH-1 downto 0);
ARLEN : out STD_LOGIC_VECTOR(7 downto 0);
ARSIZE : out STD_LOGIC_VECTOR(2 downto 0);
ARBURST : out STD_LOGIC_VECTOR(1 downto 0);
ARLOCK : out STD_LOGIC_VECTOR(1 downto 0);
ARCACHE : out STD_LOGIC_VECTOR(3 downto 0);
ARPROT : out STD_LOGIC_VECTOR(2 downto 0);
ARQOS : out STD_LOGIC_VECTOR(3 downto 0);
ARREGION : out STD_LOGIC_VECTOR(3 downto 0);
ARUSER : out STD_LOGIC_VECTOR(C_M_AXI_ARUSER_WIDTH-1 downto 0);
ARVALID : out STD_LOGIC;
ARREADY : in STD_LOGIC;
-- read data channel
RID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
RDATA : in STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH-1 downto 0);
RRESP : in STD_LOGIC_VECTOR(1 downto 0);
RLAST : in STD_LOGIC;
RUSER : in STD_LOGIC_VECTOR(C_M_AXI_RUSER_WIDTH-1 downto 0);
RVALID : in STD_LOGIC;
RREADY : out STD_LOGIC;
-- internal bus ports
-- write address channel
I_AWID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_AWADDR : in STD_LOGIC_VECTOR(USER_AW-1 downto 0);
I_AWLEN : in STD_LOGIC_VECTOR(31 downto 0);
I_AWSIZE : in STD_LOGIC_VECTOR(2 downto 0);
I_AWBURST : in STD_LOGIC_VECTOR(1 downto 0);
I_AWLOCK : in STD_LOGIC_VECTOR(1 downto 0);
I_AWCACHE : in STD_LOGIC_VECTOR(3 downto 0);
I_AWPROT : in STD_LOGIC_VECTOR(2 downto 0);
I_AWQOS : in STD_LOGIC_VECTOR(3 downto 0);
I_AWREGION : in STD_LOGIC_VECTOR(3 downto 0);
I_AWUSER : in STD_LOGIC_VECTOR(C_M_AXI_AWUSER_WIDTH-1 downto 0);
I_AWVALID : in STD_LOGIC;
I_AWREADY : out STD_LOGIC;
-- write data channel
I_WID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_WDATA : in STD_LOGIC_VECTOR(USER_DW-1 downto 0);
I_WSTRB : in STD_LOGIC_VECTOR(USER_DW/8-1 downto 0);
I_WLAST : in STD_LOGIC;
I_WUSER : in STD_LOGIC_VECTOR(C_M_AXI_WUSER_WIDTH-1 downto 0);
I_WVALID : in STD_LOGIC;
I_WREADY : out STD_LOGIC;
-- write response channel
I_BID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_BRESP : out STD_LOGIC_VECTOR(1 downto 0);
I_BUSER : out STD_LOGIC_VECTOR(C_M_AXI_BUSER_WIDTH-1 downto 0);
I_BVALID : out STD_LOGIC;
I_BREADY : in STD_LOGIC;
-- read address channel
I_ARID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_ARADDR : in STD_LOGIC_VECTOR(USER_AW-1 downto 0);
I_ARLEN : in STD_LOGIC_VECTOR(31 downto 0);
I_ARSIZE : in STD_LOGIC_VECTOR(2 downto 0);
I_ARBURST : in STD_LOGIC_VECTOR(1 downto 0);
I_ARLOCK : in STD_LOGIC_VECTOR(1 downto 0);
I_ARCACHE : in STD_LOGIC_VECTOR(3 downto 0);
I_ARPROT : in STD_LOGIC_VECTOR(2 downto 0);
I_ARQOS : in STD_LOGIC_VECTOR(3 downto 0);
I_ARREGION : in STD_LOGIC_VECTOR(3 downto 0);
I_ARUSER : in STD_LOGIC_VECTOR(C_M_AXI_ARUSER_WIDTH-1 downto 0);
I_ARVALID : in STD_LOGIC;
I_ARREADY : out STD_LOGIC;
-- read data channel
I_RID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_RDATA : out STD_LOGIC_VECTOR(USER_DW-1 downto 0);
I_RRESP : out STD_LOGIC_VECTOR(1 downto 0);
I_RLAST : out STD_LOGIC;
I_RUSER : out STD_LOGIC_VECTOR(C_M_AXI_RUSER_WIDTH-1 downto 0);
I_RVALID : out STD_LOGIC;
I_RREADY : in STD_LOGIC);
end entity set_gmem_m_axi;
architecture behave of set_gmem_m_axi is
component set_gmem_m_axi_write is
generic (
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_AWUSER_WIDTH : INTEGER := 1;
C_M_AXI_WUSER_WIDTH : INTEGER := 1;
C_M_AXI_BUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
AWID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
AWADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
AWLEN : out UNSIGNED(7 downto 0);
AWSIZE : out UNSIGNED(2 downto 0);
AWBURST : out UNSIGNED(1 downto 0);
AWLOCK : out UNSIGNED(1 downto 0);
AWCACHE : out UNSIGNED(3 downto 0);
AWPROT : out UNSIGNED(2 downto 0);
AWQOS : out UNSIGNED(3 downto 0);
AWREGION : out UNSIGNED(3 downto 0);
AWUSER : out UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
AWVALID : out STD_LOGIC;
AWREADY : in STD_LOGIC;
WID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
WDATA : out UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
WSTRB : out UNSIGNED(C_M_AXI_DATA_WIDTH/8-1 downto 0);
WLAST : out STD_LOGIC;
WUSER : out UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
WVALID : out STD_LOGIC;
WREADY : in STD_LOGIC;
BID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
BRESP : in UNSIGNED(1 downto 0);
BUSER : in UNSIGNED(C_M_AXI_BUSER_WIDTH-1 downto 0);
BVALID : in STD_LOGIC;
BREADY : out STD_LOGIC;
wreq_valid : in STD_LOGIC;
wreq_ack : out STD_LOGIC;
wreq_addr : in UNSIGNED(USER_AW-1 downto 0);
wreq_length : in UNSIGNED(31 downto 0);
wreq_cache : in UNSIGNED(3 downto 0);
wreq_prot : in UNSIGNED(2 downto 0);
wreq_qos : in UNSIGNED(3 downto 0);
wreq_user : in UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
wdata_valid : in STD_LOGIC;
wdata_ack : out STD_LOGIC;
wdata_strb : in UNSIGNED(USER_DW/8-1 downto 0);
wdata_user : in UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
wdata_data : in UNSIGNED(USER_DW-1 downto 0);
wrsp_valid : out STD_LOGIC;
wrsp_ack : in STD_LOGIC;
wrsp : out UNSIGNED(1 downto 0));
end component set_gmem_m_axi_write;
component set_gmem_m_axi_read is
generic (
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_ARUSER_WIDTH : INTEGER := 1;
C_M_AXI_RUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
ARID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
ARADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
ARLEN : out UNSIGNED(7 downto 0);
ARSIZE : out UNSIGNED(2 downto 0);
ARBURST : out UNSIGNED(1 downto 0);
ARLOCK : out UNSIGNED(1 downto 0);
ARCACHE : out UNSIGNED(3 downto 0);
ARPROT : out UNSIGNED(2 downto 0);
ARQOS : out UNSIGNED(3 downto 0);
ARREGION : out UNSIGNED(3 downto 0);
ARUSER : out UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
ARVALID : out STD_LOGIC;
ARREADY : in STD_LOGIC;
RID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
RDATA : in UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
RRESP : in UNSIGNED(1 downto 0);
RLAST : in STD_LOGIC;
RUSER : in UNSIGNED(C_M_AXI_RUSER_WIDTH-1 downto 0);
RVALID : in STD_LOGIC;
RREADY : out STD_LOGIC;
rreq_valid : in STD_LOGIC;
rreq_ack : out STD_LOGIC;
rreq_addr : in UNSIGNED(USER_AW-1 downto 0);
rreq_length : in UNSIGNED(31 downto 0);
rreq_cache : in UNSIGNED(3 downto 0);
rreq_prot : in UNSIGNED(2 downto 0);
rreq_qos : in UNSIGNED(3 downto 0);
rreq_user : in UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
rdata_valid : out STD_LOGIC;
rdata_ack : in STD_LOGIC;
rdata_data : out UNSIGNED(USER_DW-1 downto 0);
rrsp : out UNSIGNED(1 downto 0));
end component set_gmem_m_axi_read;
component set_gmem_m_axi_throttl is
generic (
USED_FIX : BOOLEAN := true;
FIX_VALUE : INTEGER := 4);
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
ce : in STD_LOGIC;
in_len : in STD_LOGIC_VECTOR;
in_req_valid : in STD_LOGIC;
in_req_ready : in STD_LOGIC;
in_data_valid : in STD_LOGIC;
in_data_ready : in STD_LOGIC;
out_req_valid : out STD_LOGIC;
out_req_ready : out STD_LOGIC);
end component set_gmem_m_axi_throttl;
signal AWLEN_Dummy : STD_LOGIC_VECTOR(7 downto 0);
signal AWVALID_Dummy : STD_LOGIC;
signal AWREADY_Dummy : STD_LOGIC;
signal WVALID_Dummy : STD_LOGIC;
signal ARLEN_Dummy : STD_LOGIC_VECTOR(7 downto 0);
signal ARVALID_Dummy : STD_LOGIC;
signal ARREADY_Dummy : STD_LOGIC;
signal RREADY_Dummy : STD_LOGIC;
begin
AWLEN <= AWLEN_Dummy;
WVALID <= WVALID_Dummy;
wreq_throttl : set_gmem_m_axi_throttl
generic map (
USED_FIX => false )
port map (
clk => ACLK,
reset => ARESET,
ce => ACLK_EN,
in_len => AWLEN_Dummy,
in_req_valid => AWVALID_Dummy,
out_req_valid => AWVALID,
in_req_ready => AWREADY,
out_req_ready => AWREADY_Dummy,
in_data_valid => WVALID_Dummy,
in_data_ready => WREADY);
ARLEN <= ARLEN_Dummy;
RREADY <= RREADY_Dummy;
rreq_throttl : set_gmem_m_axi_throttl
generic map (
USED_FIX => true,
FIX_VALUE => 4 )
port map (
clk => ACLK,
reset => ARESET,
ce => ACLK_EN,
in_len => ARLEN_Dummy,
in_req_valid => ARVALID_Dummy,
out_req_valid => ARVALID,
in_req_ready => ARREADY,
out_req_ready => ARREADY_Dummy,
in_data_valid => RVALID,
in_data_ready => RREADY_Dummy);
I_BID <= (others => '0');
I_BUSER <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_USER_VALUE, I_BUSER'length));
I_RID <= (others => '0');
I_RLAST <= '0';
I_RUSER <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_USER_VALUE, I_RUSER'length));
-- Instantiation
bus_write : set_gmem_m_axi_write
generic map (
C_M_AXI_ID_WIDTH => C_M_AXI_ID_WIDTH,
C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH,
C_TARGET_ADDR => C_TARGET_ADDR,
C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH,
C_M_AXI_AWUSER_WIDTH => C_M_AXI_AWUSER_WIDTH,
C_M_AXI_WUSER_WIDTH => C_M_AXI_WUSER_WIDTH,
C_M_AXI_BUSER_WIDTH => C_M_AXI_BUSER_WIDTH,
C_USER_VALUE => C_USER_VALUE,
C_PROT_VALUE => C_PROT_VALUE,
C_CACHE_VALUE => C_CACHE_VALUE,
USER_DW => USER_DW,
USER_AW => USER_AW,
USER_MAXREQS => USER_MAXREQS)
port map (
ACLK => ACLK,
ARESET => ARESET,
ACLK_EN => ACLK_EN,
STD_LOGIC_VECTOR(AWID) => AWID,
STD_LOGIC_VECTOR(AWADDR) => AWADDR,
STD_LOGIC_VECTOR(AWLEN) => AWLEN_Dummy,
STD_LOGIC_VECTOR(AWSIZE) => AWSIZE,
STD_LOGIC_VECTOR(AWBURST) => AWBURST,
STD_LOGIC_VECTOR(AWLOCK) => AWLOCK,
STD_LOGIC_VECTOR(AWCACHE) => AWCACHE,
STD_LOGIC_VECTOR(AWPROT) => AWPROT,
STD_LOGIC_VECTOR(AWQOS) => AWQOS,
STD_LOGIC_VECTOR(AWREGION) => AWREGION,
STD_LOGIC_VECTOR(AWUSER) => AWUSER,
AWVALID => AWVALID_Dummy,
AWREADY => AWREADY_Dummy,
STD_LOGIC_VECTOR(WID) => WID,
STD_LOGIC_VECTOR(WDATA) => WDATA,
STD_LOGIC_VECTOR(WSTRB) => WSTRB,
WLAST => WLAST,
STD_LOGIC_VECTOR(WUSER) => WUSER,
WVALID => WVALID_Dummy,
WREADY => WREADY,
BID => UNSIGNED(BID),
BRESP => UNSIGNED(BRESP),
BUSER => UNSIGNED(BUSER),
BVALID => BVALID,
BREADY => BREADY,
wreq_valid => I_AWVALID,
wreq_ack => I_AWREADY,
wreq_addr => UNSIGNED(I_AWADDR),
wreq_length => UNSIGNED(I_AWLEN),
wreq_cache => UNSIGNED(I_AWCACHE),
wreq_prot => UNSIGNED(I_AWPROT),
wreq_qos => UNSIGNED(I_AWQOS),
wreq_user => UNSIGNED(I_AWUSER),
wdata_valid => I_WVALID,
wdata_ack => I_WREADY,
wdata_strb => UNSIGNED(I_WSTRB),
wdata_user => UNSIGNED(I_WUSER),
wdata_data => UNSIGNED(I_WDATA),
wrsp_valid => I_BVALID,
wrsp_ack => I_BREADY,
STD_LOGIC_VECTOR(wrsp) => I_BRESP);
bus_read : set_gmem_m_axi_read
generic map (
C_M_AXI_ID_WIDTH => C_M_AXI_ID_WIDTH,
C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH,
C_TARGET_ADDR => C_TARGET_ADDR,
C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH,
C_M_AXI_ARUSER_WIDTH => C_M_AXI_ARUSER_WIDTH,
C_M_AXI_RUSER_WIDTH => C_M_AXI_RUSER_WIDTH,
C_USER_VALUE => C_USER_VALUE,
C_PROT_VALUE => C_PROT_VALUE,
C_CACHE_VALUE => C_CACHE_VALUE,
USER_DW => USER_DW,
USER_AW => USER_AW,
USER_MAXREQS => USER_MAXREQS)
port map (
ACLK => ACLK,
ARESET => ARESET,
ACLK_EN => ACLK_EN,
STD_LOGIC_VECTOR(ARID) => ARID,
STD_LOGIC_VECTOR(ARADDR) => ARADDR,
STD_LOGIC_VECTOR(ARLEN) => ARLEN_Dummy,
STD_LOGIC_VECTOR(ARSIZE) => ARSIZE,
STD_LOGIC_VECTOR(ARBURST) => ARBURST,
STD_LOGIC_VECTOR(ARLOCK) => ARLOCK,
STD_LOGIC_VECTOR(ARCACHE) => ARCACHE,
STD_LOGIC_VECTOR(ARPROT) => ARPROT,
STD_LOGIC_VECTOR(ARQOS) => ARQOS,
STD_LOGIC_VECTOR(ARREGION) => ARREGION,
STD_LOGIC_VECTOR(ARUSER) => ARUSER,
ARVALID => ARVALID_Dummy,
ARREADY => ARREADY_Dummy,
RID => UNSIGNED(RID),
RDATA => UNSIGNED(RDATA),
RRESP => UNSIGNED(RRESP),
RLAST => RLAST,
RUSER => UNSIGNED(RUSER),
RVALID => RVALID,
RREADY => RREADY_Dummy,
rreq_valid => I_ARVALID,
rreq_ack => I_ARREADY,
rreq_addr => UNSIGNED(I_ARADDR),
rreq_length => UNSIGNED(I_ARLEN),
rreq_cache => UNSIGNED(I_ARCACHE),
rreq_prot => UNSIGNED(I_ARPROT),
rreq_qos => UNSIGNED(I_ARQOS),
rreq_user => UNSIGNED(I_ARUSER),
rdata_valid => I_RVALID,
rdata_ack => I_RREADY,
STD_LOGIC_VECTOR(rdata_data)=> I_RDATA,
STD_LOGIC_VECTOR(rrsp) => I_RRESP);
end architecture behave;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity set_gmem_m_axi_fifo is
generic (
DATA_BITS : INTEGER := 8;
DEPTH : INTEGER := 16;
DEPTH_BITS : INTEGER := 4);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
empty_n : out STD_LOGIC;
full_n : out STD_LOGIC;
rdreq : in STD_LOGIC;
wrreq : in STD_LOGIC;
q : out UNSIGNED(DATA_BITS-1 downto 0);
data : in UNSIGNED(DATA_BITS-1 downto 0));
end entity set_gmem_m_axi_fifo;
architecture behave of set_gmem_m_axi_fifo is
signal push, pop, data_vld : STD_LOGIC;
signal empty_n_tmp, full_n_tmp : STD_LOGIC;
signal pout : INTEGER range 0 to DEPTH -1;
subtype word is UNSIGNED(DATA_BITS-1 downto 0);
type regFileType is array(0 to DEPTH-1) of word;
signal mem : regFileType;
begin
full_n <= full_n_tmp;
empty_n <= empty_n_tmp;
push <= full_n_tmp and wrreq;
pop <= data_vld and (not (empty_n_tmp and (not rdreq)));
q_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
q <= (others => '0');
elsif sclk_en = '1' then
if not (empty_n_tmp = '1' and rdreq = '0') then
q <= mem(pout);
end if;
end if;
end if;
end process q_proc;
empty_n_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
empty_n_tmp <= '0';
elsif sclk_en = '1' then
if not (empty_n_tmp = '1' and rdreq = '0') then
empty_n_tmp <= data_vld;
end if;
end if;
end if;
end process empty_n_proc;
data_vld_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
data_vld <= '0';
elsif sclk_en = '1' then
if push = '1' then
data_vld <= '1';
elsif push = '0' and pop = '1' and pout = 0 then
data_vld <= '0';
end if;
end if;
end if;
end process data_vld_proc;
full_n_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
full_n_tmp <= '1';
elsif sclk_en = '1' then
if rdreq = '1' then
full_n_tmp <= '1';
elsif push = '1' and pop = '0' and pout = DEPTH - 2 and data_vld = '1' then
full_n_tmp <= '0';
end if;
end if;
end if;
end process full_n_proc;
pout_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
pout <= 0;
elsif sclk_en = '1' then
if push = '1' and pop = '0' and data_vld = '1' then
pout <= TO_INTEGER(TO_UNSIGNED(pout + 1, DEPTH_BITS));
elsif push = '0' and pop = '1' and pout /= 0 then
pout <= pout - 1;
end if;
end if;
end if;
end process pout_proc;
process (sclk)
begin
if (sclk'event and sclk = '1') and sclk_en = '1' then
if push = '1' then
for i in 0 to DEPTH - 2 loop
mem(i+1) <= mem(i);
end loop;
mem(0) <= data;
end if;
end if;
end process;
end architecture behave;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity set_gmem_m_axi_decoder is
generic (
DIN_WIDTH : integer := 3);
port (
din : in UNSIGNED(DIN_WIDTH - 1 downto 0);
dout : out UNSIGNED(2**DIN_WIDTH - 1 downto 0));
end entity set_gmem_m_axi_decoder;
architecture behav of set_gmem_m_axi_decoder is
begin
process (din)
begin
dout <= (others => '0');
dout(TO_INTEGER(din) - 1 downto 0) <= (others => '1');
end process;
end architecture behav;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity set_gmem_m_axi_throttl is
generic (
USED_FIX : BOOLEAN := false;
FIX_VALUE : INTEGER := 4);
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
ce : in STD_LOGIC;
in_len : in STD_LOGIC_VECTOR;
in_req_valid : in STD_LOGIC;
in_req_ready : in STD_LOGIC;
in_data_valid : in STD_LOGIC;
in_data_ready : in STD_LOGIC;
out_req_valid : out STD_LOGIC;
out_req_ready : out STD_LOGIC);
end entity set_gmem_m_axi_throttl;
architecture behav of set_gmem_m_axi_throttl is
type switch_t is array(boolean) of integer;
constant switch : switch_t := (true => FIX_VALUE-1, false => 0);
constant threshold : INTEGER := switch(USED_FIX);
signal req_en : STD_LOGIC;
signal handshake : STD_LOGIC;
signal load_init : UNSIGNED(7 downto 0);
signal throttl_cnt : UNSIGNED(7 downto 0);
begin
fix_gen : if USED_FIX generate
load_init <= TO_UNSIGNED(FIX_VALUE-1, 8);
handshake <= '1';
end generate;
no_fix_gen : if not USED_FIX generate
load_init <= UNSIGNED(in_len);
handshake <= in_data_valid and in_data_ready;
end generate;
out_req_valid <= in_req_valid and req_en;
out_req_ready <= in_req_ready and req_en;
req_en <= '1' when throttl_cnt = 0 else
'0';
process (clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
throttl_cnt <= (others => '0');
elsif ce = '1' then
if UNSIGNED(in_len) > threshold and throttl_cnt = 0 and in_req_valid = '1' and in_req_ready = '1' then
throttl_cnt <= load_init; --load
elsif throttl_cnt > 0 and handshake = '1' then
throttl_cnt <= throttl_cnt - 1;
end if;
end if;
end if;
end process;
end architecture behav;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity set_gmem_m_axi_read is
generic (
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_ARUSER_WIDTH : INTEGER := 1;
C_M_AXI_RUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
ARID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
ARADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
ARLEN : out UNSIGNED(7 downto 0);
ARSIZE : out UNSIGNED(2 downto 0);
ARBURST : out UNSIGNED(1 downto 0);
ARLOCK : out UNSIGNED(1 downto 0);
ARCACHE : out UNSIGNED(3 downto 0);
ARPROT : out UNSIGNED(2 downto 0);
ARQOS : out UNSIGNED(3 downto 0);
ARREGION : out UNSIGNED(3 downto 0);
ARUSER : out UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
ARVALID : out STD_LOGIC;
ARREADY : in STD_LOGIC;
RID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
RDATA : in UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
RRESP : in UNSIGNED(1 downto 0);
RLAST : in STD_LOGIC;
RUSER : in UNSIGNED(C_M_AXI_RUSER_WIDTH-1 downto 0);
RVALID : in STD_LOGIC;
RREADY : out STD_LOGIC;
rreq_valid : in STD_LOGIC;
rreq_ack : out STD_LOGIC;
rreq_addr : in UNSIGNED(USER_AW-1 downto 0);
rreq_length : in UNSIGNED(31 downto 0);
rreq_cache : in UNSIGNED(3 downto 0);
rreq_prot : in UNSIGNED(2 downto 0);
rreq_qos : in UNSIGNED(3 downto 0);
rreq_user : in UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
rdata_valid : out STD_LOGIC;
rdata_ack : in STD_LOGIC;
rdata_data : out UNSIGNED(USER_DW-1 downto 0);
rrsp : out UNSIGNED(1 downto 0));
function calc_data_width (x : INTEGER) return INTEGER is
variable y : INTEGER;
begin
y := 8;
while y < x loop
y := y * 2;
end loop;
return y;
end function calc_data_width;
function log2 (x : INTEGER) return INTEGER is
variable n, m : INTEGER;
begin
n := 0;
m := 1;
while m < x loop
n := n + 1;
m := m * 2;
end loop;
return n;
end function log2;
end entity set_gmem_m_axi_read;
architecture behave of set_gmem_m_axi_read is
--common
constant USER_DATA_WIDTH : INTEGER := calc_data_width(USER_DW);
constant USER_DATA_BYTES : INTEGER := USER_DATA_WIDTH / 8;
constant USER_ADDR_ALIGN : INTEGER := log2(USER_DATA_BYTES);
constant BUS_DATA_WIDTH : INTEGER := C_M_AXI_DATA_WIDTH;
constant BUS_DATA_BYTES : INTEGER := BUS_DATA_WIDTH / 8;
constant BUS_ADDR_ALIGN : INTEGER := log2(BUS_DATA_BYTES);
--AR channel
constant TARGET_ADDR : INTEGER := (C_TARGET_ADDR/USER_DATA_BYTES)*USER_DATA_BYTES;
constant BOUNDARY_BEATS : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0) := (others => '1');
signal rreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal fifo_rreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal tmp_addr : UNSIGNED(USER_AW - 1 downto 0);
signal tmp_len : UNSIGNED(31 downto 0);
signal align_len : UNSIGNED(31 downto 0);
signal arlen_tmp : UNSIGNED(7 downto 0);
signal araddr_tmp : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal start_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal start_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal sect_end_buf : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal burst_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal start_to_4k : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal beat_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0);
signal zero_len_event : STD_LOGIC;
signal negative_len_event : STD_LOGIC;
signal invalid_len_event : STD_LOGIC;
signal fifo_rreq_valid : STD_LOGIC;
signal fifo_rreq_valid_buf : STD_LOGIC;
signal fifo_rreq_read : STD_LOGIC;
signal fifo_burst_w : STD_LOGIC;
signal fifo_resp_w : STD_LOGIC;
signal ARVALID_Dummy : STD_LOGIC;
signal ready_for_sect : STD_LOGIC;
signal next_rreq : BOOLEAN;
signal ready_for_rreq : BOOLEAN;
signal rreq_handling : BOOLEAN;
signal first_sect : BOOLEAN;
signal last_sect : BOOLEAN;
signal next_sect : BOOLEAN;
--R channel
signal fifo_rresp_rdata : UNSIGNED(BUS_DATA_WIDTH + 1 downto 0);
signal data_pack : UNSIGNED(BUS_DATA_WIDTH + 1 downto 0);
signal tmp_data : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal len_cnt : UNSIGNED(7 downto 0);
signal tmp_resp : UNSIGNED(1 downto 0);
signal resp_buf : UNSIGNED(1 downto 0);
signal beat_valid : STD_LOGIC;
signal next_beat : STD_LOGIC;
signal burst_valid : STD_LOGIC;
signal fifo_burst_ready : STD_LOGIC;
signal next_burst : STD_LOGIC;
signal rdata_valid_t : STD_LOGIC;
component set_gmem_m_axi_fifo is
generic (
DATA_BITS : INTEGER := 8;
DEPTH : INTEGER := 16;
DEPTH_BITS : INTEGER := 4);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
empty_n : out STD_LOGIC;
full_n : out STD_LOGIC;
rdreq : in STD_LOGIC;
wrreq : in STD_LOGIC;
q : out UNSIGNED(DATA_BITS-1 downto 0);
data : in UNSIGNED(DATA_BITS-1 downto 0));
end component set_gmem_m_axi_fifo;
begin
--------------------------- AR channel begin -----------------------------------
-- Instantiation
fifo_rreq : set_gmem_m_axi_fifo
generic map (
DATA_BITS => USER_AW + 32,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => fifo_rreq_valid,
full_n => rreq_ack,
rdreq => fifo_rreq_read,
wrreq => rreq_valid,
q => fifo_rreq_data,
data => rreq_data);
rreq_data <= (rreq_length & rreq_addr);
tmp_addr <= fifo_rreq_data(USER_AW - 1 downto 0);
tmp_len <= fifo_rreq_data(USER_AW + 31 downto USER_AW);
end_addr <= start_addr + align_len;
zero_len_event <= '1' when fifo_rreq_valid = '1' and tmp_len = 0 else '0';
negative_len_event <= tmp_len(31) when fifo_rreq_valid = '1' else '0';
next_rreq <= invalid_len_event = '0' and (fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq;
ready_for_rreq <= not(rreq_handling and not(last_sect and next_sect));
fifo_rreq_read <= '1' when invalid_len_event = '1' or next_rreq else '0';
align_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
align_len <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_rreq_valid = '1' and ready_for_rreq) then
align_len <= SHIFT_LEFT(tmp_len, USER_ADDR_ALIGN) - 1;
end if;
end if;
end if;
end process align_len_proc;
start_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_rreq_valid = '1' and ready_for_rreq) then
start_addr <= TARGET_ADDR + SHIFT_LEFT(RESIZE(tmp_addr, C_M_AXI_ADDR_WIDTH), USER_ADDR_ALIGN);
end if;
end if;
end if;
end process start_addr_proc;
fifo_rreq_valid_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
fifo_rreq_valid_buf <= '0';
elsif ACLK_EN = '1' then
if ((fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq) then
fifo_rreq_valid_buf <= fifo_rreq_valid;
end if;
end if;
end if;
end process fifo_rreq_valid_buf_proc;
invalid_len_event_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event <= '0';
elsif ACLK_EN = '1' then
if ((fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq) then
invalid_len_event <= zero_len_event or negative_len_event;
end if;
end if;
end if;
end process invalid_len_event_proc;
rreq_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rreq_handling <= false;
elsif ACLK_EN = '1' then
if fifo_rreq_valid_buf = '1' and not rreq_handling and invalid_len_event = '0' then
rreq_handling <= true;
elsif (fifo_rreq_valid_buf = '0' or invalid_len_event = '1') and last_sect and next_sect then
rreq_handling <= false;
end if;
end if;
end if;
end process rreq_handling_proc;
start_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
start_addr_buf <= start_addr;
end if;
end if;
end if;
end process start_addr_buf_proc;
end_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
end_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
end_addr_buf <= end_addr;
end if;
end if;
end if;
end process end_addr_buf_proc;
beat_len_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
beat_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
beat_len_buf <= RESIZE(SHIFT_RIGHT(align_len(11 downto 0) + start_addr(BUS_ADDR_ALIGN-1 downto 0), BUS_ADDR_ALIGN), 12-BUS_ADDR_ALIGN);
end if;
end if;
end if;
end process beat_len_buf_proc;
sect_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
sect_cnt <= start_addr(C_M_AXI_ADDR_WIDTH - 1 downto 12);
elsif next_sect then
sect_cnt <= sect_cnt + 1;
end if;
end if;
end if;
end process sect_cnt_proc;
first_sect <= (sect_cnt = start_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto 12));
last_sect <= (sect_cnt = end_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 12));
next_sect <= rreq_handling and ready_for_sect = '1';
sect_addr <= start_addr_buf when first_sect else
sect_cnt & (11 downto 0 => '0');
sect_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_addr_buf <= sect_addr;
end if;
end if;
end if;
end process sect_addr_proc;
start_to_4k <= BOUNDARY_BEATS - start_addr_buf(11 downto BUS_ADDR_ALIGN);
sect_len <= beat_len_buf when first_sect and last_sect else
start_to_4k when first_sect and not last_sect else
end_addr_buf(11 downto BUS_ADDR_ALIGN) when not first_sect and last_sect else
BOUNDARY_BEATS when not first_sect and not last_sect;
sect_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_len_buf <= sect_len;
end if;
end if;
end if;
end process sect_len_proc;
sect_end <= end_addr_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_sect else
(others => '1');
sect_end_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_end_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_end_buf <= sect_end;
end if;
end if;
end if;
end process sect_end_proc;
ARID <= (others => '0');
ARSIZE <= TO_UNSIGNED(BUS_ADDR_ALIGN, ARSIZE'length);
ARBURST <= "01";
ARLOCK <= "00";
ARCACHE <= TO_UNSIGNED(C_CACHE_VALUE, ARCACHE'length);
ARPROT <= TO_UNSIGNED(C_PROT_VALUE, ARPROT'length);
ARUSER <= TO_UNSIGNED(C_USER_VALUE, ARUSER'length);
ARQOS <= rreq_qos;
-- if BUS_DATA_BYTES >= 16, then a 256 length burst is 4096 bytes(reach boundary).
must_one_burst : if (BUS_DATA_BYTES >= 16) generate
begin
ARADDR <= sect_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
ARLEN <= RESIZE(sect_len_buf, 8);
ARVALID <= ARVALID_Dummy;
ready_for_sect <= '1' when not (ARVALID_Dummy = '1' and ARREADY = '0') and fifo_burst_ready = '1' else '0';
arvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
ARVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_sect then
ARVALID_Dummy <= '1';
elsif not next_sect and ARREADY = '1' then
ARVALID_Dummy <= '0';
end if;
end if;
end if;
end process arvalid_proc;
fifo_burst_w <= '1' when next_sect else '0';
araddr_tmp <= sect_addr(C_M_AXI_ADDR_WIDTH - 1 downto 0);
arlen_tmp <= RESIZE(sect_len, 8);
burst_end <= sect_end;
end generate must_one_burst;
could_multi_bursts : if (BUS_DATA_BYTES < 16) generate
signal araddr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal arlen_buf : UNSIGNED(7 downto 0);
signal loop_cnt : UNSIGNED(3 - BUS_ADDR_ALIGN downto 0);
signal last_loop : BOOLEAN;
signal next_loop : BOOLEAN;
signal ready_for_loop : BOOLEAN;
signal sect_handling : BOOLEAN;
begin
ARADDR <= araddr_buf;
ARLEN <= arlen_buf;
ARVALID <= ARVALID_Dummy;
last_loop <= (loop_cnt = sect_len_buf(11 - BUS_ADDR_ALIGN downto 8));
next_loop <= sect_handling and ready_for_loop;
ready_for_loop <= not (ARVALID_Dummy = '1' and ARREADY = '0') and fifo_burst_ready = '1';
ready_for_sect <= '1' when not (sect_handling and not (last_loop and next_loop)) else '0';
sect_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_handling <= false;
elsif ACLK_EN = '1' then
if rreq_handling and not sect_handling then
sect_handling <= true;
elsif not rreq_handling and last_loop and next_loop then
sect_handling <= false;
end if;
end if;
end if;
end process sect_handling_proc;
loop_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
loop_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
loop_cnt <= (others => '0');
elsif next_loop then
loop_cnt <= loop_cnt + 1;
end if;
end if;
end if;
end process loop_cnt_proc;
araddr_tmp <= sect_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 0) when loop_cnt = 0 else
araddr_buf + SHIFT_LEFT(RESIZE(arlen_buf, 32) + 1, BUS_ADDR_ALIGN);
araddr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
araddr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
araddr_buf <= araddr_tmp(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
end if;
end if;
end if;
end process araddr_buf_proc;
arlen_tmp <= sect_len_buf(7 downto 0) when last_loop else
X"FF";
arlen_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
arlen_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
arlen_buf <= arlen_tmp;
end if;
end if;
end if;
end process arlen_buf_proc;
arvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
ARVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_loop then
ARVALID_Dummy <= '1';
elsif not next_loop and ARREADY = '1' then
ARVALID_Dummy <= '0';
end if;
end if;
end if;
end process arvalid_proc;
fifo_burst_w <= '1' when next_loop else '0';
burst_end <= sect_end_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_loop else (others => '1');
end generate could_multi_bursts;
--------------------------- AR channel end -------------------------------------
--------------------------- R channel begin ------------------------------------
-- Instantiation
fifo_rdata : set_gmem_m_axi_fifo
generic map (
DATA_BITS => BUS_DATA_WIDTH + 2,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => beat_valid,
full_n => RREADY,
rdreq => next_beat,
wrreq => RVALID,
q => data_pack,
data => fifo_rresp_rdata);
fifo_rresp_rdata <= (RRESP & RDATA);
tmp_data <= data_pack(BUS_DATA_WIDTH - 1 downto 0);
tmp_resp <= data_pack(BUS_DATA_WIDTH + 1 downto BUS_DATA_WIDTH);
bus_equal_gen : if (USER_DATA_WIDTH = BUS_DATA_WIDTH) generate
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal ready_for_data : BOOLEAN;
begin
rrsp <= resp_buf;
rdata_data <= data_buf(USER_DW - 1 downto 0);
rdata_valid <= rdata_valid_t;
fifo_burst_ready <= '1';
next_beat <= '1' when beat_valid = '1' and ready_for_data else '0';
ready_for_data <= not (rdata_valid_t = '1' and rdata_ack = '0');
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
data_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_beat = '1' then
data_buf <= tmp_data;
end if;
end if;
end if;
end process data_buf_proc;
resp_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
resp_buf <= "00";
elsif ACLK_EN = '1' then
if next_beat = '1' then
resp_buf <= tmp_resp;
end if;
end if;
end if;
end process resp_buf_proc;
rdata_valid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rdata_valid_t <= '0';
elsif ACLK_EN = '1' then
if next_beat = '1' then
rdata_valid_t <= '1';
elsif ready_for_data then
rdata_valid_t <= '0';
end if;
end if;
end if;
end process rdata_valid_proc;
end generate bus_equal_gen;
bus_wide_gen : if (USER_DATA_WIDTH < BUS_DATA_WIDTH) generate
constant TOTAL_SPLIT : INTEGER := BUS_DATA_WIDTH / USER_DATA_WIDTH;
constant SPLIT_ALIGN : INTEGER := log2(TOTAL_SPLIT);
signal tmp_burst_info : UNSIGNED(2*SPLIT_ALIGN + 7 downto 0);
signal burst_pack : UNSIGNED(2*SPLIT_ALIGN + 7 downto 0);
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal split_cnt : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal split_cnt_buf : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal head_split : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal tail_split : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal burst_len : UNSIGNED(7 downto 0);
signal first_beat : BOOLEAN;
signal last_beat : BOOLEAN;
signal first_split : BOOLEAN;
signal next_split : BOOLEAN;
signal last_split : BOOLEAN;
signal ready_for_data : BOOLEAN;
begin
-- instantiation
fifo_burst : set_gmem_m_axi_fifo
generic map (
DATA_BITS => 2*SPLIT_ALIGN + 8,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_pack,
data => tmp_burst_info);
rrsp <= resp_buf;
rdata_data <= data_buf(USER_DW - 1 downto 0);
rdata_valid <= rdata_valid_t;
tmp_burst_info <= araddr_tmp(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & burst_end(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & RESIZE(arlen_tmp, 8);
head_split <= burst_pack(2*SPLIT_ALIGN + 7 downto 8 + SPLIT_ALIGN);
tail_split <= burst_pack(SPLIT_ALIGN + 7 downto 8);
burst_len <= burst_pack(7 downto 0);
fifo_burst_ready <= '1';
next_beat <= '1' when last_split else '0';
next_burst <= '1' when last_beat and last_split else '0';
ready_for_data <= not (rdata_valid_t = '1' and rdata_ack = '0');
first_beat <= len_cnt = 0 and burst_valid = '1' and beat_valid = '1';
last_beat <= len_cnt = burst_len and burst_valid = '1' and beat_valid = '1';
first_split <= (split_cnt = 0 and beat_valid = '1' and ready_for_data) when not first_beat else
(split_cnt = head_split and ready_for_data);
last_split <= (split_cnt = (TOTAL_SPLIT - 1) and ready_for_data) when not last_beat else
(split_cnt = tail_split and ready_for_data);
next_split <= (split_cnt /= 0 and ready_for_data) when not first_beat else
(split_cnt /= head_split and ready_for_data);
split_cnt <= head_split when first_beat and (split_cnt_buf = 0) else
split_cnt_buf;
split_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
split_cnt_buf <= (others => '0');
elsif ACLK_EN = '1' then
if last_split then
split_cnt_buf <= (others => '0');
elsif first_split or next_split then
split_cnt_buf <= split_cnt + 1;
end if;
end if;
end if;
end process split_cnt_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if last_beat and last_split then
len_cnt <= (others => '0');
elsif last_split then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
data_buf <= (others => '0');
elsif ACLK_EN = '1' then
if first_split and first_beat then
data_buf <= SHIFT_RIGHT(tmp_data, to_integer(head_split)*USER_DATA_WIDTH);
elsif first_split then
data_buf <= tmp_data;
elsif next_split then
data_buf <= SHIFT_RIGHT(data_buf, USER_DATA_WIDTH);
end if;
end if;
end if;
end process data_buf_proc;
resp_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
resp_buf <= "00";
elsif ACLK_EN = '1' then
if first_split then
resp_buf <= tmp_resp;
end if;
end if;
end if;
end process resp_buf_proc;
rdata_valid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rdata_valid_t <= '0';
elsif ACLK_EN = '1' then
if first_split then
rdata_valid_t <= '1';
elsif not (first_split or next_split) and ready_for_data then
rdata_valid_t <= '0';
end if;
end if;
end if;
end process rdata_valid_proc;
end generate bus_wide_gen;
bus_narrow_gen : if (USER_DATA_WIDTH > BUS_DATA_WIDTH) generate
constant TOTAL_PADS : INTEGER := USER_DATA_WIDTH / BUS_DATA_WIDTH;
constant PAD_ALIGN : INTEGER := log2(TOTAL_PADS);
signal data_buf : UNSIGNED(USER_DATA_WIDTH - 1 downto 0);
signal pad_oh : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal pad_oh_reg : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal ready_for_data : BOOLEAN;
signal next_pad : BOOLEAN;
signal first_pad : BOOLEAN;
signal last_pad : BOOLEAN;
signal next_data : BOOLEAN;
begin
rrsp <= resp_buf;
rdata_data <= data_buf(USER_DW - 1 downto 0);
rdata_valid <= rdata_valid_t;
fifo_burst_ready <= '1';
next_beat <= '1' when next_pad else '0';
ready_for_data <= not (rdata_valid_t = '1' and rdata_ack = '0');
next_pad <= beat_valid = '1' and ready_for_data;
last_pad <= pad_oh(TOTAL_PADS - 1) = '1';
next_data <= last_pad and ready_for_data;
first_pad_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
first_pad <= true;
elsif ACLK_EN = '1' then
if next_pad and not last_pad then
first_pad <= false;
elsif next_pad and last_pad then
first_pad <= true;
end if;
end if;
end if;
end process first_pad_proc;
pad_oh <= (others => '0') when beat_valid = '0' else
TO_UNSIGNED(1, TOTAL_PADS) when first_pad else
pad_oh_reg;
pad_oh_reg_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
pad_oh_reg <= (others => '0');
elsif ACLK_EN = '1' then
if next_pad then
pad_oh_reg <= pad_oh(TOTAL_PADS - 2 downto 0) & '0';
end if;
end if;
end if;
end process pad_oh_reg_proc;
data_gen : for i in 1 to TOTAL_PADS generate
begin
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
data_buf(i*BUS_DATA_WIDTH - 1 downto (i-1)*BUS_DATA_WIDTH) <= (others => '0');
elsif ACLK_EN = '1' then
if pad_oh(i-1) = '1' and ready_for_data then
data_buf(i*BUS_DATA_WIDTH - 1 downto (i-1)*BUS_DATA_WIDTH) <= tmp_data;
end if;
end if;
end if;
end process;
end generate data_gen;
resp_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then
if (ARESET = '1') then
resp_buf <= "00";
elsif next_beat = '1' and resp_buf(0) = '0' then
resp_buf <= tmp_resp;
end if;
end if;
end process resp_buf_proc;
rdata_valid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rdata_valid_t <= '0';
elsif ACLK_EN = '1' then
if next_data then
rdata_valid_t <= '1';
elsif ready_for_data then
rdata_valid_t <= '0';
end if;
end if;
end if;
end process rdata_valid_proc;
end generate bus_narrow_gen;
--------------------------- R channel end --------------------------------------
end architecture behave;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity set_gmem_m_axi_write is
generic (
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_AWUSER_WIDTH : INTEGER := 1;
C_M_AXI_WUSER_WIDTH : INTEGER := 1;
C_M_AXI_BUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
AWID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
AWADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
AWLEN : out UNSIGNED(7 downto 0);
AWSIZE : out UNSIGNED(2 downto 0);
AWBURST : out UNSIGNED(1 downto 0);
AWLOCK : out UNSIGNED(1 downto 0);
AWCACHE : out UNSIGNED(3 downto 0);
AWPROT : out UNSIGNED(2 downto 0);
AWQOS : out UNSIGNED(3 downto 0);
AWREGION : out UNSIGNED(3 downto 0);
AWUSER : out UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
AWVALID : out STD_LOGIC;
AWREADY : in STD_LOGIC;
WID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
WDATA : out UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
WSTRB : out UNSIGNED(C_M_AXI_DATA_WIDTH/8-1 downto 0);
WLAST : out STD_LOGIC;
WUSER : out UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
WVALID : out STD_LOGIC;
WREADY : in STD_LOGIC;
BID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
BRESP : in UNSIGNED(1 downto 0);
BUSER : in UNSIGNED(C_M_AXI_BUSER_WIDTH-1 downto 0);
BVALID : in STD_LOGIC;
BREADY : out STD_LOGIC;
wreq_valid : in STD_LOGIC;
wreq_ack : out STD_LOGIC;
wreq_addr : in UNSIGNED(USER_AW-1 downto 0);
wreq_length : in UNSIGNED(31 downto 0);
wreq_cache : in UNSIGNED(3 downto 0);
wreq_prot : in UNSIGNED(2 downto 0);
wreq_qos : in UNSIGNED(3 downto 0);
wreq_user : in UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
wdata_valid : in STD_LOGIC;
wdata_ack : out STD_LOGIC;
wdata_strb : in UNSIGNED(USER_DW/8-1 downto 0);
wdata_user : in UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
wdata_data : in UNSIGNED(USER_DW-1 downto 0);
wrsp_valid : out STD_LOGIC;
wrsp_ack : in STD_LOGIC;
wrsp : out UNSIGNED(1 downto 0));
function calc_data_width (x : INTEGER) return INTEGER is
variable y : INTEGER;
begin
y := 8;
while y < x loop
y := y * 2;
end loop;
return y;
end function calc_data_width;
function log2 (x : INTEGER) return INTEGER is
variable n, m : INTEGER;
begin
n := 0;
m := 1;
while m < x loop
n := n + 1;
m := m * 2;
end loop;
return n;
end function log2;
end entity set_gmem_m_axi_write;
architecture behave of set_gmem_m_axi_write is
--common
constant USER_DATA_WIDTH : INTEGER := calc_data_width(USER_DW);
constant USER_DATA_BYTES : INTEGER := USER_DATA_WIDTH / 8;
constant USER_ADDR_ALIGN : INTEGER := log2(USER_DATA_BYTES);
constant BUS_DATA_WIDTH : INTEGER := C_M_AXI_DATA_WIDTH;
constant BUS_DATA_BYTES : INTEGER := BUS_DATA_WIDTH / 8;
constant BUS_ADDR_ALIGN : INTEGER := log2(BUS_DATA_BYTES);
--AW channel
constant TARGET_ADDR : INTEGER := (C_TARGET_ADDR/USER_DATA_BYTES)*USER_DATA_BYTES;
constant BOUNDARY_BEATS : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0) := (others => '1');
signal wreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal fifo_wreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal tmp_addr : UNSIGNED(USER_AW - 1 downto 0);
signal tmp_len : UNSIGNED(31 downto 0);
signal align_len : UNSIGNED(31 downto 0);
signal sect_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0);
signal awlen_tmp : UNSIGNED(7 downto 0);
signal start_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal start_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal awaddr_tmp : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal sect_end_buf : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal burst_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal start_to_4k : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal beat_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal burst_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0);
signal zero_len_event : STD_LOGIC;
signal negative_len_event : STD_LOGIC;
signal invalid_len_event : STD_LOGIC;
signal invalid_len_event_1 : STD_LOGIC;
signal invalid_len_event_2 : STD_LOGIC;
signal fifo_wreq_valid : STD_LOGIC;
signal fifo_wreq_valid_buf : STD_LOGIC;
signal fifo_wreq_read : STD_LOGIC;
signal fifo_burst_w : STD_LOGIC;
signal fifo_resp_w : STD_LOGIC;
signal last_sect_buf : STD_LOGIC;
signal ready_for_sect : STD_LOGIC;
signal AWVALID_Dummy : STD_LOGIC;
signal next_wreq : BOOLEAN;
signal ready_for_wreq : BOOLEAN;
signal wreq_handling : BOOLEAN;
signal first_sect : BOOLEAN;
signal last_sect : BOOLEAN;
signal next_sect : BOOLEAN;
--W channel
signal fifo_wdata_wstrb : UNSIGNED(USER_DW + USER_DW/8 - 1 downto 0);
signal data_pack : UNSIGNED(USER_DW + USER_DW/8 - 1 downto 0);
signal tmp_data : UNSIGNED(USER_DATA_WIDTH - 1 downto 0);
signal tmp_strb : UNSIGNED(USER_DATA_BYTES - 1 downto 0);
signal len_cnt : UNSIGNED(7 downto 0);
signal burst_len : UNSIGNED(7 downto 0);
signal data_valid : STD_LOGIC;
signal next_data : STD_LOGIC;
signal burst_valid : STD_LOGIC;
signal fifo_burst_ready : STD_LOGIC;
signal next_burst : STD_LOGIC;
signal WVALID_Dummy : STD_LOGIC;
signal WLAST_Dummy : STD_LOGIC;
--B channel
signal resp_total : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0);
signal resp_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0);
signal bresp_tmp : UNSIGNED(1 downto 0);
signal next_resp : BOOLEAN;
signal fifo_resp_ready : STD_LOGIC;
signal need_wrsp : STD_LOGIC;
signal resp_match : STD_LOGIC;
signal resp_ready : STD_LOGIC;
component set_gmem_m_axi_fifo is
generic (
DATA_BITS : INTEGER := 8;
DEPTH : INTEGER := 16;
DEPTH_BITS : INTEGER := 4);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
empty_n : out STD_LOGIC;
full_n : out STD_LOGIC;
rdreq : in STD_LOGIC;
wrreq : in STD_LOGIC;
q : out UNSIGNED(DATA_BITS-1 downto 0);
data : in UNSIGNED(DATA_BITS-1 downto 0));
end component set_gmem_m_axi_fifo;
begin
--------------------------- AW channel begin -----------------------------------
-- Instantiation
fifo_wreq : set_gmem_m_axi_fifo
generic map (
DATA_BITS => USER_AW + 32,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => fifo_wreq_valid,
full_n => wreq_ack,
rdreq => fifo_wreq_read,
wrreq => wreq_valid,
q => fifo_wreq_data,
data => wreq_data);
wreq_data <= (wreq_length & wreq_addr);
tmp_addr <= fifo_wreq_data(USER_AW - 1 downto 0);
tmp_len <= fifo_wreq_data(USER_AW + 31 downto USER_AW);
end_addr <= start_addr + align_len;
zero_len_event <= '1' when fifo_wreq_valid = '1' and tmp_len = 0 else '0';
negative_len_event <= tmp_len(31) when fifo_wreq_valid = '1' else '0';
next_wreq <= (fifo_wreq_valid = '1' or fifo_wreq_valid_buf = '1') and ready_for_wreq;
ready_for_wreq <= not(wreq_handling and not(last_sect and next_sect));
fifo_wreq_read <= '1' when next_wreq else '0';
align_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
align_len <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_wreq_valid = '1' and ready_for_wreq) then
if (zero_len_event = '1' or negative_len_event = '1') then
align_len <= (others => '0');
else
align_len <= SHIFT_LEFT(tmp_len, USER_ADDR_ALIGN) - 1;
end if;
end if;
end if;
end if;
end process align_len_proc;
start_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_wreq_valid = '1' and ready_for_wreq) then
start_addr <= TARGET_ADDR + SHIFT_LEFT(RESIZE(tmp_addr, C_M_AXI_ADDR_WIDTH), USER_ADDR_ALIGN);
end if;
end if;
end if;
end process start_addr_proc;
fifo_wreq_valid_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
fifo_wreq_valid_buf <= '0';
elsif ACLK_EN = '1' then
if (next_wreq) then
fifo_wreq_valid_buf <= fifo_wreq_valid;
end if;
end if;
end if;
end process fifo_wreq_valid_buf_proc;
invalid_len_event_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event <= '0';
elsif ACLK_EN = '1' then
if (next_wreq) then
invalid_len_event <= zero_len_event or negative_len_event;
end if;
end if;
end if;
end process invalid_len_event_proc;
wreq_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wreq_handling <= false;
elsif ACLK_EN = '1' then
if fifo_wreq_valid_buf = '1' and not wreq_handling then
wreq_handling <= true;
elsif fifo_wreq_valid_buf = '0' and last_sect and next_sect then
wreq_handling <= false;
end if;
end if;
end if;
end process wreq_handling_proc;
start_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
start_addr_buf <= start_addr;
end if;
end if;
end if;
end process start_addr_buf_proc;
end_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
end_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
end_addr_buf <= end_addr;
end if;
end if;
end if;
end process end_addr_buf_proc;
beat_len_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
beat_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
beat_len_buf <= RESIZE(SHIFT_RIGHT(align_len(11 downto 0) + start_addr(BUS_ADDR_ALIGN-1 downto 0), BUS_ADDR_ALIGN), 12-BUS_ADDR_ALIGN);
end if;
end if;
end if;
end process beat_len_buf_proc;
sect_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
sect_cnt <= start_addr(C_M_AXI_ADDR_WIDTH - 1 downto 12);
elsif next_sect then
sect_cnt <= sect_cnt + 1;
end if;
end if;
end if;
end process sect_cnt_proc;
-- event registers
invalid_len_event_1_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event_1 <= '0';
elsif ACLK_EN = '1' then
invalid_len_event_1 <= invalid_len_event;
end if;
end if;
end process invalid_len_event_1_proc;
-- end event registers
first_sect <= (sect_cnt = start_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto 12));
last_sect <= (sect_cnt = end_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 12));
next_sect <= wreq_handling and ready_for_sect = '1';
sect_addr <= start_addr_buf when first_sect else
sect_cnt & (11 downto 0 => '0');
sect_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_addr_buf <= sect_addr;
end if;
end if;
end if;
end process sect_addr_proc;
start_to_4k <= BOUNDARY_BEATS - start_addr_buf(11 downto BUS_ADDR_ALIGN);
sect_len <= beat_len_buf when first_sect and last_sect else
start_to_4k when first_sect and not last_sect else
end_addr_buf(11 downto BUS_ADDR_ALIGN) when not first_sect and last_sect else
BOUNDARY_BEATS when not first_sect and not last_sect;
sect_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_len_buf <= sect_len;
end if;
end if;
end if;
end process sect_len_proc;
sect_end <= end_addr_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_sect else
(others => '1');
sect_end_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_end_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_end_buf <= sect_end;
end if;
end if;
end if;
end process sect_end_proc;
-- event registers
invalid_len_event_2_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event_2 <= '0';
elsif ACLK_EN = '1' then
invalid_len_event_2 <= invalid_len_event_1;
end if;
end if;
end process invalid_len_event_2_proc;
-- end event registers
AWID <= (others => '0');
AWSIZE <= TO_UNSIGNED(BUS_ADDR_ALIGN, AWSIZE'length);
AWBURST <= "01";
AWLOCK <= "00";
AWCACHE <= TO_UNSIGNED(C_CACHE_VALUE, AWCACHE'length);
AWPROT <= TO_UNSIGNED(C_PROT_VALUE, AWPROT'length);
AWUSER <= TO_UNSIGNED(C_USER_VALUE, AWUSER'length);
AWQOS <= wreq_qos;
-- if BUS_DATA_BYTES >= 16, then a 256 length burst is 4096 bytes(reach boundary).
must_one_burst : if (BUS_DATA_BYTES >= 16) generate
begin
AWADDR <= sect_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
AWLEN <= RESIZE(sect_len_buf, 8);
AWVALID <= AWVALID_Dummy;
ready_for_sect <= '1' when not (AWVALID_Dummy = '1' and AWREADY = '0') and fifo_resp_ready = '1' and fifo_burst_ready = '1' else '0';
awvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
AWVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if invalid_len_event = '1' then
AWVALID_Dummy <= '0';
elsif next_sect then
AWVALID_Dummy <= '1';
elsif not next_sect and AWREADY = '1' then
AWVALID_Dummy <= '0';
end if;
end if;
end if;
end process awvalid_proc;
fifo_resp_w <= '1' when last_sect and next_sect else '0';
burst_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
burst_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if invalid_len_event_1 = '1' then
burst_cnt <= (others => '0');
elsif next_wreq then
burst_cnt <= (others => '0');
burst_cnt(0) <= '1';
elsif next_sect then
burst_cnt <= burst_cnt + 1;
end if;
end if;
end if;
end process burst_cnt_proc;
fifo_burst_w <= '1' when next_sect else '0';
burst_end <= sect_end;
awaddr_tmp <= sect_addr(C_M_AXI_ADDR_WIDTH - 1 downto 0);
awlen_tmp <= RESIZE(sect_len, 8);
end generate must_one_burst;
could_multi_bursts : if (BUS_DATA_BYTES < 16) generate
signal awaddr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal awlen_buf : UNSIGNED(7 downto 0);
signal loop_cnt : UNSIGNED(3 - BUS_ADDR_ALIGN downto 0);
signal last_loop : BOOLEAN;
signal next_loop : BOOLEAN;
signal ready_for_loop : BOOLEAN;
signal sect_handling : BOOLEAN;
begin
AWADDR <= awaddr_buf;
AWLEN <= awlen_buf;
AWVALID <= AWVALID_Dummy;
last_loop <= (loop_cnt = sect_len_buf(11 - BUS_ADDR_ALIGN downto 8));
next_loop <= sect_handling and ready_for_loop;
ready_for_sect <= '1' when not (sect_handling and not (last_loop and next_loop)) else '0';
ready_for_loop <= not (AWVALID_Dummy = '1' and AWREADY = '0') and fifo_resp_ready = '1' and fifo_burst_ready = '1';
sect_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_handling <= false;
elsif ACLK_EN = '1' then
if wreq_handling and not sect_handling then
sect_handling <= true;
elsif not wreq_handling and last_loop and next_loop then
sect_handling <= false;
end if;
end if;
end if;
end process sect_handling_proc;
loop_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
loop_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
loop_cnt <= (others => '0');
elsif next_loop then
loop_cnt <= loop_cnt + 1;
end if;
end if;
end if;
end process loop_cnt_proc;
awaddr_tmp <= sect_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 0) when loop_cnt = 0 else
awaddr_buf + SHIFT_LEFT(RESIZE(awlen_buf, 32) + 1, BUS_ADDR_ALIGN);
awaddr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
awaddr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
awaddr_buf <= awaddr_tmp(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
end if;
end if;
end if;
end process awaddr_buf_proc;
awlen_tmp <= sect_len_buf(7 downto 0) when last_loop else
X"FF";
awlen_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
awlen_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
awlen_buf <= awlen_tmp;
end if;
end if;
end if;
end process awlen_buf_proc;
awvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
AWVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if invalid_len_event_2 = '1' then
AWVALID_Dummy <= '0';
elsif next_loop then
AWVALID_Dummy <= '1';
elsif not next_loop and AWREADY = '1' then
AWVALID_Dummy <= '0';
end if;
end if;
end if;
end process awvalid_proc;
fifo_resp_w <= '1' when next_loop and last_loop and last_sect_buf = '1' else '0';
last_sect_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
last_sect_buf <= '0';
elsif ACLK_EN = '1' then
if next_sect and last_sect then
last_sect_buf <= '1';
elsif next_sect then
last_sect_buf <= '0';
end if;
end if;
end if;
end process last_sect_buf_proc;
burst_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
burst_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if invalid_len_event_1 = '1' then
burst_cnt <= (others => '0');
elsif next_sect and first_sect then
burst_cnt <= (others => '0');
burst_cnt(0) <= '1';
elsif next_loop then
burst_cnt <= burst_cnt + 1;
end if;
end if;
end if;
end process burst_cnt_proc;
fifo_burst_w <= '1' when next_loop else '0';
burst_end <= sect_end_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_loop else (others => '1');
end generate could_multi_bursts;
--------------------------- AW channel end -------------------------------------
--------------------------- W channel begin ------------------------------------
-- Instantiation
fifo_wdata : set_gmem_m_axi_fifo
generic map (
DATA_BITS => USER_DW + USER_DW/8,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => data_valid,
full_n => wdata_ack,
rdreq => next_data,
wrreq => wdata_valid,
q => data_pack,
data => fifo_wdata_wstrb);
fifo_wdata_wstrb <= (wdata_strb & wdata_data);
tmp_data <= RESIZE(data_pack(USER_DW - 1 downto 0), USER_DATA_WIDTH);
tmp_strb <= RESIZE(data_pack(USER_DW + USER_DW/8 - 1 downto USER_DW), USER_DATA_BYTES);
bus_equal_gen : if (USER_DATA_WIDTH = BUS_DATA_WIDTH) generate
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal strb_buf : UNSIGNED(BUS_DATA_BYTES - 1 downto 0);
signal tmp_burst_info : UNSIGNED(7 downto 0);
signal ready_for_data : BOOLEAN;
begin
-- Instantiation
fifo_burst : set_gmem_m_axi_fifo
generic map (
DATA_BITS => 8,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_len,
data => tmp_burst_info);
WDATA <= data_buf;
WSTRB <= strb_buf;
WLAST <= WLAST_Dummy;
WVALID <= WVALID_Dummy;
tmp_burst_info <= RESIZE(awlen_tmp, 8);
ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0');
next_data <= '1' when burst_valid = '1' and data_valid = '1' and ready_for_data else '0';
next_burst <= '1' when len_cnt = burst_len and next_data = '1' else '0';
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
data_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_data = '1' then
data_buf <= tmp_data;
end if;
end if;
end if;
end process data_buf_proc;
strb_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
strb_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_data = '1' then
strb_buf <= tmp_strb;
end if;
end if;
end if;
end process strb_buf_proc;
wvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_data = '1' then
WVALID_Dummy <= '1';
elsif ready_for_data then
WVALID_Dummy <= '0';
end if;
end if;
end if;
end process wvalid_proc;
wlast_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WLAST_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_burst = '1' then
WLAST_Dummy <= '1';
elsif ready_for_data then
WLAST_Dummy <= '0';
end if;
end if;
end if;
end process wlast_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_burst = '1' then
len_cnt <= (others => '0');
elsif next_data = '1' then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
end generate bus_equal_gen;
bus_narrow_gen : if (USER_DATA_WIDTH > BUS_DATA_WIDTH) generate
constant TOTAL_SPLIT : INTEGER := USER_DATA_WIDTH / BUS_DATA_WIDTH;
constant SPLIT_ALIGN : INTEGER := log2(TOTAL_SPLIT);
signal data_buf : UNSIGNED(USER_DATA_WIDTH - 1 downto 0);
signal strb_buf : UNSIGNED(USER_DATA_BYTES - 1 downto 0);
signal split_cnt : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal tmp_burst_info : UNSIGNED(7 downto 0);
signal first_split : BOOLEAN;
signal next_split : BOOLEAN;
signal last_split : BOOLEAN;
signal ready_for_data : BOOLEAN;
begin
-- instantiation
fifo_burst : set_gmem_m_axi_fifo
generic map (
DATA_BITS => 8,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_len,
data => tmp_burst_info);
WDATA <= data_buf(BUS_DATA_WIDTH - 1 downto 0);
WSTRB <= strb_buf(BUS_DATA_BYTES - 1 downto 0);
WLAST <= WLAST_Dummy;
WVALID <= WVALID_Dummy;
tmp_burst_info <= RESIZE(awlen_tmp, 8);
next_data <= '1' when first_split else '0';
next_burst <= '1' when len_cnt = burst_len and burst_valid = '1' and last_split else '0';
ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0');
first_split <= split_cnt = 0 and data_valid = '1' and burst_valid ='1' and ready_for_data;
next_split <= split_cnt /= 0 and ready_for_data;
last_split <= split_cnt = (TOTAL_SPLIT - 1) and ready_for_data;
split_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
split_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if last_split then
split_cnt <= (others => '0');
elsif first_split or next_split then
split_cnt <= split_cnt + 1;
end if;
end if;
end if;
end process split_cnt_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_burst = '1' then
len_cnt <= (others => '0');
elsif next_data = '1' or next_split then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
data_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_data = '1' then
data_buf <= tmp_data;
elsif next_split then
data_buf <= SHIFT_RIGHT(data_buf, BUS_DATA_WIDTH);
end if;
end if;
end if;
end process data_buf_proc;
strb_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
strb_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_data = '1' then
strb_buf <= tmp_strb;
elsif next_split then
strb_buf <= SHIFT_RIGHT(strb_buf, BUS_DATA_BYTES);
end if;
end if;
end if;
end process strb_buf_proc;
wvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_data = '1' then
WVALID_Dummy <= '1';
elsif not (first_split or next_split) and ready_for_data then
WVALID_Dummy <= '0';
end if;
end if;
end if;
end process wvalid_proc;
wlast_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WLAST_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_burst = '1' and last_split then
WLAST_Dummy <= '1';
elsif ready_for_data then
WLAST_Dummy <= '0';
end if;
end if;
end if;
end process wlast_proc;
end generate bus_narrow_gen;
bus_wide_gen : if (USER_DATA_WIDTH < BUS_DATA_WIDTH) generate
constant TOTAL_PADS : INTEGER := BUS_DATA_WIDTH / USER_DATA_WIDTH;
constant PAD_ALIGN : INTEGER := log2(TOTAL_PADS);
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal strb_buf : UNSIGNED(BUS_DATA_BYTES - 1 downto 0);
signal burst_pack : UNSIGNED(2*PAD_ALIGN + 7 downto 0);
signal tmp_burst_info : UNSIGNED(2*PAD_ALIGN + 7 downto 0);
signal head_pads : UNSIGNED(PAD_ALIGN - 1 downto 0);
signal tail_pads : UNSIGNED(PAD_ALIGN - 1 downto 0);
signal add_head : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal add_tail : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal pad_oh : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal pad_oh_reg : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal head_pad_sel : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal tail_pad_sel : UNSIGNED(0 to TOTAL_PADS - 1);
signal ready_for_data : BOOLEAN;
signal next_pad : BOOLEAN;
signal first_pad : BOOLEAN;
signal last_pad : BOOLEAN;
signal first_beat : BOOLEAN;
signal last_beat : BOOLEAN;
signal next_beat : BOOLEAN;
component set_gmem_m_axi_decoder is
generic (
DIN_WIDTH : integer := 3);
port (
din : in UNSIGNED(DIN_WIDTH - 1 downto 0);
dout : out UNSIGNED(2**DIN_WIDTH - 1 downto 0));
end component set_gmem_m_axi_decoder;
begin
-- Instantiation
fifo_burst : set_gmem_m_axi_fifo
generic map (
DATA_BITS => 8 + 2*PAD_ALIGN,
DEPTH => user_maxreqs,
DEPTH_BITS => log2(user_maxreqs))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_pack,
data => tmp_burst_info);
WDATA <= data_buf;
WSTRB <= strb_buf;
WLAST <= WLAST_Dummy;
WVALID <= WVALID_Dummy;
tmp_burst_info <= awaddr_tmp(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & burst_end(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & RESIZE(awlen_tmp, 8);
head_pad_decoder : set_gmem_m_axi_decoder
generic map (
DIN_WIDTH => PAD_ALIGN)
port map (
din => head_pads,
dout => head_pad_sel);
tail_pad_decoder : set_gmem_m_axi_decoder
generic map (
DIN_WIDTH => PAD_ALIGN)
port map (
din => tail_pads,
dout => tail_pad_sel);
head_pads <= burst_pack(2*PAD_ALIGN + 7 downto 8 + PAD_ALIGN);
tail_pads <= not burst_pack(PAD_ALIGN + 7 downto 8);
burst_len <= burst_pack(7 downto 0);
next_data <= '1' when next_pad else '0';
next_burst <= '1' when last_beat and next_beat else '0';
ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0');
first_beat <= len_cnt = 0 and burst_valid = '1';
last_beat <= len_cnt = burst_len and burst_valid = '1';
next_beat <= burst_valid = '1' and last_pad and ready_for_data;
next_pad <= burst_valid = '1' and data_valid = '1' and ready_for_data;
last_pad <= pad_oh(TOTAL_PADS - to_integer(tail_pads) - 1) = '1' when last_beat else
pad_oh(TOTAL_PADS - 1) = '1';
first_pad_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
first_pad <= true;
elsif ACLK_EN = '1' then
if next_pad and not last_pad then
first_pad <= false;
elsif next_pad and last_pad then
first_pad <= true;
end if;
end if;
end if;
end process first_pad_proc;
pad_oh <= (others => '0') when data_valid = '0' else
SHIFT_LEFT(TO_UNSIGNED(1, TOTAL_PADS), TO_INTEGER(head_pads)) when first_beat and first_pad else
TO_UNSIGNED(1, TOTAL_PADS) when first_pad else
pad_oh_reg;
pad_oh_reg_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
pad_oh_reg <= (others => '0');
elsif ACLK_EN = '1' then
if next_pad then
pad_oh_reg <= pad_oh(TOTAL_PADS - 2 downto 0) & '0';
end if;
end if;
end if;
end process pad_oh_reg_proc;
data_strb_gen : for i in 1 to TOTAL_PADS generate
begin
add_head(i-1) <= '1' when head_pad_sel(i-1) = '1' and first_beat else
'0';
add_tail(i-1) <= '1' when tail_pad_sel(i-1) = '1' and last_beat else
'0';
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
data_buf(i*USER_DATA_WIDTH - 1 downto (i-1)*USER_DATA_WIDTH) <= (others => '0');
elsif ACLK_EN = '1' then
if (add_head(i-1) = '1' or add_tail(i-1) = '1') and ready_for_data then
data_buf(i*USER_DATA_WIDTH - 1 downto (i-1)*USER_DATA_WIDTH) <= (others => '0');
elsif pad_oh(i-1) = '1' and ready_for_data then
data_buf(i*USER_DATA_WIDTH - 1 downto (i-1)*USER_DATA_WIDTH) <= tmp_data;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then
if (ARESET = '1') then
strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= (others => '0');
elsif (add_head(i-1) = '1' or add_tail(i-1) = '1') and ready_for_data then
strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= (others => '0');
elsif pad_oh(i-1) = '1' and ready_for_data then
strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= tmp_strb;
end if;
end if;
end process;
end generate data_strb_gen;
wvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_beat then
WVALID_Dummy <= '1';
elsif ready_for_data then
WVALID_Dummy <= '0';
end if;
end if;
end if;
end process wvalid_proc;
wlast_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WLAST_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_burst = '1' then
WLAST_Dummy <= '1';
elsif next_data = '1' then
WLAST_Dummy <= '0';
end if;
end if;
end if;
end process wlast_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_burst = '1' then
len_cnt <= (others => '0');
elsif next_beat then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
end generate bus_wide_gen;
--------------------------- W channel end --------------------------------------
--------------------------- B channel begin ------------------------------------
-- Instantiation
fifo_resp : set_gmem_m_axi_fifo
generic map (
DATA_BITS => C_M_AXI_ADDR_WIDTH - 12,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => need_wrsp,
full_n => fifo_resp_ready,
rdreq => resp_match,
wrreq => fifo_resp_w,
q => resp_total,
data => burst_cnt);
fifo_resp_to_user : set_gmem_m_axi_fifo
generic map (
DATA_BITS => 2,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => wrsp_valid,
full_n => resp_ready,
rdreq => wrsp_ack,
wrreq => resp_match,
q => wrsp,
data => bresp_tmp);
BREADY <= resp_ready;
resp_match <= '1' when (resp_cnt = resp_total and need_wrsp = '1') else '0';
next_resp <= BVALID = '1' and resp_ready = '1';
resp_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
resp_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if (resp_match = '1' and not next_resp) then
resp_cnt <= (others => '0');
elsif (resp_match = '1' and next_resp) then
resp_cnt <= (others => '0');
resp_cnt(0) <= '1';
elsif (next_resp) then
resp_cnt <= resp_cnt + 1;
end if;
end if;
end if;
end process resp_cnt_proc;
bresp_tmp_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
bresp_tmp <= "00";
elsif ACLK_EN = '1' then
if (resp_match = '1' and not next_resp) then
bresp_tmp <= "00";
elsif (resp_match = '1' and next_resp) then
bresp_tmp <= BRESP;
elsif (next_resp and bresp_tmp(1) = '0') then
bresp_tmp <= BRESP;
end if;
end if;
end if;
end process bresp_tmp_proc;
--------------------------- B channel end --------------------------------------
end architecture behave;
| mit |
agural/FPGA-Oscilloscope | osc/lpm_counter11.vhd | 1 | 4161 | -- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_COUNTER
-- ============================================================
-- File Name: lpm_counter11.vhd
-- Megafunction Name(s):
-- LPM_COUNTER
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_counter11 IS
PORT
(
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END lpm_counter11;
ARCHITECTURE SYN OF lpm_counter11 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(7 DOWNTO 0);
LPM_COUNTER_component : LPM_COUNTER
GENERIC MAP (
lpm_direction => "UP",
lpm_port_updown => "PORT_UNUSED",
lpm_type => "LPM_COUNTER",
lpm_width => 8
)
PORT MAP (
clock => clock,
q => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "0"
-- Retrieval info: PRIVATE: Direction NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "0"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "0"
-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "8"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter11.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter11.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter11.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter11.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter11_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
| mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/axis_accelerator_adapter_v2_1/hdl/src/vhdl/xd_input_scalars_fifo.vhd | 1 | 57851 | -------------------------------------------------------------------------------
-- Title : Accelerator Adapter
-- Project :
-------------------------------------------------------------------------------
-- File : xd_input_scalars_fifo.vhd
-- Author : rmg/jn
-- Company : Xilinx, Inc.
-- Created : 2012-09-05
-- Last update: 2012-11-04
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-09-05 1.0 rmg/jn Created
-------------------------------------------------------------------------------
-- ****************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- ****************************************************************************
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library axis_accelerator_adapter_v2_1_6;
use axis_accelerator_adapter_v2_1_6.xd_adapter_pkg.all;
library fifo_generator_v13_0_1;
use fifo_generator_v13_0_1.all;
entity xd_input_scalars_fifo is
generic (
C_FAMILY : string := "virtex6";
C_MTBF_STAGES : integer := 4;
WIDTH : integer := 16);
port (
din : in std_logic_vector(WIDTH-1 downto 0);
din_vld : in std_logic;
din_rdy : out std_logic;
wr_used : out std_logic_vector(3 downto 0);
wr_empty : out std_logic;
wr_full : out std_logic;
wr_clk : in std_logic;
dout : out std_logic_vector(WIDTH-1 downto 0);
dout_vld : out std_logic;
dout_rdy : in std_logic;
rd_clk : in std_logic;
rst : in std_logic);
end xd_input_scalars_fifo;
architecture rtl of xd_input_scalars_fifo is
constant DEPTH : integer := 16;
constant FIFO_DEPTH : integer := calc_fifo_depth(DEPTH)+ 1;
constant ADDR_BITS : integer := log2(FIFO_DEPTH);
signal rst_vec : std_logic_vector(0 downto 0);
signal wr_rst_vec : std_logic_vector(0 downto 0);
signal rd_rst_vec : std_logic_vector(0 downto 0);
signal wr_rst : std_logic;
signal rd_rst : std_logic;
signal rd_addr : unsigned(ADDR_BITS-1 downto 0);
signal wr_addr : unsigned(ADDR_BITS-1 downto 0);
-- Next signals are gray values:
signal wr_gray : std_logic_vector(ADDR_BITS-1 downto 0);
signal next_wr_gray : std_logic_vector(ADDR_BITS-1 downto 0);
signal wr_gray_ahead : std_logic_vector(ADDR_BITS-1 downto 0);
signal rd_gray : std_logic_vector(ADDR_BITS-1 downto 0);
signal next_rd_gray : std_logic_vector(ADDR_BITS-1 downto 0);
signal prev_rd_gray : std_logic_vector(ADDR_BITS-1 downto 0);
signal fifo_we : std_logic;
signal fifo_re : std_logic;
signal din_rdy_i : std_logic;
signal empty_i : std_logic;
signal rd_en : std_logic;
signal dout_vld_i : std_logic;
signal empty : std_logic;
signal rd_en_dly : std_logic;
signal wr_en_dly : std_logic;
signal din_dly : std_logic_vector(WIDTH-1 downto 0);
signal full : std_logic;
signal rstn : std_logic;
signal almost_full :std_logic;
signal wr_ack :std_logic;
signal overflow :std_logic;
signal almost_empty :std_logic;
signal valid :std_logic;
signal underflow :std_logic;
signal data_count :std_logic_vector(ADDR_BITS-1 downto 0);
signal rd_data_count :std_logic_vector(ADDR_BITS-1 downto 0);
signal wr_data_count :std_logic_vector(ADDR_BITS-1 downto 0);
signal prog_full :std_logic;
signal prog_empty :std_logic;
signal sbiterr :std_logic;
signal dbiterr :std_logic;
signal wr_rst_busy :std_logic;
signal rd_rst_busy :std_logic;
signal m_axi_awid :std_logic_vector(0 downto 0);
signal m_axi_awaddr :std_logic_vector(31 downto 0);
signal m_axi_awlen :std_logic_vector(7 downto 0);
signal m_axi_awsize :std_logic_vector(2 downto 0);
signal m_axi_awburst :std_logic_vector(1 downto 0);
signal m_axi_awlock :std_logic_vector(0 downto 0);
signal m_axi_awcache :std_logic_vector(3 downto 0);
signal m_axi_awprot :std_logic_vector(2 downto 0);
signal m_axi_awqos :std_logic_vector(3 downto 0);
signal m_axi_awregion :std_logic_vector(3 downto 0);
signal m_axi_awuser :std_logic_vector(0 downto 0);
signal m_axi_awvalid :std_logic;
signal m_axi_wid :std_logic_vector(0 downto 0);
signal m_axi_wdata :std_logic_vector(63 downto 0);
signal m_axi_wstrb :std_logic_vector(7 downto 0);
signal m_axi_wlast :std_logic;
signal m_axi_wuser :std_logic_vector(0 downto 0);
signal m_axi_wvalid :std_logic;
signal m_axi_bready :std_logic;
signal s_axi_awready :std_logic;
signal s_axi_wready :std_logic;
signal s_axi_bid :std_logic_vector(0 downto 0);
signal s_axi_bresp :std_logic_vector(1 downto 0);
signal s_axi_buser :std_logic_vector(0 downto 0);
signal m_axi_arid :std_logic_vector(0 downto 0);
signal m_axi_araddr :std_logic_vector(31 downto 0);
signal m_axi_arlen :std_logic_vector(7 downto 0);
signal m_axi_arsize :std_logic_vector(2 downto 0);
signal m_axi_arburst :std_logic_vector(1 downto 0);
signal m_axi_arlock :std_logic_vector(0 downto 0);
signal m_axi_arcache :std_logic_vector(3 downto 0);
signal m_axi_arprot :std_logic_vector(2 downto 0);
signal m_axi_arqos :std_logic_vector(3 downto 0);
signal m_axi_arregion :std_logic_vector(3 downto 0);
signal m_axi_aruser :std_logic_vector(0 downto 0);
signal m_axi_arvalid :std_logic;
signal m_axi_rready :std_logic;
signal s_axi_arready :std_logic;
signal s_axi_rid :std_logic_vector(0 downto 0);
signal s_axi_rdata :std_logic_vector(63 downto 0);
signal s_axi_rresp :std_logic_vector(1 downto 0);
signal s_axi_rlast :std_logic;
signal s_axi_ruser :std_logic_vector(0 downto 0);
signal m_axis_tvalid :std_logic;
signal m_axis_tdata :std_logic_vector(7 downto 0);
signal m_axis_tstrb :std_logic_vector(0 downto 0);
signal m_axis_tlast :std_logic;
signal m_axis_tkeep :std_logic_vector(0 downto 0);
signal m_axis_tid :std_logic_vector(0 downto 0);
signal m_axis_tdest :std_logic_vector(0 downto 0);
signal m_axis_tuser :std_logic_vector(3 downto 0);
signal s_axis_tready :std_logic;
signal axi_aw_data_count :std_logic_vector(4 downto 0);
signal axi_aw_wr_data_count :std_logic_vector(4 downto 0);
signal axi_aw_rd_data_count :std_logic_vector(4 downto 0);
signal axi_aw_sbiterr :std_logic;
signal axi_aw_dbiterr :std_logic;
signal axi_aw_overflow :std_logic;
signal axi_aw_underflow :std_logic;
signal axi_aw_prog_full :std_logic;
signal axi_aw_prog_empty :std_logic;
signal axi_w_data_count :std_logic_vector(10 downto 0);
signal axi_w_wr_data_count :std_logic_vector(10 downto 0);
signal axi_w_rd_data_count :std_logic_vector(10 downto 0);
signal axi_w_sbiterr :std_logic;
signal axi_w_dbiterr :std_logic;
signal axi_w_overflow :std_logic;
signal axi_w_underflow :std_logic;
signal axi_w_prog_full :std_logic;
signal axi_w_prog_empty :std_logic;
signal axi_b_data_count :std_logic_vector(4 downto 0);
signal axi_b_wr_data_count :std_logic_vector(4 downto 0);
signal axi_b_rd_data_count :std_logic_vector(4 downto 0);
signal axi_b_sbiterr :std_logic;
signal axi_b_dbiterr :std_logic;
signal axi_b_overflow :std_logic;
signal axi_b_underflow :std_logic;
signal axi_b_prog_full :std_logic;
signal axi_b_prog_empty :std_logic;
signal axi_ar_data_count :std_logic_vector(4 downto 0);
signal axi_ar_wr_data_count :std_logic_vector(4 downto 0);
signal axi_ar_rd_data_count :std_logic_vector(4 downto 0);
signal axi_ar_sbiterr :std_logic;
signal axi_ar_dbiterr :std_logic;
signal axi_ar_overflow :std_logic;
signal axi_ar_underflow :std_logic;
signal axi_ar_prog_full :std_logic;
signal axi_ar_prog_empty :std_logic;
signal axi_r_data_count :std_logic_vector(10 downto 0);
signal axi_r_wr_data_count :std_logic_vector(10 downto 0);
signal axi_r_rd_data_count :std_logic_vector(10 downto 0);
signal axi_r_sbiterr :std_logic;
signal axi_r_dbiterr :std_logic;
signal axi_r_overflow :std_logic;
signal axi_r_underflow :std_logic;
signal axi_r_prog_full :std_logic;
signal axi_r_prog_empty :std_logic;
signal axis_data_count :std_logic_vector(10 downto 0);
signal axis_wr_data_count :std_logic_vector(10 downto 0);
signal axis_rd_data_count :std_logic_vector(10 downto 0);
signal axis_sbiterr :std_logic;
signal axis_dbiterr :std_logic;
signal axis_overflow :std_logic;
signal axis_underflow :std_logic;
signal axis_prog_full :std_logic;
signal axis_prog_empty :std_logic;
type mem_type is array (2**ADDR_BITS-1 downto 0) of std_logic_vector (WIDTH-1 downto 0);
signal mem : mem_type;
attribute ram_style : string;
attribute ram_style of mem : signal is "distributed";
signal mem_dout : std_logic_vector(WIDTH-1 downto 0);
-- Read gray counter synchronized with write clock.
signal reg_rd_gray : std_logic_vector(ADDR_BITS-1 downto 0);
signal rd_gray_sync : std_logic_vector(ADDR_BITS-1 downto 0);
signal rd_bin : unsigned(ADDR_BITS-1 downto 0);
signal wr_bin : unsigned(ADDR_BITS-1 downto 0);
signal ptr_dist : unsigned(ADDR_BITS-1 downto 0);
signal wr_used_i : std_logic_vector(ADDR_BITS-1 downto 0);
signal wr_empty_i : std_logic;
constant C_EXTRA_SYNCS : integer := 5;
begin
EXISTING : if (C_EXTRA_SYNCS = 0) generate
begin
fifo_we <= din_vld and din_rdy_i;
process(wr_clk, rst)
begin
if(rst = '1') then
wr_addr <= (others => '0');
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_addr <= wr_addr + 1;
end if;
end if;
end process;
fifo_re <= rd_en and not(empty_i);
process(rd_clk, rst)
begin
if(rst = '1') then
rd_addr <= (others => '0');
elsif(rd_clk'event and rd_clk = '1') then
if(fifo_re = '1') then
rd_addr <= rd_addr + 1;
end if;
end if;
end process;
---------------------------------------------------------
process(rd_clk, rst)
begin
if(rst = '1') then
next_rd_gray <= bin2gray(2**ADDR_BITS-1, ADDR_BITS);
rd_gray <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
prev_rd_gray <= bin2gray(2**ADDR_BITS-3, ADDR_BITS);
elsif(rd_clk'event and rd_clk = '1') then
if(fifo_re = '1') then
prev_rd_gray <= rd_gray;
rd_gray <= next_rd_gray;
next_rd_gray <= bin2gray(std_logic_vector(rd_addr));
end if;
end if;
end process;
process(wr_clk, rst)
begin
if(rst = '1') then
next_wr_gray <= bin2gray(2**ADDR_BITS-1, ADDR_BITS);
wr_gray <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_gray <= next_wr_gray;
next_wr_gray <= bin2gray(std_logic_vector(wr_addr));
end if;
end if;
end process;
process(wr_clk, rst)
begin
if(rst = '1') then
wr_gray_ahead <= bin2gray(2**ADDR_BITS-0, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_gray_ahead <= gray_inc(wr_gray_ahead);
end if;
end if;
end process;
-----------------------------------------------------------------
process(wr_clk, rst)
begin
if(rst = '1') then
din_rdy_i <= '0';
elsif(wr_clk'event and wr_clk = '1') then
if(din_rdy_i = '1') then
if (wr_gray_ahead = prev_rd_gray) then
din_rdy_i <= not(fifo_we);
else
din_rdy_i <= '1';
end if;
else
if (wr_gray_ahead = rd_gray) then
din_rdy_i <= '0';
else
din_rdy_i <= '1';
end if;
end if;
end if;
end process;
din_rdy <= din_rdy_i;
process(rd_clk, rst)
begin
if(rst = '1') then
empty_i <= '1';
elsif(rd_clk'event and rd_clk = '1') then
if(empty_i = '0') then
if(next_rd_gray = wr_gray) then
empty_i <= fifo_re;
else
empty_i <= '0';
end if;
else
if(rd_gray = wr_gray) then
empty_i <= '1';
else
empty_i <= '0';
end if;
end if;
end if;
end process;
rd_en <= not(dout_vld_i) or (dout_vld_i and dout_rdy);
process(rd_clk, rst)
begin
if(rst = '1') then
dout_vld_i <= '0';
elsif(rd_clk'event and rd_clk = '1') then
if(rd_en = '1') then
dout_vld_i <= not(empty_i);
end if;
end if;
end process;
dout_vld <= dout_vld_i;
-----------------------------------------------------------------------
-- Memory bank modeling. Tool to infer the memory.
process(wr_clk)
begin
if(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
mem(to_integer(wr_addr)) <= din;
end if;
end if;
end process;
mem_dout <= mem(to_integer(rd_addr));
process(rd_clk, rst)
begin
if(rst = '1') then
dout <= (others => '0');
elsif(rd_clk'event and rd_clk = '1') then
if(fifo_re = '1') then
dout <= mem_dout;
end if;
end if;
end process;
-----------------------------------------------------------------------
process(rd_clk, rst)
begin
if(rst = '1') then
reg_rd_gray <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
elsif(rd_clk'event and rd_clk = '1') then
if(rd_en = '1') then
reg_rd_gray <= rd_gray;
end if;
end if;
end process;
process(wr_clk, rst)
begin
if(rst = '1') then
rd_gray_sync <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
rd_gray_sync <= reg_rd_gray;
end if;
end process;
rd_bin <= unsigned(gray2bin(rd_gray_sync));
process(wr_clk, rst)
begin
if(rst = '1') then
wr_bin <= to_unsigned(2**ADDR_BITS-2, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
if (fifo_we = '1') then
wr_bin <= wr_bin + 1;
end if;
end if;
end process;
process(wr_clk, rst)
begin
if(rst = '1') then
ptr_dist <= (others => '0');
elsif(wr_clk'event and wr_clk = '1') then
if (fifo_we = '1') then
ptr_dist <= ptr_dist + 1;
else
ptr_dist <= wr_bin - rd_bin;
end if;
end if;
end process;
wr_used <= std_logic_vector(ptr_dist);
wr_full <= not(din_rdy_i);
process(wr_clk, rst)
begin
if(rst = '1') then
wr_empty_i <= '1';
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_empty_i <= '0';
else
if(rd_gray_sync = wr_gray) then
wr_empty_i <= '1';
else
wr_empty_i <= '0';
end if;
end if;
end if;
end process;
wr_empty <= wr_empty_i;
end generate EXISTING;
NEW_INTRO : if (C_EXTRA_SYNCS = 2) generate
begin
rst_vec(0) <= rst;
wr_rst <= wr_rst_vec(0);
rd_rst <= rd_rst_vec(0);
wr_rst_sync: ENTITY axis_accelerator_adapter_v2_1_6.synchronizer_ff
GENERIC MAP (
C_HAS_RST => 0,
C_WIDTH => 1
)
PORT MAP (
RST => open,
CLK => wr_clk,
D => rst_vec,
Q => wr_rst_vec
);
rd_rst_sync: ENTITY axis_accelerator_adapter_v2_1_6.synchronizer_ff
GENERIC MAP (
C_HAS_RST => 0,
C_WIDTH => 1
)
PORT MAP (
RST => open,
CLK => rd_clk,
D => rst_vec,
Q => rd_rst_vec
);
fifo_we <= din_vld and din_rdy_i;
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
wr_addr <= (others => '0');
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_addr <= wr_addr + 1;
end if;
end if;
end process;
fifo_re <= rd_en and not(empty_i);
process(rd_clk, rd_rst)
begin
if(rd_rst = '1') then
rd_addr <= (others => '0');
elsif(rd_clk'event and rd_clk = '1') then
if(fifo_re = '1') then
rd_addr <= rd_addr + 1;
end if;
end if;
end process;
---------------------------------------------------------
process(rd_clk, rd_rst)
begin
if(rd_rst = '1') then
next_rd_gray <= bin2gray(2**ADDR_BITS-1, ADDR_BITS);
rd_gray <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
prev_rd_gray <= bin2gray(2**ADDR_BITS-3, ADDR_BITS);
elsif(rd_clk'event and rd_clk = '1') then
if(fifo_re = '1') then
prev_rd_gray <= rd_gray;
rd_gray <= next_rd_gray;
next_rd_gray <= bin2gray(std_logic_vector(rd_addr));
end if;
end if;
end process;
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
next_wr_gray <= bin2gray(2**ADDR_BITS-1, ADDR_BITS);
wr_gray <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_gray <= next_wr_gray;
next_wr_gray <= bin2gray(std_logic_vector(wr_addr));
end if;
end if;
end process;
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
wr_gray_ahead <= bin2gray(2**ADDR_BITS-0, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_gray_ahead <= gray_inc(wr_gray_ahead);
end if;
end if;
end process;
-----------------------------------------------------------------
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
din_rdy_i <= '0';
elsif(wr_clk'event and wr_clk = '1') then
if(din_rdy_i = '1') then
if (wr_gray_ahead = prev_rd_gray) then
din_rdy_i <= not(fifo_we);
else
din_rdy_i <= '1';
end if;
else
if (wr_gray_ahead = rd_gray) then
din_rdy_i <= '0';
else
din_rdy_i <= '1';
end if;
end if;
end if;
end process;
din_rdy <= din_rdy_i;
process(rd_clk, rd_rst)
begin
if(rd_rst = '1') then
empty_i <= '1';
elsif(rd_clk'event and rd_clk = '1') then
if(empty_i = '0') then
if(next_rd_gray = wr_gray) then
empty_i <= fifo_re;
else
empty_i <= '0';
end if;
else
if(rd_gray = wr_gray) then
empty_i <= '1';
else
empty_i <= '0';
end if;
end if;
end if;
end process;
rd_en <= not(dout_vld_i) or (dout_vld_i and dout_rdy);
process(rd_clk, rd_rst)
begin
if(rd_rst = '1') then
dout_vld_i <= '0';
elsif(rd_clk'event and rd_clk = '1') then
if(rd_en = '1') then
dout_vld_i <= not(empty_i);
end if;
end if;
end process;
dout_vld <= dout_vld_i;
-----------------------------------------------------------------------
-- Memory bank modeling. Tool to infer the memory.
process(wr_clk)
begin
if(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
mem(to_integer(wr_addr)) <= din;
end if;
end if;
end process;
mem_dout <= mem(to_integer(rd_addr));
process(rd_clk, rd_rst)
begin
if(rd_rst = '1') then
dout <= (others => '0');
elsif(rd_clk'event and rd_clk = '1') then
if(fifo_re = '1') then
dout <= mem_dout;
end if;
end if;
end process;
-----------------------------------------------------------------------
process(rd_clk, rd_rst)
begin
if(rd_rst = '1') then
reg_rd_gray <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
elsif(rd_clk'event and rd_clk = '1') then
if(rd_en = '1') then
reg_rd_gray <= rd_gray;
end if;
end if;
end process;
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
rd_gray_sync <= bin2gray(2**ADDR_BITS-2, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
rd_gray_sync <= reg_rd_gray;
end if;
end process;
rd_bin <= unsigned(gray2bin(rd_gray_sync));
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
wr_bin <= to_unsigned(2**ADDR_BITS-2, ADDR_BITS);
elsif(wr_clk'event and wr_clk = '1') then
if (fifo_we = '1') then
wr_bin <= wr_bin + 1;
end if;
end if;
end process;
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
ptr_dist <= (others => '0');
elsif(wr_clk'event and wr_clk = '1') then
if (fifo_we = '1') then
ptr_dist <= ptr_dist + 1;
else
ptr_dist <= wr_bin - rd_bin;
end if;
end if;
end process;
wr_used <= std_logic_vector(ptr_dist(3 downto 0));
wr_full <= not(din_rdy_i);
process(wr_clk, wr_rst)
begin
if(wr_rst = '1') then
wr_empty_i <= '1';
elsif(wr_clk'event and wr_clk = '1') then
if(fifo_we = '1') then
wr_empty_i <= '0';
else
if(rd_gray_sync = wr_gray) then
wr_empty_i <= '1';
else
wr_empty_i <= '0';
end if;
end if;
end if;
end process;
wr_empty <= wr_empty_i;
end generate NEW_INTRO;
NEW_INTRO3 : if (C_EXTRA_SYNCS = 5) generate
begin
rstn <= not(rst);
din_rdy <= not(full);
din_rdy_i <= not(full);
wr_full <= (full);
wr_empty <= (empty);
dout_vld <= not(empty);
wr_en_dly <= din_vld ;--AFTER 100ps;
rd_en_dly <= dout_rdy ;--AFTER 100ps;
din_dly <= din ;--AFTER 100ps;
wr_used <= wr_used_i(3 downto 0);
FIF_DMG_INST : entity fifo_generator_v13_0_1.fifo_generator_v13_0_1
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => WIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => WIDTH,
C_ENABLE_RLOCS => 0,
C_FAMILY => C_FAMILY,
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 1,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 29,
C_PROG_FULL_THRESH_NEGATE_VAL => 28,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => ADDR_BITS,
C_RD_DEPTH => FIFO_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => ADDR_BITS,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 1,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => ADDR_BITS,
C_WR_DEPTH => FIFO_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => ADDR_BITS,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_MTBF_STAGES,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 3,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din_dly,
wr_en => wr_en_dly,
rd_en => rd_en_dly,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
almost_full => almost_full,
wr_ack => wr_ack,
overflow => overflow,
empty => empty,
almost_empty => almost_empty,
valid => valid,
underflow => underflow,
data_count => data_count,
rd_data_count => rd_data_count,
wr_data_count => wr_used_i,
prog_full => prog_full,
prog_empty => prog_empty,
sbiterr => sbiterr,
dbiterr => dbiterr,
wr_rst_busy => wr_rst_busy,
rd_rst_busy => rd_rst_busy,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
m_axi_awid => m_axi_awid,
m_axi_awaddr => m_axi_awaddr,
m_axi_awlen => m_axi_awlen,
m_axi_awsize => m_axi_awsize,
m_axi_awburst => m_axi_awburst,
m_axi_awlock => m_axi_awlock,
m_axi_awcache => m_axi_awcache,
m_axi_awprot => m_axi_awprot,
m_axi_awqos => m_axi_awqos,
m_axi_awregion => m_axi_awregion,
m_axi_awuser => m_axi_awuser,
m_axi_awvalid => m_axi_awvalid,
m_axi_awready => '0',
m_axi_wid => m_axi_wid,
m_axi_wdata => m_axi_wdata,
m_axi_wstrb => m_axi_wstrb,
m_axi_wlast => m_axi_wlast,
m_axi_wuser => m_axi_wuser,
m_axi_wvalid => m_axi_wvalid,
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
m_axi_bready => m_axi_bready,
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_awready => s_axi_awready,
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_wready => s_axi_wready,
s_axi_bid => s_axi_bid,
s_axi_bresp => s_axi_bresp,
s_axi_buser => s_axi_buser,
s_axi_bready => '0',
m_axi_arid => m_axi_arid,
m_axi_araddr => m_axi_araddr,
m_axi_arlen => m_axi_arlen,
m_axi_arsize => m_axi_arsize,
m_axi_arburst => m_axi_arburst,
m_axi_arlock => m_axi_arlock,
m_axi_arcache => m_axi_arcache,
m_axi_arprot => m_axi_arprot,
m_axi_arqos => m_axi_arqos,
m_axi_arregion => m_axi_arregion,
m_axi_aruser => m_axi_aruser,
m_axi_arvalid => m_axi_arvalid,
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
m_axi_rready => m_axi_rready,
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_arready => s_axi_arready,
s_axi_rid => s_axi_rid,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rlast => s_axi_rlast,
s_axi_ruser => s_axi_ruser,
s_axi_rready => '0',
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => '0',
m_axis_tdata => m_axis_tdata ,
m_axis_tstrb => m_axis_tstrb ,
m_axis_tkeep => m_axis_tkeep ,
m_axis_tlast => m_axis_tlast ,
m_axis_tid => m_axis_tid ,
m_axis_tdest => m_axis_tdest ,
m_axis_tuser => m_axis_tuser ,
s_axis_tvalid => '0',
s_axis_tready => s_axis_tready,
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_data_count => axi_aw_data_count,
axi_aw_wr_data_count => axi_aw_wr_data_count,
axi_aw_rd_data_count => axi_aw_rd_data_count,
axi_aw_sbiterr => axi_aw_sbiterr,
axi_aw_dbiterr => axi_aw_dbiterr,
axi_aw_overflow => axi_aw_overflow,
axi_aw_underflow => axi_aw_underflow,
axi_aw_prog_full => axi_aw_prog_full,
axi_aw_prog_empty => axi_aw_prog_empty,
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_data_count => axi_w_data_count,
axi_w_wr_data_count => axi_w_wr_data_count,
axi_w_rd_data_count => axi_w_rd_data_count,
axi_w_sbiterr => axi_w_sbiterr,
axi_w_dbiterr => axi_w_dbiterr,
axi_w_overflow => axi_w_overflow,
axi_w_underflow => axi_w_underflow,
axi_w_prog_full => axi_w_prog_full,
axi_w_prog_empty => axi_w_prog_empty,
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_data_count => axi_b_data_count,
axi_b_wr_data_count => axi_b_wr_data_count,
axi_b_rd_data_count => axi_b_rd_data_count,
axi_b_sbiterr => axi_b_sbiterr,
axi_b_dbiterr => axi_b_dbiterr,
axi_b_overflow => axi_b_overflow,
axi_b_underflow => axi_b_underflow,
axi_b_prog_full => axi_b_prog_full,
axi_b_prog_empty => axi_b_prog_empty,
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_data_count => axi_ar_data_count,
axi_ar_wr_data_count => axi_ar_wr_data_count,
axi_ar_rd_data_count => axi_ar_rd_data_count,
axi_ar_sbiterr => axi_ar_sbiterr,
axi_ar_dbiterr => axi_ar_dbiterr,
axi_ar_overflow => axi_ar_overflow,
axi_ar_underflow => axi_ar_underflow,
axi_ar_prog_full => axi_ar_prog_full,
axi_ar_prog_empty => axi_ar_prog_empty,
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_data_count => axi_r_data_count,
axi_r_wr_data_count => axi_r_wr_data_count,
axi_r_rd_data_count => axi_r_rd_data_count,
axi_r_sbiterr => axi_r_sbiterr,
axi_r_dbiterr => axi_r_dbiterr,
axi_r_overflow => axi_r_overflow,
axi_r_underflow => axi_r_underflow,
axi_r_prog_full => axi_r_prog_full,
axi_r_prog_empty => axi_r_prog_empty,
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_data_count => axis_data_count,
axis_wr_data_count => axis_wr_data_count,
axis_rd_data_count => axis_rd_data_count,
axis_sbiterr => axis_sbiterr,
axis_dbiterr => axis_dbiterr,
axis_overflow => axis_overflow,
axis_underflow => axis_underflow,
axis_prog_full => axis_prog_full,
axis_prog_empty => axis_prog_empty
);
-- COMP_FIFO : entity fifo_generator_v12_0_5.fifo_generator_v12_0_5
-- generic map (
-- C_COMMON_CLOCK => 0,
-- C_COUNT_TYPE => 0,
-- C_DATA_COUNT_WIDTH => 10,
-- C_DEFAULT_VALUE => "BlankString",
-- C_DIN_WIDTH => 18,
-- C_DOUT_RST_VAL => "0",
-- C_DOUT_WIDTH => 18,
-- C_ENABLE_RLOCS => 0,
-- C_FAMILY => C_FAMILY,
-- C_FULL_FLAGS_RST_VAL => 1,
-- C_HAS_ALMOST_EMPTY => 0,
-- C_HAS_ALMOST_FULL => 0,
-- C_HAS_BACKUP => 0,
-- C_HAS_DATA_COUNT => 0,
-- C_HAS_INT_CLK => 0,
-- C_HAS_MEMINIT_FILE => 0,
-- C_HAS_OVERFLOW => 0,
-- C_HAS_RD_DATA_COUNT => 0,
-- C_HAS_RD_RST => 0,
-- C_HAS_RST => 1,
-- C_HAS_SRST => 0,
-- C_HAS_UNDERFLOW => 0,
-- C_HAS_VALID => 0,
-- C_HAS_WR_ACK => 0,
-- C_HAS_WR_DATA_COUNT => 0,
-- C_HAS_WR_RST => 0,
-- C_IMPLEMENTATION_TYPE => 0,
-- C_INIT_WR_PNTR_VAL => 0,
-- C_MEMORY_TYPE => 1,
-- C_MIF_FILE_NAME => "BlankString",
-- C_OPTIMIZATION_MODE => 0,
-- C_OVERFLOW_LOW => 0,
-- C_PRELOAD_LATENCY => 1,
-- C_PRELOAD_REGS => 0,
-- C_PRIM_FIFO_TYPE => "4kx4",
-- C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
-- C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
-- C_PROG_EMPTY_TYPE => 0,
-- C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
-- C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
-- C_PROG_FULL_TYPE => 0,
-- C_RD_DATA_COUNT_WIDTH => 10,
-- C_RD_DEPTH => 16,
-- C_RD_FREQ => 1,
-- C_RD_PNTR_WIDTH => ADDR_BITS,
-- C_UNDERFLOW_LOW => 0,
-- C_USE_DOUT_RST => 1,
-- C_USE_ECC => 0,
-- C_USE_EMBEDDED_REG => 0,
-- C_USE_PIPELINE_REG => 0,
-- C_POWER_SAVING_MODE => 0,
-- C_USE_FIFO16_FLAGS => 0,
-- C_USE_FWFT_DATA_COUNT => 0,
-- C_VALID_LOW => 0,
-- C_WR_ACK_LOW => 0,
-- C_WR_DATA_COUNT_WIDTH => 10,
-- C_WR_DEPTH => 16,
-- C_WR_FREQ => 1,
-- C_WR_PNTR_WIDTH => ADDR_BITS,
-- C_WR_RESPONSE_LATENCY => 1,
-- C_MSGON_VAL => 1,
-- C_ENABLE_RST_SYNC => 1,
-- C_ERROR_INJECTION_TYPE => 0,
-- C_SYNCHRONIZER_STAGE => 2,
-- C_INTERFACE_TYPE => 1,
-- C_AXI_TYPE => 1,
-- C_HAS_AXI_WR_CHANNEL => 1,
-- C_HAS_AXI_RD_CHANNEL => 1,
-- C_HAS_SLAVE_CE => 0,
-- C_HAS_MASTER_CE => 0,
-- C_ADD_NGC_CONSTRAINT => 0,
-- C_USE_COMMON_OVERFLOW => 0,
-- C_USE_COMMON_UNDERFLOW => 0,
-- C_USE_DEFAULT_SETTINGS => 0,
-- C_AXI_ID_WIDTH => 1,
-- C_AXI_ADDR_WIDTH => 32,
-- C_AXI_DATA_WIDTH => 64,
-- C_AXI_LEN_WIDTH => 8,
-- C_AXI_LOCK_WIDTH => 1,
-- C_HAS_AXI_ID => 0,
-- C_HAS_AXI_AWUSER => 0,
-- C_HAS_AXI_WUSER => 0,
-- C_HAS_AXI_BUSER => 0,
-- C_HAS_AXI_ARUSER => 0,
-- C_HAS_AXI_RUSER => 0,
-- C_AXI_ARUSER_WIDTH => 1,
-- C_AXI_AWUSER_WIDTH => 1,
-- C_AXI_WUSER_WIDTH => 1,
-- C_AXI_BUSER_WIDTH => 1,
-- C_AXI_RUSER_WIDTH => 1,
-- C_HAS_AXIS_TDATA => 0,
-- C_HAS_AXIS_TID => 0,
-- C_HAS_AXIS_TDEST => 0,
-- C_HAS_AXIS_TUSER => 0,
-- C_HAS_AXIS_TREADY => 1,
-- C_HAS_AXIS_TLAST => 1,
-- C_HAS_AXIS_TSTRB => 0,
-- C_HAS_AXIS_TKEEP => 0,
-- C_AXIS_TDATA_WIDTH => 1,
-- C_AXIS_TID_WIDTH => 1,
-- C_AXIS_TDEST_WIDTH => 1,
-- C_AXIS_TUSER_WIDTH => 1,
-- C_AXIS_TSTRB_WIDTH => 1,
-- C_AXIS_TKEEP_WIDTH => 1,
-- C_WACH_TYPE => 0,
-- C_WDCH_TYPE => 0,
-- C_WRCH_TYPE => 0,
-- C_RACH_TYPE => 0,
-- C_RDCH_TYPE => 0,
-- C_AXIS_TYPE => 0,
-- C_IMPLEMENTATION_TYPE_WACH => 12,
-- C_IMPLEMENTATION_TYPE_WDCH => 11,
-- C_IMPLEMENTATION_TYPE_WRCH => 12,
-- C_IMPLEMENTATION_TYPE_RACH => 12,
-- C_IMPLEMENTATION_TYPE_RDCH => 11,
-- C_IMPLEMENTATION_TYPE_AXIS => 11,
-- C_APPLICATION_TYPE_WACH => 0,
-- C_APPLICATION_TYPE_WDCH => 0,
-- C_APPLICATION_TYPE_WRCH => 0,
-- C_APPLICATION_TYPE_RACH => 0,
-- C_APPLICATION_TYPE_RDCH => 0,
-- C_APPLICATION_TYPE_AXIS => 1,
-- C_PRIM_FIFO_TYPE_WACH => "512x36",
-- C_PRIM_FIFO_TYPE_WDCH => "1kx36",
-- C_PRIM_FIFO_TYPE_WRCH => "512x36",
-- C_PRIM_FIFO_TYPE_RACH => "512x36",
-- C_PRIM_FIFO_TYPE_RDCH => "1kx36",
-- C_PRIM_FIFO_TYPE_AXIS => "512x36",
-- C_USE_ECC_WACH => 0,
-- C_USE_ECC_WDCH => 0,
-- C_USE_ECC_WRCH => 0,
-- C_USE_ECC_RACH => 0,
-- C_USE_ECC_RDCH => 0,
-- C_USE_ECC_AXIS => 0,
-- C_ERROR_INJECTION_TYPE_WACH => 0,
-- C_ERROR_INJECTION_TYPE_WDCH => 0,
-- C_ERROR_INJECTION_TYPE_WRCH => 0,
-- C_ERROR_INJECTION_TYPE_RACH => 0,
-- C_ERROR_INJECTION_TYPE_RDCH => 0,
-- C_ERROR_INJECTION_TYPE_AXIS => 0,
-- C_DIN_WIDTH_WACH => 32,
-- C_DIN_WIDTH_WDCH => 64,
-- C_DIN_WIDTH_WRCH => 2,
-- C_DIN_WIDTH_RACH => 32,
-- C_DIN_WIDTH_RDCH => 64,
-- C_DIN_WIDTH_AXIS => 1,
-- C_WR_DEPTH_WACH => 16,
-- C_WR_DEPTH_WDCH => 1024,
-- C_WR_DEPTH_WRCH => 16,
-- C_WR_DEPTH_RACH => 16,
-- C_WR_DEPTH_RDCH => 1024,
-- C_WR_DEPTH_AXIS => 16,
-- C_WR_PNTR_WIDTH_WACH => ADDR_BITS,
-- C_WR_PNTR_WIDTH_WDCH => 10,
-- C_WR_PNTR_WIDTH_WRCH => ADDR_BITS,
-- C_WR_PNTR_WIDTH_RACH => ADDR_BITS,
-- C_WR_PNTR_WIDTH_RDCH => 10,
-- C_WR_PNTR_WIDTH_AXIS => ADDR_BITS,
-- C_HAS_DATA_COUNTS_WACH => 0,
-- C_HAS_DATA_COUNTS_WDCH => 0,
-- C_HAS_DATA_COUNTS_WRCH => 0,
-- C_HAS_DATA_COUNTS_RACH => 0,
-- C_HAS_DATA_COUNTS_RDCH => 0,
-- C_HAS_DATA_COUNTS_AXIS => 3,
-- C_HAS_PROG_FLAGS_WACH => 0,
-- C_HAS_PROG_FLAGS_WDCH => 0,
-- C_HAS_PROG_FLAGS_WRCH => 0,
-- C_HAS_PROG_FLAGS_RACH => 0,
-- C_HAS_PROG_FLAGS_RDCH => 0,
-- C_HAS_PROG_FLAGS_AXIS => 0,
-- C_PROG_FULL_TYPE_WACH => 0,
-- C_PROG_FULL_TYPE_WDCH => 0,
-- C_PROG_FULL_TYPE_WRCH => 0,
-- C_PROG_FULL_TYPE_RACH => 0,
-- C_PROG_FULL_TYPE_RDCH => 0,
-- C_PROG_FULL_TYPE_AXIS => 0,
-- C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
-- C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
-- C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
-- C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
-- C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
-- C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 15,
-- C_PROG_EMPTY_TYPE_WACH => 0,
-- C_PROG_EMPTY_TYPE_WDCH => 0,
-- C_PROG_EMPTY_TYPE_WRCH => 0,
-- C_PROG_EMPTY_TYPE_RACH => 0,
-- C_PROG_EMPTY_TYPE_RDCH => 0,
-- C_PROG_EMPTY_TYPE_AXIS => 0,
-- C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 13,
-- C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1021,
-- C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 13,
-- C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 13,
-- C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1021,
-- C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 13,
-- C_REG_SLICE_MODE_WACH => 0,
-- C_REG_SLICE_MODE_WDCH => 0,
-- C_REG_SLICE_MODE_WRCH => 0,
-- C_REG_SLICE_MODE_RACH => 0,
-- C_REG_SLICE_MODE_RDCH => 0,
-- C_REG_SLICE_MODE_AXIS => 0
-- )
-- PORT MAP (
-- backup => '0',
-- backup_marker => '0',
-- clk => '0',
-- rst => '0',
-- srst => '0',
-- wr_clk => '0',
-- wr_rst => '0',
-- rd_clk => '0',
-- rd_rst => '0',
-- din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
-- wr_en => '0',
-- rd_en => '0',
-- prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0,ADDR_BITS)),
-- prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0,ADDR_BITS)),
-- prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0,ADDR_BITS)),
-- prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0,ADDR_BITS)),
-- prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- int_clk => '0',
-- injectdbiterr => '0',
-- injectsbiterr => '0',
-- sleep => '0',
-- m_aclk => rd_clk,
-- s_aclk => wr_clk,
-- s_aresetn => rstn,
-- m_aclk_en => '0',
-- s_aclk_en => '0',
-- s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
-- s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
-- s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
-- s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
-- s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
-- s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
-- s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
-- s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
-- s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_awvalid => '0',
-- s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
-- s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
-- s_axi_wlast => '0',
-- s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_wvalid => '0',
-- s_axi_bready => '0',
-- m_axi_awready => '0',
-- m_axi_wready => '0',
-- m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
-- m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- m_axi_bvalid => '0',
-- s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
-- s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
-- s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
-- s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
-- s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
-- s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
-- s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
-- s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
-- s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axi_arvalid => '0',
-- s_axi_rready => '0',
-- m_axi_arready => '0',
-- m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
-- m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
-- m_axi_rlast => '0',
-- m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- m_axi_rvalid => '0',
-- s_axis_tvalid => din_vld,
-- s_axis_tready => open,
-- s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axis_tlast => din_rdy_i,
-- s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
-- m_axis_tvalid => dout_vld_i,
-- m_axis_tready => m_axis_tready,
-- m_axis_tlast => m_axis_tlast,
-- axi_aw_injectsbiterr => '0',
-- axi_aw_injectdbiterr => '0',
-- axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- axi_w_injectsbiterr => '0',
-- axi_w_injectdbiterr => '0',
-- axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
-- axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
-- axi_b_injectsbiterr => '0',
-- axi_b_injectdbiterr => '0',
-- axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0,ADDR_BITS)),
-- axi_ar_injectsbiterr => '0',
-- axi_ar_injectdbiterr => '0',
-- axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- axi_r_injectsbiterr => '0',
-- axi_r_injectdbiterr => '0',
-- axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
-- axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
-- axis_injectsbiterr => '0',
-- axis_injectdbiterr => '0',
-- axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)),
-- axis_wr_data_count => wr_used_i,
-- axis_rd_data_count => open
-- );
end generate NEW_INTRO3;
end rtl;
| mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/axis_accelerator_adapter_v2_1/hdl/src/vhdl/axi_lite_adapter.vhd | 1 | 63260 | -------------------------------------------------------------------------------
-- Title : Accelerator Adapter
-- Project :
-------------------------------------------------------------------------------
-- File : axi_lite_adapter.vhd
-- Author : rmg/jn
-- Company : Xilinx, Inc.
-- Created : 2012-09-05
-- Last update: 2012-12-03
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-09-05 1.0 rmg/jn Created
-- 2013-08-05 2.0 pvk updated
-------------------------------------------------------------------------------
-- ****************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- ****************************************************************************
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library axis_accelerator_adapter_v2_1_6;
use axis_accelerator_adapter_v2_1_6.xd_adapter_pkg.all;
use axis_accelerator_adapter_v2_1_6.cdc_sync;
entity axi_lite_adapter is
generic (
-- System generics:
C_FAMILY : string := "virtex7"; -- Xilinx FPGA family
-- AXI generics:
C_S_AXI_ADDR_WIDTH : integer := 13;
C_S_AXI_DATA_WIDTH : integer range 32 to 128 := 32;
C_MAX_N_IARGS : integer;
C_MAX_N_OARGS : integer;
C_MAX_MB_DEPTH : integer;
C_N_INPUT_ARGS : integer;
C_N_OUTPUT_ARGS : integer;
C_PRMRY_IS_ACLK_ASYNC : integer;
C_MTBF_STAGES : integer;
C_MAX_ARG_AWIDTH : integer;
C_MAX_N_ISCALARS : integer;
C_N_INOUT_SCALARS : integer;
C_MAX_N_IOSCALARS : integer;
C_N_INPUT_SCALARS : integer;
C_MAX_N_OSCALARS : integer;
C_N_OUTPUT_SCALARS : integer;
C_MAX_SCALAR_DWIDTH : integer;
C_M_AXIS_TDEST_WIDTH : integer);
port (
-- AXI LITE interface signals:
S_AXI_ACLK : in std_logic; -- AXI Clock
S_AXI_ARESETN : in std_logic; -- AXI Reset, active low
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- AXI Write address
S_AXI_AWVALID : in std_logic; -- Write address valid
S_AXI_AWREADY : out std_logic; -- Write address ready
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write data
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write strobes
S_AXI_WVALID : in std_logic; -- Write valid
S_AXI_WREADY : out std_logic; -- Write ready
S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response
S_AXI_BVALID : out std_logic; -- Write response valid
S_AXI_BREADY : in std_logic; -- Response ready
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Read address
S_AXI_ARVALID : in std_logic; -- Read address valid
S_AXI_ARREADY : out std_logic; -- Read address ready
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read data
S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read response
S_AXI_RVALID : out std_logic; -- Read valid
S_AXI_RREADY : in std_logic; -- Read ready
--- App. ports
ap_rst : out std_logic; -- Read valid
-- Command input port:
host_cmd_data : out std_logic_vector(31 downto 0);
host_cmd_we : out std_logic;
host_cmd_rdy : in std_logic;
host_complete_re : out std_logic;
host_cmd_error : in std_logic;
-- AP core status signals:
status_ap_start : in std_logic;
status_ap_done : in std_logic;
status_ap_idle : in std_logic;
status_ap_ready : in std_logic;
status_ap_start_clr : out std_logic;
status_ap_done_clr : out std_logic;
status_ap_idle_clr : out std_logic;
status_ap_ready_clr : out std_logic;
-- Input arguments management:
host_iarg_rst : out std_logic_vector(C_MAX_N_IARGS-1 downto 0);
iarg_rqt_enable : out std_logic_vector(C_MAX_N_IARGS-1 downto 0);
status_iarg_empty : in std_logic_vector(C_MAX_N_IARGS-1 downto 0);
status_iarg_full : in std_logic_vector(C_MAX_N_IARGS-1 downto 0);
status_iarg_used : in std_logic_vector(C_MAX_N_IARGS*4-1 downto 0);
status_iarg_n_words : in std_logic_vector(C_MAX_N_IARGS*(C_MAX_ARG_AWIDTH+1)-1 downto 0);
-- Output arguments management:
host_oarg_rst : out std_logic_vector(C_MAX_N_OARGS-1 downto 0);
oarg_rqt_enable : out std_logic_vector(C_MAX_N_OARGS-1 downto 0);
oarg_sw_length : out std_logic_vector(31 downto 0);
oarg_sw_length_m2s : out std_logic_vector(31 downto 0);
oarg_sw_length_we : out std_logic_vector(C_MAX_N_OARGS-1 downto 0);
oarg_use_sw_length : out std_logic_vector(C_MAX_N_OARGS-1 downto 0);
host_oarg_tdest : out std_logic_vector(C_MAX_N_OARGS*C_M_AXIS_TDEST_WIDTH-1 downto 0);
status_oarg_empty : in std_logic_vector(C_MAX_N_OARGS-1 downto 0);
status_oarg_full : in std_logic_vector(C_MAX_N_OARGS-1 downto 0);
status_oarg_used : in std_logic_vector(C_MAX_N_OARGS*4-1 downto 0);
-- Input scalar management:
host_iscalar_rst : out std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
host_iscalar_dout : out std_logic_vector(31 downto 0);
host_iscalar_we : out std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
host_iscalar_rdy : in std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
status_iscalar_empty : in std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
status_iscalar_full : in std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
status_iscalar_used : in std_logic_vector((C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS)*4-1 downto 0);
-- Output scalar management:
host_oscalar_rst : out std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
host_oscalar_din : in std_logic_vector((C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS)*C_MAX_SCALAR_DWIDTH-1 downto 0);
host_oscalar_re : out std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
host_oscalar_rdy : in std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
status_oscalar_empty : in std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
status_oscalar_full : in std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
status_oscalar_used : in std_logic_vector((C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS)*4-1 downto 0);
dbg_iarg_stream_nwords : in std_logic_vector(C_MAX_N_IARGS*16-1 downto 0);
dbg_iarg_buffer_nwords : in std_logic_vector(C_MAX_N_IARGS*16-1 downto 0);
dbg_oarg_stream_nwords : in std_logic_vector(C_MAX_N_OARGS*16-1 downto 0);
dbg_oarg_buffer_nwords : in std_logic_vector(C_MAX_N_OARGS*16-1 downto 0);
iscalar_rqt_enable : out std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
oscalar_rqt_enable : out std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
---
interrupt : out std_logic);
attribute SIGIS : string;
attribute SIGIS of S_AXI_ACLK : signal is "CLK";
attribute SIGIS of S_AXI_ARESETN : signal is "RST";
end entity;
architecture rtl of axi_lite_adapter is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of rtl : architecture is "yes";
constant DATA_WIDTH : integer := 32;
constant STRB_WIDTH : integer := (C_S_AXI_DATA_WIDTH/8);
constant AXI_RESP_OKAY : std_logic_vector(1 downto 0) := "00";
constant AXI_RESP_EXOKAY : std_logic_vector(1 downto 0) := "01";
constant AXI_RESP_SLVERR : std_logic_vector(1 downto 0) := "10";
constant AXI_RESP_DECERR : std_logic_vector(1 downto 0) := "11";
function ext_32 (
value : std_logic_vector;
width : natural := 32)
return std_logic_vector is
constant N : integer := value'length;
variable ret : std_logic_vector(width-1 downto 0);
begin
ret := (others => '0');
ret(N-1 downto 0) := value;
return ret;
end function ext_32;
function sext_32 (
value : std_logic_vector;
width : natural := 32)
return std_logic_vector is
constant N : integer := value'length;
alias val_dn : std_logic_vector (N-1 downto 0) is value;
variable ret : std_logic_vector(width-1 downto 0);
begin
ret(N-1 downto 0) := val_dn;
if(N < 32) then
ret(31 downto N) := (others => val_dn(N-1));
end if;
return ret;
end function sext_32;
signal axi_rst : std_logic;
signal ap_rst_fb : std_logic;
signal axi_rst1 : std_logic;
signal ap_rst_i : std_logic;
signal axi_addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- AXI Write address
signal wr_data_i : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
--------------------------------------------------
-- We use banks of 16 registers (32 bits, 4 bytes):
constant BANK_ADDR_WIDTH : integer := 4; -- Up to 16 banks can be used.
constant BANK_ADDR_LSB : integer := log2(16*4);
constant BANK_ADDR_MSB : integer := BANK_ADDR_LSB+BANK_ADDR_WIDTH-1;
constant GP_REGS_BANK_ADDR : integer := 0;
constant GP_REGS_BANK1_ADDR : integer := 1;
constant ISCALAR_BANK_ADDR : integer := 2;
constant OSCALAR_BANK_ADDR : integer := 3;
constant IARG_STATUS_BANK_ADDR : integer := 4;
constant OARG_STATUS_BANK_ADDR : integer := 5;
constant ISCALAR_STATUS_BANK_ADDR : integer := 6;
constant OSCALAR_STATUS_BANK_ADDR : integer := 7;
constant OARG_LENGTH_BANK_ADDR : integer := 8;
constant OARG_TDEST_BANK_ADDR : integer := 9;
constant CTRL_REG_INDEX : integer := 0;
constant STATUS_REG_INDEX : integer := 1;
constant IARG_RST_REG_INDEX : integer := 2;
constant OARG_RST_REG_INDEX : integer := 3;
constant IARG_RQT_ENABLE_REG_INDEX : integer := 4;
constant OARG_RQT_ENABLE_REG_INDEX : integer := 5;
constant COMMAND_REG_INDEX : integer := 10;
constant COMPLETE_REG_INDEX : integer := 11;
constant INT_ENABLE_REG_INDEX : integer := 12;
constant INT_FLAG_REG_INDEX : integer := 13;
constant OARG_LENGTH_MODE_REG_INDEX : integer := 15;
constant ISCALAR_RST_REG_INDEX : integer := 16;
constant OSCALAR_RST_REG_INDEX : integer := 17;
constant ISCALAR_RQT_ENABLE_REG_INDEX : integer := 18;
constant OSCALAR_RQT_ENABLE_REG_INDEX : integer := 19;
constant BANK1_INDEX : integer := 16;
signal gp_bank : std_logic; -- bank 0
signal gp_bank1 : std_logic; -- bank 1
signal iscalar_bank : std_logic; -- bank 2
signal oscalar_bank : std_logic; -- bank 3
signal iarg_status_bank : std_logic; -- bank 4
signal oarg_status_bank : std_logic; -- bank 5
signal iscalar_status_bank : std_logic; -- bank 6
signal oscalar_status_bank : std_logic; -- bank 7
signal oarg_length_bank : std_logic; -- bank 8
signal oarg_tdest_bank : std_logic; -- bank 9
signal mux_gp_regs : std_logic_vector(31 downto 0);
signal mux_oscalar_data : std_logic_vector(31 downto 0);
signal mux_iarg_status : std_logic_vector(31 downto 0);
signal mux_oarg_status : std_logic_vector(31 downto 0);
signal mux_iscalar_status : std_logic_vector(31 downto 0);
signal mux_oscalar_status : std_logic_vector(31 downto 0);
signal mux_oarg_tdest_regs : std_logic_vector(31 downto 0);
signal rd_bank_addr : std_logic_vector(BANK_ADDR_WIDTH-1 downto 0);
constant REGS_ADDR_WIDTH : integer := 4; -- Inner address width.
constant N_REGS : integer := 2**REGS_ADDR_WIDTH;
signal reg_sel : std_logic_vector(N_REGS-1 downto 0);
signal rd_reg_addr : std_logic_vector(REGS_ADDR_WIDTH-1 downto 0);
signal ctrl_reg : std_logic_vector(31 downto 0);
signal status_reg : std_logic_vector(31 downto 0);
signal ap_iarg_rst_reg : std_logic_vector(C_MAX_N_IARGS-1 downto 0);
signal ap_oarg_rst_reg : std_logic_vector(C_MAX_N_OARGS-1 downto 0);
signal iarg_rqt_enable_reg : std_logic_vector(C_MAX_N_IARGS-1 downto 0);
signal oarg_rqt_enable_reg : std_logic_vector(C_MAX_N_OARGS-1 downto 0);
signal oarg_length_mode_reg : std_logic_vector(C_MAX_N_OARGS-1 downto 0);
signal reg_sel1 : std_logic_vector(N_REGS-1 downto 0);
signal iscalar_rst_reg : std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
signal oscalar_rst_reg : std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
signal iscalar_rqt_enable_reg : std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto 0);
signal oscalar_rqt_enable_reg : std_logic_vector(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto 0);
------------------------------------------------
type state_type is (
-- pragma translate_off
stop,
-- pragma translate_on
idle,
read_regs,
write_regs,
send_resp);
signal state : state_type;
signal wr_addr_rdy : std_logic;
signal rd_addr_rdy : std_logic;
signal wr_resp_vld : std_logic;
signal wr_data_rdy : std_logic;
signal rd_data_vld : std_logic;
signal rd_data_ce : std_logic;
signal rd_data : std_logic_vector(31 downto 0);
signal gp_reg_start : std_logic;
signal rd_start : std_logic;
signal wr_start : std_logic;
signal access_start : std_logic;
signal gp_reg_start1 : std_logic;
--------------------------------------------------------------------
-- pragma translate_off
signal mem_rd : std_logic;
signal mem_wr : std_logic;
-- pragma translate_on
signal scalar_reg_start : std_logic;
signal glb_int_en : std_logic;
---------------------
-- INTERRUPT REGs: --
---------------------
constant N_INTS : integer := 8;
signal int_enable_reg : std_logic_vector(N_INTS-1 downto 0);
signal int_flag_reg : std_logic_vector(N_INTS-1 downto 0);
signal int_rqt : std_logic_vector(N_INTS-1 downto 0);
---------------------
-- Syncrhpnoaer signals
---------------------
signal status_iarg_empty_sync : std_logic_vector(C_MAX_N_IARGS-1 downto 0);
signal oarg_sw_length_we_event : std_logic;
signal oarg_sw_length_we_i : std_logic_vector(C_MAX_N_OARGS-1 downto 0);
signal host_ioscalar_re_i : std_logic_vector(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS);
signal host_oscalar_re_i : std_logic_vector(C_MAX_N_OSCALARS-1 downto 0);
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF axi_rst1 : SIGNAL IS "true";
ATTRIBUTE async_reg OF axi_rst : SIGNAL IS "true";
begin
----------------------
--- status_iarg_empty Synchronizer
----------------------
EN_STRM_TO_LITE_SYNC_GEN : if (C_PRMRY_IS_ACLK_ASYNC = 1) generate
begin
XD_IARG_RQT_SYNC : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => 2 --C_MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => status_iarg_empty,
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => open,
scndry_vect_out => status_iarg_empty_sync
);
end generate EN_STRM_TO_LITE_SYNC_GEN;
NO_SYNC_GEN : if (C_PRMRY_IS_ACLK_ASYNC = 0) generate
begin
status_iarg_empty_sync <= status_iarg_empty;
end generate NO_SYNC_GEN;
----------------------
--- status_iarg_empty Synchronizer
----------------------
--axi_rst <= not(S_AXI_ARESETN);
prd1: PROCESS (S_AXI_ACLK, S_AXI_ARESETN)
BEGIN
-- Register Stage #1
IF (S_AXI_ARESETN = '0') THEN
axi_rst1 <= '1';
axi_rst <= '1';
ELSIF (S_AXI_ACLK'event and S_AXI_ACLK = '1') THEN
axi_rst1 <= '0';
axi_rst <= axi_rst1;
END IF;
END PROCESS prd1;
S_AXI_AWREADY <= wr_addr_rdy;
S_AXI_ARREADY <= rd_addr_rdy;
S_AXI_WREADY <= wr_data_rdy;
S_AXI_BVALID <= wr_resp_vld;
S_AXI_BRESP <= AXI_RESP_OKAY;
S_AXI_RDATA <= rd_data;
S_AXI_RVALID <= rd_data_vld;
S_AXI_RRESP <= AXI_RESP_OKAY;
-------------------------------------------
axi_addr <= S_AXI_ARADDR when (S_AXI_ARVALID = '1') else S_AXI_AWADDR;
process(axi_addr)
variable int_addr : integer range 0 to (2**BANK_ADDR_WIDTH)-1;
begin
gp_bank <= '0';
gp_bank1 <= '0';
iscalar_bank <= '0';
oscalar_bank <= '0';
iarg_status_bank <= '0';
oarg_status_bank <= '0';
iscalar_status_bank <= '0';
oscalar_status_bank <= '0';
oarg_length_bank <= '0';
oarg_tdest_bank <= '0';
int_addr := to_integer(unsigned(axi_addr(BANK_ADDR_MSB downto BANK_ADDR_LSB)));
case int_addr is
when GP_REGS_BANK_ADDR => gp_bank <= '1';
when GP_REGS_BANK1_ADDR => gp_bank1 <= '1';
when ISCALAR_BANK_ADDR => iscalar_bank <= '1';
when OSCALAR_BANK_ADDR => oscalar_bank <= '1';
when IARG_STATUS_BANK_ADDR => iarg_status_bank <= '1';
when OARG_STATUS_BANK_ADDR => oarg_status_bank <= '1';
when ISCALAR_STATUS_BANK_ADDR => iscalar_status_bank <= '1';
when OSCALAR_STATUS_BANK_ADDR => oscalar_status_bank <= '1';
when OARG_LENGTH_BANK_ADDR => oarg_length_bank <= '1';
when OARG_TDEST_BANK_ADDR => oarg_tdest_bank <= '1';
when others =>
end case;
end process;
process(S_AXI_ACLK)
constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
constant MSB : integer := LSB+REGS_ADDR_WIDTH-1;
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(rd_start = '1') then
rd_reg_addr <= S_AXI_ARADDR(MSB downto LSB);
end if;
end if;
end process;
process(S_AXI_ACLK)
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(rd_start = '1') then
rd_bank_addr <= S_AXI_ARADDR(BANK_ADDR_MSB downto BANK_ADDR_LSB);
end if;
end if;
end process;
process(S_AXI_ACLK, axi_rst)
begin
if(axi_rst = '1') then
state <= idle;
wr_resp_vld <= '0';
rd_data_vld <= '0';
rd_addr_rdy <= '0';
wr_addr_rdy <= '0';
wr_data_rdy <= '0';
-- pragma translate_off
mem_rd <= '0';
mem_wr <= '0';
-- pragma translate_on
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
rd_addr_rdy <= '0';
wr_addr_rdy <= '0';
wr_data_rdy <= '0';
-- pragma translate_off
mem_rd <= '0';
mem_wr <= '0';
-- pragma translate_on
case state is
when idle =>
if(S_AXI_ARVALID = '1') then
state <= read_regs;
rd_addr_rdy <= '1';
elsif(S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- During write accesses it's waited until both both address and
-- write data are stables.
state <= write_regs;
wr_addr_rdy <= '1';
wr_data_rdy <= '1';
end if;
when read_regs =>
state <= send_resp;
rd_data_vld <= '1';
when write_regs =>
state <= send_resp;
wr_resp_vld <= '1';
when send_resp =>
if ((wr_resp_vld = '1' and S_AXI_BREADY = '1') or
(rd_data_vld = '1' and S_AXI_RREADY = '1')) then
wr_resp_vld <= '0';
rd_data_vld <= '0';
state <= idle;
end if;
when others =>
end case;
end if;
end process;
process(state, gp_bank, gp_bank1, S_AXI_ARVALID, S_AXI_AWVALID, S_AXI_WVALID)
begin
rd_data_ce <= '0';
gp_reg_start <= '0';
gp_reg_start1 <= '0';
scalar_reg_start <= '0';
rd_start <= '0';
wr_start <= '0';
access_start <= '0';
case state is
when idle =>
rd_start <= S_AXI_ARVALID;
wr_start <= S_AXI_AWVALID and S_AXI_WVALID;
access_start <= S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID);
gp_reg_start <= gp_bank and (S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID));
gp_reg_start1 <= gp_bank1 and (S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID));
when read_regs =>
rd_data_ce <= '1';
when write_regs =>
when send_resp =>
when others =>
end case;
end process;
--------------------
-- INPUT DATAPATH --
--------------------
process(S_AXI_ACLK)
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
wr_data_i <= S_AXI_WDATA;
end if;
end process;
-----------------------------------------
--- BANK 0: GENERAL PURPOSE REGISTERS ---
-----------------------------------------
-- Selection signal generation for inner registers:
process(S_AXI_ACLK)
variable offset : unsigned(REGS_ADDR_WIDTH-1 downto 0);
constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
constant MSB : integer := LSB+REGS_ADDR_WIDTH-1;
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(axi_rst = '1' or wr_data_rdy = '1' or rd_data_vld = '1') then
reg_sel <= (others => '0');
elsif(gp_reg_start = '1') then
offset := unsigned(axi_addr(MSB downto LSB));
for i in reg_sel'range loop
if(offset = i) then
reg_sel(i) <= '1';
else
reg_sel(i) <= '0';
end if;
end loop;
end if;
end if;
end process;
---------------------------------
-- CONTROL REGISTER (INDEX 0) --
---------------------------------
process(S_AXI_ACLK, axi_rst)
begin
if(axi_rst = '1') then
ctrl_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(CTRL_REG_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to STRB_WIDTH-1 loop
if(S_AXI_WSTRB(i) = '1') then
ctrl_reg(8*(i+1)-1 downto 8*i) <= S_AXI_WDATA(8*(i+1)-1 downto 8*i);
end if;
end loop;
end if;
end if;
end process;
ap_rst_i <= ctrl_reg(0) or axi_rst;
glb_int_en <= ctrl_reg(1);
process(S_AXI_ACLK, axi_rst)
begin
if(axi_rst = '1') then
ap_rst <= '1';
ap_rst_fb <= '1';
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
ap_rst <= ap_rst_i;
ap_rst_fb <= ap_rst_i;
end if;
end process;
--------------------------------
-- STATUS REGISTER (INDEX 1) --
--------------------------------
status_reg(0) <= status_ap_start;
status_reg(1) <= status_ap_done;
status_reg(2) <= status_ap_idle;
status_reg(3) <= status_ap_ready;
status_reg(31 downto 4) <= (others => '0');
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
status_ap_start_clr <= '0';
status_ap_done_clr <= '0';
status_ap_idle_clr <= '0';
status_ap_ready_clr <= '0';
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
status_ap_start_clr <= reg_sel(STATUS_REG_INDEX) and wr_data_rdy and S_AXI_WSTRB(0) and S_AXI_WDATA(0);
status_ap_done_clr <= reg_sel(STATUS_REG_INDEX) and wr_data_rdy and S_AXI_WSTRB(0) and S_AXI_WDATA(1);
status_ap_idle_clr <= reg_sel(STATUS_REG_INDEX) and wr_data_rdy and S_AXI_WSTRB(0) and S_AXI_WDATA(2);
status_ap_ready_clr <= reg_sel(STATUS_REG_INDEX) and wr_data_rdy and S_AXI_WSTRB(0) and S_AXI_WDATA(3);
end if;
end process;
-----------------------------------------------------
-- INPUT ARG MULTIBUFFER RESET REGISTER (INDEX 2) --
-----------------------------------------------------
IARGS_RST_GEN : if (C_N_INPUT_ARGS > 0) generate
signal reg : std_logic_vector(C_N_INPUT_ARGS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(IARG_RST_REG_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INPUT_ARGS-1 loop
reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(reg)
begin
host_iarg_rst <= (others => '0');
ap_iarg_rst_reg <= (others => '0');
host_iarg_rst(reg'range) <= reg;
ap_iarg_rst_reg(reg'range) <= reg;
end process;
end generate IARGS_RST_GEN;
NO_IARGS_RST_GEN : if (C_N_INPUT_ARGS = 0) generate
begin
host_iarg_rst <= (others => '0');
ap_iarg_rst_reg <= (others => '0');
end generate NO_IARGS_RST_GEN;
------------------------------------------------------
-- OUTPUT ARG MULTIBUFFER RESET REGISTER (INDEX 3) --
------------------------------------------------------
OARGS_RST_GEN : if (C_N_OUTPUT_ARGS > 0) generate
signal reg : std_logic_vector(C_N_OUTPUT_ARGS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(OARG_RST_REG_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_OUTPUT_ARGS-1 loop
reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(reg)
begin
host_oarg_rst <= (others => '0');
ap_oarg_rst_reg <= (others => '0');
host_oarg_rst(reg'range) <= reg;
ap_oarg_rst_reg(reg'range) <= reg;
end process;
end generate OARGS_RST_GEN;
NO_OARGS_RST_GEN : if (C_N_OUTPUT_ARGS = 0) generate
begin
host_oarg_rst <= (others => '0');
ap_oarg_rst_reg <= (others => '0');
end generate NO_OARGS_RST_GEN;
--------------------------------------------------
-- INPUT ARG REQUEST ENABLE REGISTER (INDEX 4) --
--------------------------------------------------
IARG_RQT_ENABLE_GEN : if (C_N_INPUT_ARGS > 0) generate
signal reg : std_logic_vector(C_N_INPUT_ARGS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
-- reg <= (others => '0');
reg <= (others => '1');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(IARG_RQT_ENABLE_REG_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INPUT_ARGS-1 loop
reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(reg)
begin
iarg_rqt_enable <= (others => '0');
iarg_rqt_enable_reg <= (others => '0');
iarg_rqt_enable(reg'range) <= reg;
iarg_rqt_enable_reg(reg'range) <= reg;
end process;
end generate IARG_RQT_ENABLE_GEN;
NO_IARG_RQT_ENABLE_GEN : if (C_N_INPUT_ARGS = 0) generate
begin
iarg_rqt_enable <= (others => '0');
iarg_rqt_enable_reg <= (others => '0');
end generate NO_IARG_RQT_ENABLE_GEN;
---------------------------------------------------
-- OUTPUT ARG REQUEST ENABLE REGISTER (INDEX 5) --
---------------------------------------------------
OARG_RQT_ENABLE_GEN : if (C_N_OUTPUT_ARGS > 0) generate
signal reg : std_logic_vector(C_N_OUTPUT_ARGS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
-- reg <= (others => '0');
reg <= (others => '1');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(OARG_RQT_ENABLE_REG_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_OUTPUT_ARGS-1 loop
reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(reg)
begin
oarg_rqt_enable <= (others => '0');
oarg_rqt_enable_reg <= (others => '0');
oarg_rqt_enable(reg'range) <= reg;
oarg_rqt_enable_reg(reg'range) <= reg;
end process;
end generate OARG_RQT_ENABLE_GEN;
NO_OARG_RQT_ENABLE_GEN : if (C_N_OUTPUT_ARGS = 0) generate
begin
oarg_rqt_enable <= (others => '0');
oarg_rqt_enable_reg <= (others => '0');
end generate NO_OARG_RQT_ENABLE_GEN;
---------------------------------
-- COMMAND REGISTER (INDEX 10) --
---------------------------------
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
host_cmd_we <= '0';
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
host_cmd_we <= reg_sel(COMMAND_REG_INDEX) and wr_data_rdy;
end if;
end process;
host_cmd_data <= wr_data_i;
-----------------------------------
-- COMPLETE REGISTER (INDEX 11) --
-----------------------------------
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
host_complete_re <= '0';
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
host_complete_re <= reg_sel(COMPLETE_REG_INDEX) and rd_data_vld; -- ????
end if;
end process;
--------------------------
-- INTERRUPT MANAGEMENT --
--------------------------
------------------------------------------
-- INTERRUPT ENABLE REGISTER (INDEX 12) --
------------------------------------------
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
int_enable_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(INT_ENABLE_REG_INDEX) = '1' and wr_data_rdy = '1') then
int_enable_reg <= S_AXI_WDATA(N_INTS-1 downto 0);
end if;
end if;
end process;
-- Individual asigment for each interrupt source:
int_rqt(0) <= host_cmd_error;
int_rqt(N_INTS-1 downto 1) <= (others => '0');
----------------------------------------
-- INTERRUPT FLAG REGISTER (INDEX 13) --
----------------------------------------
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
int_flag_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(INT_FLAG_REG_INDEX) = '1' and wr_data_rdy = '1') then
int_flag_reg <= int_flag_reg and not(S_AXI_WDATA(N_INTS-1 downto 0));
else
int_flag_reg <= int_flag_reg or int_rqt;
end if;
end if;
end process;
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
interrupt <= '0';
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
interrupt <= or_reduce(int_flag_reg and int_enable_reg) and glb_int_en;
end if;
end process;
-----------------------------------------
--- BANK 1: GENERAL PURPOSE REGISTERS ---
-----------------------------------------
-- Selection signal generation for inner registers:
process(S_AXI_ACLK)
variable offset : unsigned(REGS_ADDR_WIDTH-1 downto 0);
constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
constant MSB : integer := LSB+REGS_ADDR_WIDTH-1;
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(ap_rst_fb = '1' or wr_data_rdy = '1' or rd_data_vld = '1') then
reg_sel1 <= (others => '0');
elsif(gp_reg_start1 = '1') then
offset := unsigned(axi_addr(MSB downto LSB));
for i in reg_sel1'range loop
if(offset = i) then
reg_sel1(i) <= '1';
else
reg_sel1(i) <= '0';
end if;
end loop;
end if;
end if;
end process;
-------------------------------------------------
-- INPUT SCALAR FIFO RESET REGISTER (INDEX 16) --
-------------------------------------------------
ISCALAR_RST_GEN : if (C_N_INPUT_SCALARS > 0) generate
signal rst_reg : std_logic_vector(C_N_INPUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
rst_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(ISCALAR_RST_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INPUT_SCALARS-1 loop
rst_reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(rst_reg)
begin
host_iscalar_rst(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
iscalar_rst_reg(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
host_iscalar_rst(rst_reg'range) <= rst_reg;
iscalar_rst_reg(rst_reg'range) <= rst_reg;
end process;
end generate ISCALAR_RST_GEN;
NO_ISCALAR_RST_GEN : if (C_N_INPUT_SCALARS = 0) generate
begin
host_iscalar_rst(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
iscalar_rst_reg(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
end generate NO_ISCALAR_RST_GEN;
ISCALAR_IO_RST_GEN : if (C_N_INOUT_SCALARS > 0) generate
signal rst_reg : std_logic_vector(C_N_INOUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
rst_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(ISCALAR_RST_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INOUT_SCALARS-1 loop
rst_reg(i) <= S_AXI_WDATA(i+C_MAX_N_ISCALARS) and S_AXI_WSTRB((i+C_MAX_N_ISCALARS)/8);
end loop;
end if;
end if;
end process;
process(rst_reg)
begin
host_iscalar_rst(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
iscalar_rst_reg(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
host_iscalar_rst(C_MAX_N_ISCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_ISCALARS) <= rst_reg;
iscalar_rst_reg(C_MAX_N_ISCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_ISCALARS) <= rst_reg;
end process;
end generate ISCALAR_IO_RST_GEN;
NO_ISCALAR_IO_RST_GEN : if (C_N_INOUT_SCALARS = 0) generate
begin
host_iscalar_rst(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
iscalar_rst_reg(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
end generate NO_ISCALAR_IO_RST_GEN;
--------------------------------------------------
-- OUTPUT SCALAR FIFO RESET REGISTER (INDEX 17) --
--------------------------------------------------
OSCALAR_RST_GEN : if (C_N_OUTPUT_SCALARS > 0) generate
signal rst_reg : std_logic_vector(C_N_OUTPUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
rst_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(OSCALAR_RST_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_OUTPUT_SCALARS-1 loop
rst_reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(rst_reg)
begin
host_oscalar_rst(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
oscalar_rst_reg(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
host_oscalar_rst(rst_reg'range) <= rst_reg;
oscalar_rst_reg(rst_reg'range) <= rst_reg;
end process;
end generate OSCALAR_RST_GEN;
NO_OSCALAR_RST_GEN : if (C_N_OUTPUT_SCALARS = 0) generate
begin
host_oscalar_rst(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
oscalar_rst_reg(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
end generate NO_OSCALAR_RST_GEN;
OSCALAR_IO_RST_GEN : if (C_N_INOUT_SCALARS > 0) generate
signal os_rst_reg : std_logic_vector(C_N_INOUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
os_rst_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(OSCALAR_RST_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INOUT_SCALARS-1 loop
os_rst_reg(i) <= S_AXI_WDATA(i+C_MAX_N_OSCALARS) and S_AXI_WSTRB((i+C_MAX_N_OSCALARS)/8);
end loop;
end if;
end if;
end process;
process(os_rst_reg)
begin
host_oscalar_rst(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
oscalar_rst_reg(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
host_oscalar_rst(C_MAX_N_OSCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_OSCALARS) <= os_rst_reg;
oscalar_rst_reg(C_MAX_N_OSCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_OSCALARS) <= os_rst_reg;
end process;
end generate OSCALAR_IO_RST_GEN;
NO_OSCALAR_IO_RST_GEN : if (C_N_INOUT_SCALARS = 0) generate
begin
host_oscalar_rst(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
oscalar_rst_reg(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
end generate NO_OSCALAR_IO_RST_GEN;
-----------------------------------------------------
-- INPUT SCALAR REQUEST ENABLE REGISTER (INDEX 18) --
-----------------------------------------------------
ISCALAR_RQT_ENABLE_GEN : if (C_N_INPUT_SCALARS > 0) generate
signal reg : std_logic_vector(C_N_INPUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
-- reg <= (others => '0');
reg <= (others => '1');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(ISCALAR_RQT_ENABLE_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INPUT_SCALARS-1 loop
reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(reg)
begin
iscalar_rqt_enable(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
iscalar_rqt_enable_reg(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
iscalar_rqt_enable(reg'range) <= reg;
iscalar_rqt_enable_reg(reg'range) <= reg;
end process;
end generate ISCALAR_RQT_ENABLE_GEN;
NO_ISCALAR_RQT_ENABLE_GEN : if (C_N_INPUT_SCALARS = 0) generate
begin
iscalar_rqt_enable(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
iscalar_rqt_enable_reg(C_MAX_N_ISCALARS-1 downto 0) <= (others => '0');
end generate NO_ISCALAR_RQT_ENABLE_GEN;
ISCALAR_IO_RQT_ENABLE_GEN : if (C_N_INOUT_SCALARS > 0) generate
signal rqt_reg : std_logic_vector(C_N_INOUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
-- reg <= (others => '0');
rqt_reg <= (others => '1');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(ISCALAR_RQT_ENABLE_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INOUT_SCALARS-1 loop
rqt_reg(i) <= S_AXI_WDATA(i+C_MAX_N_ISCALARS) and S_AXI_WSTRB((i+C_MAX_N_ISCALARS)/8);
end loop;
end if;
end if;
end process;
process(rqt_reg)
begin
iscalar_rqt_enable(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
iscalar_rqt_enable_reg(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
iscalar_rqt_enable(C_MAX_N_ISCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_ISCALARS) <= rqt_reg;
iscalar_rqt_enable_reg(C_MAX_N_ISCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_ISCALARS) <= rqt_reg;
end process;
end generate ISCALAR_IO_RQT_ENABLE_GEN;
NO_ISCALAR_IO_RQT_ENABLE_GEN : if (C_N_INOUT_SCALARS = 0) generate
begin
iscalar_rqt_enable(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
iscalar_rqt_enable_reg(C_MAX_N_ISCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
end generate NO_ISCALAR_IO_RQT_ENABLE_GEN;
------------------------------------------------------
-- OUTPUT SCALAR REQUEST ENABLE REGISTER (INDEX 19) --
------------------------------------------------------
OSCALAR_RQT_ENABLE_GEN : if (C_N_OUTPUT_SCALARS > 0) generate
signal reg : std_logic_vector(C_N_OUTPUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
-- reg <= (others => '0');
reg <= (others => '1');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(OSCALAR_RQT_ENABLE_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_OUTPUT_SCALARS-1 loop
reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(reg)
begin
oscalar_rqt_enable(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
oscalar_rqt_enable_reg(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
oscalar_rqt_enable(reg'range) <= reg;
oscalar_rqt_enable_reg(reg'range) <= reg;
end process;
end generate OSCALAR_RQT_ENABLE_GEN;
NO_OSCALAR_RQT_ENABLE_GEN : if (C_N_OUTPUT_SCALARS = 0) generate
begin
oscalar_rqt_enable(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
oscalar_rqt_enable_reg(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
end generate NO_OSCALAR_RQT_ENABLE_GEN;
OSCALAR_IO_RQT_ENABLE_GEN : if (C_N_INOUT_SCALARS > 0) generate
signal os_rqt_reg : std_logic_vector(C_N_INOUT_SCALARS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
-- reg <= (others => '0');
os_rqt_reg <= (others => '1');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel1(OSCALAR_RQT_ENABLE_REG_INDEX-BANK1_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_INOUT_SCALARS-1 loop
os_rqt_reg(i) <= S_AXI_WDATA(i+C_MAX_N_OSCALARS) and S_AXI_WSTRB((i+C_MAX_N_OSCALARS)/8);
end loop;
end if;
end if;
end process;
process(os_rqt_reg)
begin
oscalar_rqt_enable(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
oscalar_rqt_enable_reg(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
oscalar_rqt_enable(C_MAX_N_OSCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_OSCALARS) <= os_rqt_reg;
oscalar_rqt_enable_reg(C_MAX_N_OSCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_OSCALARS) <= os_rqt_reg;
end process;
end generate OSCALAR_IO_RQT_ENABLE_GEN;
NO_OSCALAR_IO_RQT_ENABLE_GEN : if (C_N_INOUT_SCALARS = 0) generate
begin
oscalar_rqt_enable(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
oscalar_rqt_enable_reg(C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
end generate NO_OSCALAR_IO_RQT_ENABLE_GEN;
-------------------------------------------------
-- OUTPUT ARGS LENGTH MODE REGISTER (INDEX 15) --
-------------------------------------------------
OARG_USE_SW_LENGTH_GEN : if (C_N_OUTPUT_ARGS > 0) generate
signal reg : std_logic_vector(C_N_OUTPUT_ARGS-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(reg_sel(OARG_LENGTH_MODE_REG_INDEX) = '1' and wr_data_rdy = '1') then
for i in 0 to C_N_OUTPUT_ARGS-1 loop
reg(i) <= S_AXI_WDATA(i) and S_AXI_WSTRB(i/8);
end loop;
end if;
end if;
end process;
process(reg)
begin
oarg_use_sw_length <= (others => '0');
oarg_length_mode_reg <= (others => '0');
oarg_use_sw_length(reg'range) <= reg;
oarg_length_mode_reg(reg'range) <= reg;
end process;
end generate OARG_USE_SW_LENGTH_GEN;
NO_OARG_USE_SW_LENGTH_GEN : if (C_N_OUTPUT_ARGS = 0) generate
begin
oarg_use_sw_length <= (others => '0');
oarg_length_mode_reg <= (others => '0');
end generate NO_OARG_USE_SW_LENGTH_GEN;
----------------------------
-- BANK 0 OUTPUT DATAPATH --
----------------------------
-- For V6/S6, when the number of channel of the multiplexer is lower than 16 it's more
-- efficient to use a mux with binary selection input.
-- Mux for general purpose register read datapath:
process(rd_reg_addr,
ctrl_reg, status_reg,
ap_iarg_rst_reg, ap_oarg_rst_reg,
iarg_rqt_enable_reg, oarg_rqt_enable_reg,
oarg_length_mode_reg)
variable addr : integer range 0 to N_REGS-1;
begin
mux_gp_regs <= (others => '0');
addr := to_integer(unsigned(rd_reg_addr));
case addr is
when CTRL_REG_INDEX => mux_gp_regs <= ext_32(ctrl_reg);
when STATUS_REG_INDEX => mux_gp_regs <= ext_32(status_reg);
when IARG_RST_REG_INDEX => mux_gp_regs <= ext_32(ap_iarg_rst_reg);
when OARG_RST_REG_INDEX => mux_gp_regs <= ext_32(ap_oarg_rst_reg);
when IARG_RQT_ENABLE_REG_INDEX => mux_gp_regs <= ext_32(iarg_rqt_enable_reg);
when OARG_RQT_ENABLE_REG_INDEX => mux_gp_regs <= ext_32(oarg_rqt_enable_reg);
when OARG_LENGTH_MODE_REG_INDEX => mux_gp_regs <= ext_32(oarg_length_mode_reg);
when others =>
end case;
end process;
----------------------------------
--- BANK 2: INPUT SCALAR FIFOs ---
----------------------------------
process(S_AXI_ACLK, ap_rst_fb)
constant N_ELEMENTS : integer := C_MAX_N_ISCALARS + C_MAX_N_IOSCALARS;
constant BANK_ADDR_WIDTH : integer := log2(N_ELEMENTS);
variable offset : unsigned(BANK_ADDR_WIDTH-1 downto 0);
constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
constant MSB : integer := LSB+BANK_ADDR_WIDTH-1;
begin
if(ap_rst_fb = '1') then
host_iscalar_we <= (others => '0');
--host_oscalar_re(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS -1 downto C_MAX_N_OSCALARS) <= (others => '0');
host_ioscalar_re_i <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
host_iscalar_we <= (others => '0');
--host_oscalar_re(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS -1 downto C_MAX_N_OSCALARS) <= (others => '0');
host_ioscalar_re_i <= (others => '0');
if(iscalar_bank = '1' and wr_start = '1') then
offset := unsigned(axi_addr(MSB downto LSB));
for i in 0 to N_ELEMENTS-1 loop
if(offset = i) then
host_iscalar_we(i) <= '1';
else
host_iscalar_we(i) <= '0';
end if;
end loop;
end if;
if(iscalar_bank = '1' and rd_start = '1') then
offset := unsigned(axi_addr(MSB downto LSB));
for i in C_MAX_N_ISCALARS to C_MAX_N_ISCALARS + C_MAX_N_IOSCALARS-1 loop
if(offset = i) then
--host_oscalar_re(i) <= '1';
host_ioscalar_re_i(i) <= '1';
else
--host_oscalar_re(i) <= '0';
host_ioscalar_re_i(i) <= '0';
end if;
end loop;
end if;
end if;
end process;
host_iscalar_dout <= wr_data_i;
host_oscalar_re <= host_ioscalar_re_i & host_oscalar_re_i;
-----------------------------------
--- BANK 3: OUTPUT SCALAR FIFOs ---
-----------------------------------
process(S_AXI_ACLK, ap_rst_fb)
constant N_ELEMENTS : integer := C_MAX_N_OSCALARS;
constant BANK_ADDR_WIDTH : integer := log2(N_ELEMENTS);
variable offset : unsigned(BANK_ADDR_WIDTH-1 downto 0);
constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
constant MSB : integer := LSB+BANK_ADDR_WIDTH-1;
begin
if(ap_rst_fb = '1') then
--host_oscalar_re(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
host_oscalar_re_i(C_MAX_N_OSCALARS-1 downto 0) <=(others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
--host_oscalar_re(C_MAX_N_OSCALARS-1 downto 0) <= (others => '0');
host_oscalar_re_i(C_MAX_N_OSCALARS-1 downto 0) <=(others => '0');
if(oscalar_bank = '1' and rd_start = '1') then
offset := unsigned(axi_addr(MSB downto LSB));
for i in 0 to N_ELEMENTS-1 loop
if(offset = i) then
--host_oscalar_re(i) <= '1';
host_oscalar_re_i(i) <='1';
else
-- host_oscalar_re(i) <= '0';
host_oscalar_re_i(i) <='0';
end if;
end loop;
end if;
end if;
end process;
-- process(S_AXI_ACLK, ap_rst_fb)
-- constant N_ELEMENTS : integer := C_MAX_N_OSCALARS;
--
-- constant BANK_ADDR_WIDTH : integer := log2(N_ELEMENTS);
-- variable offset : unsigned(BANK_ADDR_WIDTH-1 downto 0);
-- constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
-- constant MSB : integer := LSB+BANK_ADDR_WIDTH-1;
-- begin
-- if(ap_rst_fb = '1') then
-- host_oscalar_re(7 downto 0) <= (others => '0');
-- elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
-- host_oscalar_re(7 downto 0) <= (others => '0');
-- if(oscalar_bank = '1' and rd_start = '1') then
-- offset := unsigned(axi_addr(MSB downto LSB));
-- for i in 0 to 7 loop
-- if(offset = i) then
-- host_oscalar_re(i) <= '1';
-- else
-- host_oscalar_re(i) <= '0';
-- end if;
-- end loop;
-- end if;
-- end if;
-- end process;
-- Output scalar data mux:
process(rd_reg_addr, host_oscalar_din)
begin
mux_oscalar_data <= (others => '0');
for i in 0 to C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 loop
if(i = unsigned(rd_reg_addr)) then
mux_oscalar_data <= ext_32(host_oscalar_din(C_MAX_SCALAR_DWIDTH*(i+1)-1 downto C_MAX_SCALAR_DWIDTH*i));
end if;
end loop;
end process;
-- -----------------------------------
-- --- BANK 2: INOUT SCALAR FIFOs ---
-- -----------------------------------
--
-- process(S_AXI_ACLK, ap_rst_fb)
-- constant N_ELEMENTS : integer := C_MAX_N_OSCALARS + C_MAX_N_IOSCALARS;
--
-- constant BANK_ADDR_WIDTH : integer := log2(N_ELEMENTS);
-- variable offset : unsigned(BANK_ADDR_WIDTH-1 downto 0);
-- constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
-- constant MSB : integer := LSB+BANK_ADDR_WIDTH-1;
-- begin
-- if(ap_rst_fb = '1') then
-- host_oscalar_re(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
-- host_iscalar_we(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
-- elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
-- host_oscalar_re(C_MAX_N_IOSCALARS+C_MAX_N_OSCALARS-1 downto C_MAX_N_OSCALARS) <= (others => '0');
-- host_iscalar_we(C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 downto C_MAX_N_ISCALARS) <= (others => '0');
-- if(iscalar_bank = '1' and wr_start = '1') then
-- offset := unsigned(axi_addr(MSB downto LSB));
-- for i in 8 to N_ELEMENTS-1 loop
-- if(offset = i) then
-- host_iscalar_we(i) <= '1';
-- else
-- host_iscalar_we(i) <= '0';
-- end if;
-- end loop;
-- end if;
-- if(iscalar_bank = '1' and rd_start = '1') then
-- offset := unsigned(axi_addr(MSB downto LSB));
-- for i in 8 to N_ELEMENTS-1 loop
-- if(offset = i) then
-- host_oscalar_re(i) <= '1';
-- else
-- host_oscalar_re(i) <= '0';
-- end if;
-- end loop;
-- end if;
-- end if;
-- end process;
--
------------------------------------------
--- BANK 4: INPUT ARG STATUS REGISTERS ---
------------------------------------------
-- Status registers for input arguments:
process(rd_reg_addr, status_iarg_used, status_iarg_empty_sync, status_iarg_full)
begin
mux_iarg_status <= (others => '0');
for i in 0 to C_MAX_N_IARGS-1 loop
if(i = unsigned(rd_reg_addr)) then
mux_iarg_status(3 downto 0) <= status_iarg_used(4*(i+1)-1 downto 4*i);
mux_iarg_status(4) <= status_iarg_empty_sync(i);
mux_iarg_status(5) <= status_iarg_full(i);
end if;
end loop;
end process;
-------------------------------------------
--- BANK 5: OUTPUT ARG STATUS REGISTERS ---
-------------------------------------------
-- Status registers for output arguments:
process(rd_reg_addr, status_oarg_used, status_oarg_empty, status_oarg_full)
begin
mux_oarg_status <= (others => '0');
for i in 0 to C_MAX_N_OARGS-1 loop
if(i = unsigned(rd_reg_addr)) then
mux_oarg_status(3 downto 0) <= status_oarg_used(4*(i+1)-1 downto 4*i);
mux_oarg_status(4) <= status_oarg_empty(i);
mux_oarg_status(5) <= status_oarg_full(i);
end if;
end loop;
end process;
---------------------------------------------
--- BANK 6: INPUT SCALAR STATUS REGISTERS ---
---------------------------------------------
-- Status registers for input scalars:
process(rd_reg_addr, status_iscalar_used, status_iscalar_empty, status_iscalar_full)
begin
mux_iscalar_status <= (others => '0');
for i in 0 to C_MAX_N_IOSCALARS+C_MAX_N_ISCALARS-1 loop
if(i = unsigned(rd_reg_addr)) then
mux_iscalar_status(3 downto 0) <= status_iscalar_used(4*(i+1)-1 downto 4*i);
mux_iscalar_status(4) <= status_iscalar_empty(i);
mux_iscalar_status(5) <= status_iscalar_full(i);
end if;
end loop;
end process;
----------------------------------------------
--- BANK 7: OUTPUT SCALAR STATUS REGISTERS ---
----------------------------------------------
-- Status registers for output scalars:
process(rd_reg_addr, status_oscalar_used, status_oscalar_empty, status_oscalar_full)
begin
mux_oscalar_status <= (others => '0');
for i in 0 to C_MAX_N_OSCALARS+C_MAX_N_IOSCALARS-1 loop
if(i = unsigned(rd_reg_addr)) then
mux_oscalar_status(3 downto 0) <= status_oscalar_used(4*(i+1)-1 downto 4*i);
mux_oscalar_status(4) <= status_oscalar_empty(i);
mux_oscalar_status(5) <= status_oscalar_full(i);
end if;
end loop;
end process;
-------------------------------------------
--- BANK 8: OUTPUT ARGS SW LENGTH FIFOs ---
--------------------------------------------
process(S_AXI_ACLK, ap_rst_fb)
constant N_ELEMENTS : integer := C_MAX_N_OARGS;
constant BANK_ADDR_WIDTH : integer := log2(N_ELEMENTS);
variable offset : unsigned(BANK_ADDR_WIDTH-1 downto 0);
constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
constant MSB : integer := LSB+BANK_ADDR_WIDTH-1;
begin
if(ap_rst_fb = '1') then
oarg_sw_length_we_i <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
oarg_sw_length_we_i <= (others => '0');
if(oarg_length_bank = '1' and wr_start = '1') then
offset := unsigned(axi_addr(MSB downto LSB));
for i in 0 to N_ELEMENTS-1 loop
if(offset = i) then
oarg_sw_length_we_i(i) <= '1';
else
oarg_sw_length_we_i(i) <= '0';
end if;
end loop;
end if;
end if;
end process;
--oarg_sw_length <= wr_data_i;
oarg_sw_length_we_event <= or_reduce(oarg_sw_length_we_i);
oarg_sw_length_we <= oarg_sw_length_we_i;
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
oarg_sw_length <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(oarg_sw_length_we_event = '1') then
oarg_sw_length <= wr_data_i;
end if;
end if;
end process;
process(S_AXI_ACLK)
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(oarg_sw_length_we_event = '1') then
oarg_sw_length_m2s <= wr_data_i;
end if;
end if;
end process;
-------------------------------------------
--- BANK 9: OUTPUT ARGS TDEST REGISTERS ---
-------------------------------------------
OARG_TDEST_GEN : if (C_N_OUTPUT_ARGS > 0) generate
signal oarg_tdest_reg_we : std_logic_vector(N_REGS-1 downto 0);
signal oarg_tdest_reg : std_logic_vector(C_N_OUTPUT_ARGS*C_M_AXIS_TDEST_WIDTH-1 downto 0);
begin
process(S_AXI_ACLK, ap_rst_fb)
constant N_ELEMENTS : integer := C_MAX_N_OARGS;
constant BANK_ADDR_WIDTH : integer := log2(N_ELEMENTS);
variable offset : unsigned(BANK_ADDR_WIDTH-1 downto 0);
constant LSB : integer := log2(C_S_AXI_DATA_WIDTH/8);
constant MSB : integer := LSB+BANK_ADDR_WIDTH-1;
begin
if(ap_rst_fb = '1') then
oarg_tdest_reg_we <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
oarg_tdest_reg_we <= (others => '0');
if(oarg_tdest_bank = '1' and wr_start = '1') then
offset := unsigned(axi_addr(MSB downto LSB));
for i in 0 to N_ELEMENTS-1 loop
if(offset = i) then
oarg_tdest_reg_we(i) <= '1';
else
oarg_tdest_reg_we(i) <= '0';
end if;
end loop;
end if;
end if;
end process;
process(S_AXI_ACLK, ap_rst_fb)
begin
if(ap_rst_fb = '1') then
oarg_tdest_reg <= (others => '0');
elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
for i in 0 to C_N_OUTPUT_ARGS-1 loop
if(oarg_tdest_reg_we(i) = '1') then
oarg_tdest_reg(C_M_AXIS_TDEST_WIDTH*(i+1)-1 downto C_M_AXIS_TDEST_WIDTH*i) <=
S_AXI_WDATA(C_M_AXIS_TDEST_WIDTH-1 downto 0);
end if;
end loop;
end if;
end process;
process(rd_reg_addr, oarg_tdest_reg)
variable addr : integer range 0 to N_REGS-1;
begin
mux_oarg_tdest_regs <= (others => '0');
addr := to_integer(unsigned(rd_reg_addr));
for i in 0 to C_N_OUTPUT_ARGS-1 loop
if(i = addr) then
mux_oarg_tdest_regs <= ext_32(oarg_tdest_reg(C_M_AXIS_TDEST_WIDTH*(i+1)-1 downto C_M_AXIS_TDEST_WIDTH*i));
end if;
end loop;
end process;
process(oarg_tdest_reg)
begin
host_oarg_tdest <= (others => '0');
host_oarg_tdest(C_N_OUTPUT_ARGS*C_M_AXIS_TDEST_WIDTH-1 downto 0) <= oarg_tdest_reg;
end process;
end generate OARG_TDEST_GEN;
NO_OARG_TDEST_GEN : if (C_N_OUTPUT_ARGS = 0) generate
begin
mux_oarg_tdest_regs <= (others => '0');
host_oarg_tdest <= (others => '0');
end generate NO_OARG_TDEST_GEN;
---------------------
-- OUTPUT DATAPATH --
---------------------
process(S_AXI_ACLK)
variable int_addr : integer range 0 to (2**BANK_ADDR_WIDTH)-1;
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if(axi_rst = '1' or (rd_data_vld and S_AXI_RREADY) = '1') then
rd_data <= (others => '0');
elsif(rd_data_ce = '1') then
int_addr := to_integer(unsigned(rd_bank_addr));
case int_addr is
when GP_REGS_BANK_ADDR => rd_data <= mux_gp_regs;
when OSCALAR_BANK_ADDR => rd_data <= mux_oscalar_data;
when ISCALAR_BANK_ADDR => rd_data <= mux_oscalar_data;
when IARG_STATUS_BANK_ADDR => rd_data <= mux_iarg_status;
when OARG_STATUS_BANK_ADDR => rd_data <= mux_oarg_status;
when ISCALAR_STATUS_BANK_ADDR => rd_data <= mux_iscalar_status;
when OSCALAR_STATUS_BANK_ADDR => rd_data <= mux_oscalar_status;
when OARG_TDEST_BANK_ADDR => rd_data <= mux_oarg_tdest_regs;
when others => rd_data <= (others => '0');
end case;
end if;
end if;
end process;
end rtl;
| mit |
agural/FPGA-Oscilloscope | osc/lpm_compare3.vhd | 1 | 4424 | -- megafunction wizard: %LPM_COMPARE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_COMPARE
-- ============================================================
-- File Name: lpm_compare3.vhd
-- Megafunction Name(s):
-- LPM_COMPARE
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_compare3 IS
PORT
(
dataa : IN STD_LOGIC_VECTOR (5 DOWNTO 0);
aeb : OUT STD_LOGIC
);
END lpm_compare3;
ARCHITECTURE SYN OF lpm_compare3 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1_bv : BIT_VECTOR (5 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (5 DOWNTO 0);
COMPONENT lpm_compare
GENERIC (
lpm_hint : STRING;
lpm_representation : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
aeb : OUT STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (5 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (5 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire1_bv(5 DOWNTO 0) <= "110010";
sub_wire1 <= To_stdlogicvector(sub_wire1_bv);
aeb <= sub_wire0;
LPM_COMPARE_component : LPM_COMPARE
GENERIC MAP (
lpm_hint => "ONE_INPUT_IS_CONSTANT=YES",
lpm_representation => "UNSIGNED",
lpm_type => "LPM_COMPARE",
lpm_width => 6
)
PORT MAP (
dataa => dataa,
datab => sub_wire1,
aeb => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AeqB NUMERIC "1"
-- Retrieval info: PRIVATE: AgeB NUMERIC "0"
-- Retrieval info: PRIVATE: AgtB NUMERIC "0"
-- Retrieval info: PRIVATE: AleB NUMERIC "0"
-- Retrieval info: PRIVATE: AltB NUMERIC "0"
-- Retrieval info: PRIVATE: AneB NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
-- Retrieval info: PRIVATE: Latency NUMERIC "0"
-- Retrieval info: PRIVATE: PortBValue NUMERIC "50"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0"
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
-- Retrieval info: PRIVATE: clken NUMERIC "0"
-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1"
-- Retrieval info: PRIVATE: nBit NUMERIC "6"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES"
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "6"
-- Retrieval info: USED_PORT: aeb 0 0 0 0 OUTPUT NODEFVAL "aeb"
-- Retrieval info: USED_PORT: dataa 0 0 6 0 INPUT NODEFVAL "dataa[5..0]"
-- Retrieval info: CONNECT: @dataa 0 0 6 0 dataa 0 0 6 0
-- Retrieval info: CONNECT: @datab 0 0 6 0 50 0 0 6 0
-- Retrieval info: CONNECT: aeb 0 0 0 0 @aeb 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
| mit |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ipshared/xilinx.com/blk_mem_gen_v8_3/simulation/blk_mem_gen_v8_3.vhd | 13 | 222214 | -------------------------------------------------------------------------------
-- (c) Copyright 2006 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
--
-- Filename: blk_mem_gen_v8_3_1.vhd
--
-- Description:
-- This file is the VHDL behvarial model for the
-- Block Memory Generator Core.
--
-------------------------------------------------------------------------------
-- Author: Xilinx
--
-- History: January 11, 2006: Initial revision
-- June 11, 2007 : Added independent register stages for
-- Port A and Port B (IP1_Jm/v2.5)
-- August 28, 2007 : Added mux pipeline stages feature (IP2_Jm/v2.6)
-- April 07, 2009 : Added support for Spartan-6 and Virtex-6
-- features, including the following:
-- (i) error injection, detection and/or correction
-- (ii) reset priority
-- (iii) special reset behavior
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY STD;
USE STD.TEXTIO.ALL;
ENTITY blk_mem_axi_regs_fwd_v8_3 IS
GENERIC(
C_DATA_WIDTH : INTEGER := 8
);
PORT (
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
S_VALID : IN STD_LOGIC;
S_READY : OUT STD_LOGIC;
S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
M_VALID : OUT STD_LOGIC;
M_READY : IN STD_LOGIC;
M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0)
);
END ENTITY blk_mem_axi_regs_fwd_v8_3;
ARCHITECTURE axi_regs_fwd_arch OF blk_mem_axi_regs_fwd_v8_3 IS
SIGNAL STORAGE_DATA : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL S_READY_I : STD_LOGIC := '0';
SIGNAL M_VALID_I : STD_LOGIC := '0';
SIGNAL ARESET_D : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');-- Reset delay register
BEGIN
--assign local signal to its output signal
S_READY <= S_READY_I;
M_VALID <= M_VALID_I;
PROCESS(ACLK)
BEGIN
IF(ACLK'event AND ACLK = '1') THEN
ARESET_D <= ARESET_D(0) & ARESET;
END IF;
END PROCESS;
--Save payload data whenever we have a transaction on the slave side
PROCESS(ACLK, ARESET)
BEGIN
IF (ARESET = '1') THEN
STORAGE_DATA <= (OTHERS => '0');
ELSIF(ACLK'event AND ACLK = '1') THEN
IF(S_VALID = '1' AND S_READY_I = '1') THEN
STORAGE_DATA <= S_PAYLOAD_DATA;
END IF;
END IF;
END PROCESS;
M_PAYLOAD_DATA <= STORAGE_DATA;
-- M_Valid set to high when we have a completed transfer on slave side
-- Is removed on a M_READY except if we have a new transfer on the slave side
PROCESS(ACLK,ARESET)
BEGIN
IF (ARESET_D /= "00") THEN
M_VALID_I <= '0';
ELSIF(ACLK'event AND ACLK = '1') THEN
IF (S_VALID = '1') THEN
--Always set M_VALID_I when slave side is valid
M_VALID_I <= '1';
ELSIF (M_READY = '1') THEN
--Clear (or keep) when no slave side is valid but master side is ready
M_VALID_I <= '0';
END IF;
END IF;
END PROCESS;
--Slave Ready is either when Master side drives M_READY or we have space in our storage data
S_READY_I <= (M_READY OR (NOT M_VALID_I)) AND NOT(OR_REDUCE(ARESET_D));
END axi_regs_fwd_arch;
-------------------------------------------------------------------------------
-- Description:
-- This is the behavioral model of write_wrapper for the
-- Block Memory Generator Core.
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY blk_mem_axi_write_wrapper_beh IS
GENERIC (
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full;
C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE;
C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM;
C_WRITE_DEPTH_A : integer := 0;
C_AXI_AWADDR_WIDTH : integer := 32;
C_ADDRA_WIDTH : integer := 12;
C_AXI_WDATA_WIDTH : integer := 32;
C_HAS_AXI_ID : integer := 0;
C_AXI_ID_WIDTH : integer := 4;
-- AXI OUTSTANDING WRITES
C_AXI_OS_WR : integer := 2
);
PORT (
-- AXI Global Signals
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
-- AXI Full/Lite Slave Write Channel (write side)
S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN std_logic := '0';
S_AXI_AWREADY : OUT std_logic := '0';
S_AXI_WVALID : IN std_logic := '0';
S_AXI_WREADY : OUT std_logic := '0';
S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BVALID : OUT std_logic := '0';
S_AXI_BREADY : IN std_logic := '0';
-- Signals for BMG interface
S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0);
S_AXI_WR_EN : OUT std_logic:= '0'
);
END blk_mem_axi_write_wrapper_beh;
ARCHITECTURE axi_write_wrap_arch OF blk_mem_axi_write_wrapper_beh IS
------------------------------------------------------------------------------
-- FUNCTION: if_then_else
-- This function is used to implement an IF..THEN when such a statement is not
-- allowed.
------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC_VECTOR;
false_case : STD_LOGIC_VECTOR)
RETURN STD_LOGIC_VECTOR IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STRING;
false_case : STRING)
RETURN STRING IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
CONSTANT FLOP_DELAY : TIME := 100 PS;
CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001");
CONSTANT C_RANGE : INTEGER := if_then_else(C_AXI_WDATA_WIDTH=8,0,
if_then_else((C_AXI_WDATA_WIDTH=16),1,
if_then_else((C_AXI_WDATA_WIDTH=32),2,
if_then_else((C_AXI_WDATA_WIDTH=64),3,
if_then_else((C_AXI_WDATA_WIDTH=128),4,
if_then_else((C_AXI_WDATA_WIDTH=256),5,0))))));
SIGNAL bvalid_c : std_logic := '0';
SIGNAL bready_timeout_c : std_logic := '0';
SIGNAL bvalid_rd_cnt_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL bvalid_r : std_logic := '0';
SIGNAL bvalid_count_r : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL awaddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),
C_AXI_AWADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0);
SIGNAL bvalid_wr_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL bvalid_rd_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL w_last_c : std_logic := '0';
SIGNAL addr_en_c : std_logic := '0';
SIGNAL incr_addr_c : std_logic := '0';
SIGNAL aw_ready_r : std_logic := '0';
SIGNAL dec_alen_c : std_logic := '0';
SIGNAL awlen_cntr_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '1');
SIGNAL awlen_int : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL awburst_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL total_bytes : integer := 0;
SIGNAL wrap_boundary : integer := 0;
SIGNAL wrap_base_addr : integer := 0;
SIGNAL num_of_bytes_c : integer := 0;
SIGNAL num_of_bytes_r : integer := 0;
-- Array to store BIDs
TYPE id_array IS ARRAY (3 DOWNTO 0) OF std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
SIGNAL axi_bid_array : id_array := (others => (others => '0'));
COMPONENT write_netlist
GENERIC(
C_AXI_TYPE : integer
);
PORT(
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
S_AXI_AWVALID : IN std_logic;
aw_ready_r : OUT std_logic;
S_AXI_WVALID : IN std_logic;
S_AXI_WREADY : OUT std_logic;
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN std_logic;
S_AXI_WR_EN : OUT std_logic;
w_last_c : IN std_logic;
bready_timeout_c : IN std_logic;
addr_en_c : OUT std_logic;
incr_addr_c : OUT std_logic;
bvalid_c : OUT std_logic
);
END COMPONENT write_netlist;
BEGIN
---------------------------------------
--AXI WRITE FSM COMPONENT INSTANTIATION
---------------------------------------
axi_wr_fsm : write_netlist
GENERIC MAP (
C_AXI_TYPE => C_AXI_TYPE
)
PORT MAP (
S_ACLK => S_ACLK,
S_ARESETN => S_ARESETN,
S_AXI_AWVALID => S_AXI_AWVALID,
aw_ready_r => aw_ready_r,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BVALID => OPEN,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_WR_EN => S_AXI_WR_EN,
w_last_c => w_last_c,
bready_timeout_c => bready_timeout_c,
addr_en_c => addr_en_c,
incr_addr_c => incr_addr_c,
bvalid_c => bvalid_c
);
--Wrap Address boundary calculation
num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWSIZE,"000"));
total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(awlen_int)+1);
wrap_base_addr <= (conv_integer(awaddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes);
wrap_boundary <= wrap_base_addr+total_bytes;
---------------------------------------------------------------------------
-- BMG address generation
---------------------------------------------------------------------------
P_addr_reg: PROCESS (S_ACLK,S_ARESETN)
BEGIN
IF (S_ARESETN = '1') THEN
awaddr_reg <= (OTHERS => '0');
num_of_bytes_r <= 0;
awburst_int <= (OTHERS => '0');
ELSIF (S_ACLK'event AND S_ACLK = '1') THEN
IF (addr_en_c = '1') THEN
awaddr_reg <= S_AXI_AWADDR AFTER FLOP_DELAY;
num_of_bytes_r <= num_of_bytes_c;
awburst_int <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWBURST,"01");
ELSIF (incr_addr_c = '1') THEN
IF (awburst_int = "10") THEN
IF(conv_integer(awaddr_reg) = (wrap_boundary-num_of_bytes_r)) THEN
awaddr_reg <= conv_std_logic_vector(wrap_base_addr,C_AXI_AWADDR_WIDTH);
ELSE
awaddr_reg <= awaddr_reg + num_of_bytes_r;
END IF;
ELSIF (awburst_int = "01" OR awburst_int = "11") THEN
awaddr_reg <= awaddr_reg + num_of_bytes_r;
END IF;
END IF;
END IF;
END PROCESS P_addr_reg;
S_AXI_AWADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),
awaddr_reg(C_AXI_AWADDR_WIDTH-1 DOWNTO C_RANGE),awaddr_reg);
---------------------------------------------------------------------------
-- AXI wlast generation
---------------------------------------------------------------------------
P_addr_cnt: PROCESS (S_ACLK, S_ARESETN)
BEGIN
IF (S_ARESETN = '1') THEN
awlen_cntr_r <= (OTHERS => '1');
awlen_int <= (OTHERS => '0');
ELSIF (S_ACLK'event AND S_ACLK = '1') THEN
IF (addr_en_c = '1') THEN
awlen_int <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY;
awlen_cntr_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY;
ELSIF (dec_alen_c = '1') THEN
awlen_cntr_r <= awlen_cntr_r - ONE AFTER FLOP_DELAY;
END IF;
END IF;
END PROCESS P_addr_cnt;
w_last_c <= '1' WHEN (awlen_cntr_r = "00000000" AND S_AXI_WVALID = '1') ELSE '0';
dec_alen_c <= (incr_addr_c OR w_last_c);
---------------------------------------------------------------------------
-- Generation of bvalid counter for outstanding transactions
---------------------------------------------------------------------------
P_b_valid_os_r: PROCESS (S_ACLK, S_ARESETN)
BEGIN
IF (S_ARESETN = '1') THEN
bvalid_count_r <= (OTHERS => '0');
ELSIF (S_ACLK'event AND S_ACLK='1') THEN
-- bvalid_count_r generation
IF (bvalid_c = '1' AND bvalid_r = '1' AND S_AXI_BREADY = '1') THEN
bvalid_count_r <= bvalid_count_r AFTER FLOP_DELAY;
ELSIF (bvalid_c = '1') THEN
bvalid_count_r <= bvalid_count_r + "01" AFTER FLOP_DELAY;
ELSIF (bvalid_r = '1' AND S_AXI_BREADY = '1' AND bvalid_count_r /= "0") THEN
bvalid_count_r <= bvalid_count_r - "01" AFTER FLOP_DELAY;
END IF;
END IF;
END PROCESS P_b_valid_os_r ;
---------------------------------------------------------------------------
-- Generation of bvalid when BID is used
---------------------------------------------------------------------------
gaxi_bvalid_id_r:IF (C_HAS_AXI_ID = 1) GENERATE
SIGNAL bvalid_d1_c : std_logic := '0';
BEGIN
P_b_valid_r: PROCESS (S_ACLK, S_ARESETN)
BEGIN
IF (S_ARESETN = '1') THEN
bvalid_r <= '0';
bvalid_d1_c <= '0';
ELSIF (S_ACLK'event AND S_ACLK='1') THEN
-- Delay the generation o bvalid_r for generation for BID
bvalid_d1_c <= bvalid_c;
--external bvalid signal generation
IF (bvalid_d1_c = '1') THEN
bvalid_r <= '1' AFTER FLOP_DELAY;
ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN
bvalid_r <= '0' AFTER FLOP_DELAY;
END IF;
END IF;
END PROCESS P_b_valid_r ;
END GENERATE gaxi_bvalid_id_r;
---------------------------------------------------------------------------
-- Generation of bvalid when BID is not used
---------------------------------------------------------------------------
gaxi_bvalid_noid_r:IF (C_HAS_AXI_ID = 0) GENERATE
P_b_valid_r: PROCESS (S_ACLK, S_ARESETN)
BEGIN
IF (S_ARESETN = '1') THEN
bvalid_r <= '0';
ELSIF (S_ACLK'event AND S_ACLK='1') THEN
--external bvalid signal generation
IF (bvalid_c = '1') THEN
bvalid_r <= '1' AFTER FLOP_DELAY;
ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN
bvalid_r <= '0' AFTER FLOP_DELAY;
END IF;
END IF;
END PROCESS P_b_valid_r ;
END GENERATE gaxi_bvalid_noid_r;
---------------------------------------------------------------------------
-- Generation of Bready timeout
---------------------------------------------------------------------------
P_brdy_tout_c: PROCESS (bvalid_count_r)
BEGIN
-- bready_timeout_c generation
IF(conv_integer(bvalid_count_r) = C_AXI_OS_WR-1) THEN
bready_timeout_c <= '1';
ELSE
bready_timeout_c <= '0';
END IF;
END PROCESS P_brdy_tout_c;
---------------------------------------------------------------------------
-- Generation of BID
---------------------------------------------------------------------------
gaxi_bid_gen:IF (C_HAS_AXI_ID = 1) GENERATE
P_bid_gen: PROCESS (S_ACLK,S_ARESETN)
BEGIN
IF (S_ARESETN='1') THEN
bvalid_wr_cnt_r <= (OTHERS => '0');
bvalid_rd_cnt_r <= (OTHERS => '0');
ELSIF (S_ACLK'event AND S_ACLK='1') THEN
-- STORE AWID IN AN ARRAY
IF(bvalid_c = '1') THEN
bvalid_wr_cnt_r <= bvalid_wr_cnt_r + "01";
END IF;
-- GENERATE BID FROM AWID ARRAY
bvalid_rd_cnt_r <= bvalid_rd_cnt_c AFTER FLOP_DELAY;
S_AXI_BID <= axi_bid_array(conv_integer(bvalid_rd_cnt_c));
END IF;
END PROCESS P_bid_gen;
bvalid_rd_cnt_c <= bvalid_rd_cnt_r + "01" WHEN (bvalid_r = '1' AND S_AXI_BREADY = '1') ELSE bvalid_rd_cnt_r;
---------------------------------------------------------------------------
-- Storing AWID for generation of BID
---------------------------------------------------------------------------
P_awid_reg:PROCESS (S_ACLK)
BEGIN
IF (S_ACLK'event AND S_ACLK='1') THEN
IF(aw_ready_r = '1' AND S_AXI_AWVALID = '1') THEN
axi_bid_array(conv_integer(bvalid_wr_cnt_r)) <= S_AXI_AWID;
END IF;
END IF;
END PROCESS P_awid_reg;
END GENERATE gaxi_bid_gen;
S_AXI_BVALID <= bvalid_r;
S_AXI_AWREADY <= aw_ready_r;
END axi_write_wrap_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity write_netlist is
GENERIC(
C_AXI_TYPE : integer
);
port (
S_ACLK : in STD_LOGIC := '0';
S_ARESETN : in STD_LOGIC := '0';
S_AXI_AWVALID : in STD_LOGIC := '0';
S_AXI_WVALID : in STD_LOGIC := '0';
S_AXI_BREADY : in STD_LOGIC := '0';
w_last_c : in STD_LOGIC := '0';
bready_timeout_c : in STD_LOGIC := '0';
aw_ready_r : out STD_LOGIC;
S_AXI_WREADY : out STD_LOGIC;
S_AXI_BVALID : out STD_LOGIC;
S_AXI_WR_EN : out STD_LOGIC;
addr_en_c : out STD_LOGIC;
incr_addr_c : out STD_LOGIC;
bvalid_c : out STD_LOGIC
);
end write_netlist;
architecture STRUCTURE of write_netlist is
component beh_muxf7
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
COMPONENT beh_ff_pre
generic(
INIT : std_logic := '1'
);
port(
Q : out std_logic;
C : in std_logic;
D : in std_logic;
PRE : in std_logic
);
end COMPONENT beh_ff_pre;
COMPONENT beh_ff_ce
generic(
INIT : std_logic := '0'
);
port(
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
CLR : in std_logic;
D : in std_logic
);
end COMPONENT beh_ff_ce;
COMPONENT beh_ff_clr
generic(
INIT : std_logic := '0'
);
port(
Q : out std_logic;
C : in std_logic;
CLR : in std_logic;
D : in std_logic
);
end COMPONENT beh_ff_clr;
COMPONENT STATE_LOGIC
generic(
INIT : std_logic_vector(63 downto 0) := X"0000000000000000"
);
port(
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic;
I4 : in std_logic;
I5 : in std_logic
);
end COMPONENT STATE_LOGIC;
BEGIN
---------------------------------------------------------------------------
-- AXI LITE
---------------------------------------------------------------------------
gbeh_axi_lite_sm: IF (C_AXI_TYPE = 0 ) GENERATE
signal w_ready_r_7 : STD_LOGIC;
signal w_ready_c : STD_LOGIC;
signal aw_ready_c : STD_LOGIC;
signal NlwRenamedSignal_bvalid_c : STD_LOGIC;
signal NlwRenamedSignal_incr_addr_c : STD_LOGIC;
signal present_state_FSM_FFd3_13 : STD_LOGIC;
signal present_state_FSM_FFd2_14 : STD_LOGIC;
signal present_state_FSM_FFd1_15 : STD_LOGIC;
signal present_state_FSM_FFd4_16 : STD_LOGIC;
signal present_state_FSM_FFd4_In : STD_LOGIC;
signal present_state_FSM_FFd3_In : STD_LOGIC;
signal present_state_FSM_FFd2_In : STD_LOGIC;
signal present_state_FSM_FFd1_In : STD_LOGIC;
signal present_state_FSM_FFd4_In1_21 : STD_LOGIC;
signal Mmux_aw_ready_c : STD_LOGIC_VECTOR ( 0 downto 0 );
begin
S_AXI_WREADY <= w_ready_r_7;
S_AXI_BVALID <= NlwRenamedSignal_incr_addr_c;
S_AXI_WR_EN <= NlwRenamedSignal_bvalid_c;
incr_addr_c <= NlwRenamedSignal_incr_addr_c;
bvalid_c <= NlwRenamedSignal_bvalid_c;
NlwRenamedSignal_incr_addr_c <= '0';
aw_ready_r_2 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => aw_ready_c,
Q => aw_ready_r
);
w_ready_r : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => w_ready_c,
Q => w_ready_r_7
);
present_state_FSM_FFd4 : beh_ff_pre
generic map(
INIT => '1'
)
port map (
C => S_ACLK,
D => present_state_FSM_FFd4_In,
PRE => S_ARESETN,
Q => present_state_FSM_FFd4_16
);
present_state_FSM_FFd3 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd3_In,
Q => present_state_FSM_FFd3_13
);
present_state_FSM_FFd2 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd2_In,
Q => present_state_FSM_FFd2_14
);
present_state_FSM_FFd1 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd1_In,
Q => present_state_FSM_FFd1_15
);
present_state_FSM_FFd3_In1 : STATE_LOGIC
generic map(
INIT => X"0000000055554440"
)
port map (
I0 => S_AXI_WVALID,
I1 => S_AXI_AWVALID,
I2 => present_state_FSM_FFd2_14,
I3 => present_state_FSM_FFd4_16,
I4 => present_state_FSM_FFd3_13,
I5 => '0',
O => present_state_FSM_FFd3_In
);
present_state_FSM_FFd2_In1 : STATE_LOGIC
generic map(
INIT => X"0000000088880800"
)
port map (
I0 => S_AXI_AWVALID,
I1 => S_AXI_WVALID,
I2 => bready_timeout_c,
I3 => present_state_FSM_FFd2_14,
I4 => present_state_FSM_FFd4_16,
I5 => '0',
O => present_state_FSM_FFd2_In
);
Mmux_addr_en_c_0_1 : STATE_LOGIC
generic map(
INIT => X"00000000AAAA2000"
)
port map (
I0 => S_AXI_AWVALID,
I1 => bready_timeout_c,
I2 => present_state_FSM_FFd2_14,
I3 => S_AXI_WVALID,
I4 => present_state_FSM_FFd4_16,
I5 => '0',
O => addr_en_c
);
Mmux_w_ready_c_0_1 : STATE_LOGIC
generic map(
INIT => X"F5F07570F5F05500"
)
port map (
I0 => S_AXI_WVALID,
I1 => bready_timeout_c,
I2 => S_AXI_AWVALID,
I3 => present_state_FSM_FFd3_13,
I4 => present_state_FSM_FFd4_16,
I5 => present_state_FSM_FFd2_14,
O => w_ready_c
);
present_state_FSM_FFd1_In1 : STATE_LOGIC
generic map(
INIT => X"88808880FFFF8880"
)
port map (
I0 => S_AXI_WVALID,
I1 => bready_timeout_c,
I2 => present_state_FSM_FFd3_13,
I3 => present_state_FSM_FFd2_14,
I4 => present_state_FSM_FFd1_15,
I5 => S_AXI_BREADY,
O => present_state_FSM_FFd1_In
);
Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC
generic map(
INIT => X"00000000000000A8"
)
port map (
I0 => S_AXI_WVALID,
I1 => present_state_FSM_FFd2_14,
I2 => present_state_FSM_FFd3_13,
I3 => '0',
I4 => '0',
I5 => '0',
O => NlwRenamedSignal_bvalid_c
);
present_state_FSM_FFd4_In1 : STATE_LOGIC
generic map(
INIT => X"2F0F27072F0F2200"
)
port map (
I0 => S_AXI_WVALID,
I1 => bready_timeout_c,
I2 => S_AXI_AWVALID,
I3 => present_state_FSM_FFd3_13,
I4 => present_state_FSM_FFd4_16,
I5 => present_state_FSM_FFd2_14,
O => present_state_FSM_FFd4_In1_21
);
present_state_FSM_FFd4_In2 : STATE_LOGIC
generic map(
INIT => X"00000000000000F8"
)
port map (
I0 => present_state_FSM_FFd1_15,
I1 => S_AXI_BREADY,
I2 => present_state_FSM_FFd4_In1_21,
I3 => '0',
I4 => '0',
I5 => '0',
O => present_state_FSM_FFd4_In
);
Mmux_aw_ready_c_0_1 : STATE_LOGIC
generic map(
INIT => X"7535753575305500"
)
port map (
I0 => S_AXI_AWVALID,
I1 => bready_timeout_c,
I2 => S_AXI_WVALID,
I3 => present_state_FSM_FFd4_16,
I4 => present_state_FSM_FFd3_13,
I5 => present_state_FSM_FFd2_14,
O => Mmux_aw_ready_c(0)
);
Mmux_aw_ready_c_0_2 : STATE_LOGIC
generic map(
INIT => X"00000000000000F8"
)
port map (
I0 => present_state_FSM_FFd1_15,
I1 => S_AXI_BREADY,
I2 => Mmux_aw_ready_c(0),
I3 => '0',
I4 => '0',
I5 => '0',
O => aw_ready_c
);
END GENERATE gbeh_axi_lite_sm;
---------------------------------------------------------------------------
-- AXI FULL
---------------------------------------------------------------------------
gbeh_axi_full_sm: IF (C_AXI_TYPE = 1 ) GENERATE
signal w_ready_r_8 : STD_LOGIC;
signal w_ready_c : STD_LOGIC;
signal aw_ready_c : STD_LOGIC;
signal NlwRenamedSig_OI_bvalid_c : STD_LOGIC;
signal present_state_FSM_FFd1_16 : STD_LOGIC;
signal present_state_FSM_FFd4_17 : STD_LOGIC;
signal present_state_FSM_FFd3_18 : STD_LOGIC;
signal present_state_FSM_FFd2_19 : STD_LOGIC;
signal present_state_FSM_FFd4_In : STD_LOGIC;
signal present_state_FSM_FFd3_In : STD_LOGIC;
signal present_state_FSM_FFd2_In : STD_LOGIC;
signal present_state_FSM_FFd1_In : STD_LOGIC;
signal present_state_FSM_FFd2_In1_24 : STD_LOGIC;
signal present_state_FSM_FFd4_In1_25 : STD_LOGIC;
signal N2 : STD_LOGIC;
signal N4 : STD_LOGIC;
begin
S_AXI_WREADY <= w_ready_r_8;
bvalid_c <= NlwRenamedSig_OI_bvalid_c;
S_AXI_BVALID <= '0';
aw_ready_r_2 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => aw_ready_c,
Q => aw_ready_r
);
w_ready_r : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => w_ready_c,
Q => w_ready_r_8
);
present_state_FSM_FFd4 : beh_ff_pre
generic map(
INIT => '1'
)
port map (
C => S_ACLK,
D => present_state_FSM_FFd4_In,
PRE => S_ARESETN,
Q => present_state_FSM_FFd4_17
);
present_state_FSM_FFd3 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd3_In,
Q => present_state_FSM_FFd3_18
);
present_state_FSM_FFd2 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd2_In,
Q => present_state_FSM_FFd2_19
);
present_state_FSM_FFd1 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd1_In,
Q => present_state_FSM_FFd1_16
);
present_state_FSM_FFd3_In1 : STATE_LOGIC
generic map(
INIT => X"0000000000005540"
)
port map (
I0 => S_AXI_WVALID,
I1 => present_state_FSM_FFd4_17,
I2 => S_AXI_AWVALID,
I3 => present_state_FSM_FFd3_18,
I4 => '0',
I5 => '0',
O => present_state_FSM_FFd3_In
);
Mmux_aw_ready_c_0_2 : STATE_LOGIC
generic map(
INIT => X"BF3FBB33AF0FAA00"
)
port map (
I0 => S_AXI_BREADY,
I1 => bready_timeout_c,
I2 => S_AXI_AWVALID,
I3 => present_state_FSM_FFd1_16,
I4 => present_state_FSM_FFd4_17,
I5 => NlwRenamedSig_OI_bvalid_c,
O => aw_ready_c
);
Mmux_addr_en_c_0_1 : STATE_LOGIC
generic map(
INIT => X"AAAAAAAA20000000"
)
port map (
I0 => S_AXI_AWVALID,
I1 => bready_timeout_c,
I2 => present_state_FSM_FFd2_19,
I3 => S_AXI_WVALID,
I4 => w_last_c,
I5 => present_state_FSM_FFd4_17,
O => addr_en_c
);
Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC
generic map(
INIT => X"00000000000000A8"
)
port map (
I0 => S_AXI_WVALID,
I1 => present_state_FSM_FFd2_19,
I2 => present_state_FSM_FFd3_18,
I3 => '0',
I4 => '0',
I5 => '0',
O => S_AXI_WR_EN
);
Mmux_incr_addr_c_0_1 : STATE_LOGIC
generic map(
INIT => X"0000000000002220"
)
port map (
I0 => S_AXI_WVALID,
I1 => w_last_c,
I2 => present_state_FSM_FFd2_19,
I3 => present_state_FSM_FFd3_18,
I4 => '0',
I5 => '0',
O => incr_addr_c
);
Mmux_aw_ready_c_0_11 : STATE_LOGIC
generic map(
INIT => X"0000000000008880"
)
port map (
I0 => S_AXI_WVALID,
I1 => w_last_c,
I2 => present_state_FSM_FFd2_19,
I3 => present_state_FSM_FFd3_18,
I4 => '0',
I5 => '0',
O => NlwRenamedSig_OI_bvalid_c
);
present_state_FSM_FFd2_In1 : STATE_LOGIC
generic map(
INIT => X"000000000000D5C0"
)
port map (
I0 => w_last_c,
I1 => S_AXI_AWVALID,
I2 => present_state_FSM_FFd4_17,
I3 => present_state_FSM_FFd3_18,
I4 => '0',
I5 => '0',
O => present_state_FSM_FFd2_In1_24
);
present_state_FSM_FFd2_In2 : STATE_LOGIC
generic map(
INIT => X"FFFFAAAA08AAAAAA"
)
port map (
I0 => present_state_FSM_FFd2_19,
I1 => S_AXI_AWVALID,
I2 => bready_timeout_c,
I3 => w_last_c,
I4 => S_AXI_WVALID,
I5 => present_state_FSM_FFd2_In1_24,
O => present_state_FSM_FFd2_In
);
present_state_FSM_FFd4_In1 : STATE_LOGIC
generic map(
INIT => X"00C0004000C00000"
)
port map (
I0 => S_AXI_AWVALID,
I1 => w_last_c,
I2 => S_AXI_WVALID,
I3 => bready_timeout_c,
I4 => present_state_FSM_FFd3_18,
I5 => present_state_FSM_FFd2_19,
O => present_state_FSM_FFd4_In1_25
);
present_state_FSM_FFd4_In2 : STATE_LOGIC
generic map(
INIT => X"00000000FFFF88F8"
)
port map (
I0 => present_state_FSM_FFd1_16,
I1 => S_AXI_BREADY,
I2 => present_state_FSM_FFd4_17,
I3 => S_AXI_AWVALID,
I4 => present_state_FSM_FFd4_In1_25,
I5 => '0',
O => present_state_FSM_FFd4_In
);
Mmux_w_ready_c_0_SW0 : STATE_LOGIC
generic map(
INIT => X"0000000000000007"
)
port map (
I0 => w_last_c,
I1 => S_AXI_WVALID,
I2 => '0',
I3 => '0',
I4 => '0',
I5 => '0',
O => N2
);
Mmux_w_ready_c_0_Q : STATE_LOGIC
generic map(
INIT => X"FABAFABAFAAAF000"
)
port map (
I0 => N2,
I1 => bready_timeout_c,
I2 => S_AXI_AWVALID,
I3 => present_state_FSM_FFd4_17,
I4 => present_state_FSM_FFd3_18,
I5 => present_state_FSM_FFd2_19,
O => w_ready_c
);
Mmux_aw_ready_c_0_11_SW0 : STATE_LOGIC
generic map(
INIT => X"0000000000000008"
)
port map (
I0 => bready_timeout_c,
I1 => S_AXI_WVALID,
I2 => '0',
I3 => '0',
I4 => '0',
I5 => '0',
O => N4
);
present_state_FSM_FFd1_In1 : STATE_LOGIC
generic map(
INIT => X"88808880FFFF8880"
)
port map (
I0 => w_last_c,
I1 => N4,
I2 => present_state_FSM_FFd2_19,
I3 => present_state_FSM_FFd3_18,
I4 => present_state_FSM_FFd1_16,
I5 => S_AXI_BREADY,
O => present_state_FSM_FFd1_In
);
END GENERATE gbeh_axi_full_sm;
end STRUCTURE;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
--AXI Behavioral Model entities
ENTITY blk_mem_axi_read_wrapper_beh is
GENERIC (
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0;
C_AXI_TYPE : integer := 0;
C_AXI_SLAVE_TYPE : integer := 0;
C_MEMORY_TYPE : integer := 0;
C_WRITE_WIDTH_A : integer := 4;
C_WRITE_DEPTH_A : integer := 32;
C_ADDRA_WIDTH : integer := 12;
C_AXI_PIPELINE_STAGES : integer := 0;
C_AXI_ARADDR_WIDTH : integer := 12;
C_HAS_AXI_ID : integer := 0;
C_AXI_ID_WIDTH : integer := 4;
C_ADDRB_WIDTH : integer := 12
);
port (
-- AXI Global Signals
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
-- AXI Full/Lite Slave Read (Read side)
S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0');
S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN std_logic := '0';
S_AXI_ARREADY : OUT std_logic;
S_AXI_RLAST : OUT std_logic;
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic := '0';
S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0');
S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0');
-- AXI Full/Lite Read Address Signals to BRAM
S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0);
S_AXI_RD_EN : OUT std_logic
);
END blk_mem_axi_read_wrapper_beh;
architecture blk_mem_axi_read_wrapper_beh_arch of blk_mem_axi_read_wrapper_beh is
------------------------------------------------------------------------------
-- FUNCTION: if_then_else
-- This function is used to implement an IF..THEN when such a statement is not
-- allowed.
------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STRING;
false_case : STRING)
RETURN STRING IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC_VECTOR;
false_case : STD_LOGIC_VECTOR)
RETURN STD_LOGIC_VECTOR IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
CONSTANT FLOP_DELAY : TIME := 100 PS;
CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001");
CONSTANT C_RANGE : INTEGER := if_then_else(C_WRITE_WIDTH_A=8,0,
if_then_else((C_WRITE_WIDTH_A=16),1,
if_then_else((C_WRITE_WIDTH_A=32),2,
if_then_else((C_WRITE_WIDTH_A=64),3,
if_then_else((C_WRITE_WIDTH_A=128),4,
if_then_else((C_WRITE_WIDTH_A=256),5,0))))));
SIGNAL ar_id_r : std_logic_vector (C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0');
SIGNAL addr_en_c : std_logic := '0';
SIGNAL rd_en_c : std_logic := '0';
SIGNAL incr_addr_c : std_logic := '0';
SIGNAL single_trans_c : std_logic := '0';
SIGNAL dec_alen_c : std_logic := '0';
SIGNAL mux_sel_c : std_logic := '0';
SIGNAL r_last_c : std_logic := '0';
SIGNAL r_last_int_c : std_logic := '0';
SIGNAL arlen_int_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL arlen_cntr : std_logic_vector(7 DOWNTO 0) := ONE;
SIGNAL arburst_int_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL arburst_int_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL araddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),C_AXI_ARADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0);
SIGNAL num_of_bytes_c : integer := 0;
SIGNAL total_bytes : integer := 0;
SIGNAL num_of_bytes_r : integer := 0;
SIGNAL wrap_base_addr_r : integer := 0;
SIGNAL wrap_boundary_r : integer := 0;
SIGNAL arlen_int_c : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL total_bytes_c : integer := 0;
SIGNAL wrap_base_addr_c : integer := 0;
SIGNAL wrap_boundary_c : integer := 0;
SIGNAL araddr_out : std_logic_vector(C_ADDRB_WIDTH-1 downto 0) := (OTHERS => '0');
COMPONENT read_netlist
GENERIC (
-- AXI Interface related parameters start here
C_AXI_TYPE : integer := 1;
C_ADDRB_WIDTH : integer := 12
);
port (
S_AXI_INCR_ADDR : OUT std_logic := '0';
S_AXI_ADDR_EN : OUT std_logic := '0';
S_AXI_SINGLE_TRANS : OUT std_logic := '0';
S_AXI_MUX_SEL : OUT std_logic := '0';
S_AXI_R_LAST : OUT std_logic := '0';
S_AXI_R_LAST_INT : IN std_logic := '0';
-- AXI Global Signals
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
-- AXI Full/Lite Slave Read (Read side)
S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0');
S_AXI_ARVALID : IN std_logic := '0';
S_AXI_ARREADY : OUT std_logic;
S_AXI_RLAST : OUT std_logic;
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic := '0';
-- AXI Full/Lite Read Address Signals to BRAM
S_AXI_RD_EN : OUT std_logic
);
END COMPONENT read_netlist;
BEGIN
dec_alen_c <= incr_addr_c OR r_last_int_c;
axi_read_fsm : read_netlist
GENERIC MAP(
C_AXI_TYPE => 1,
C_ADDRB_WIDTH => C_ADDRB_WIDTH
)
PORT MAP(
S_AXI_INCR_ADDR => incr_addr_c,
S_AXI_ADDR_EN => addr_en_c,
S_AXI_SINGLE_TRANS => single_trans_c,
S_AXI_MUX_SEL => mux_sel_c,
S_AXI_R_LAST => r_last_c,
S_AXI_R_LAST_INT => r_last_int_c,
-- AXI Global Signals
S_ACLK => S_ACLK,
S_ARESETN => S_ARESETN,
-- AXI Full/Lite Slave Read (Read side)
S_AXI_ARLEN => S_AXI_ARLEN,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RLAST => S_AXI_RLAST,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_RREADY => S_AXI_RREADY,
-- AXI Full/Lite Read Address Signals to BRAM
S_AXI_RD_EN => rd_en_c
);
total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(arlen_int_r)+1);
wrap_base_addr_r <= (conv_integer(araddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes);
wrap_boundary_r <= wrap_base_addr_r+total_bytes;
---- combinatorial from interface
num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARSIZE,"000"));
arlen_int_c <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN);
total_bytes_c <= conv_integer(num_of_bytes_c)*(conv_integer(arlen_int_c)+1);
wrap_base_addr_c <= (conv_integer(S_AXI_ARADDR)/if_then_else(total_bytes_c=0,1,total_bytes_c))*(total_bytes_c);
wrap_boundary_c <= wrap_base_addr_c+total_bytes_c;
arburst_int_c <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARBURST,"01");
---------------------------------------------------------------------------
-- BMG address generation
---------------------------------------------------------------------------
P_addr_reg: PROCESS (S_ACLK,S_ARESETN)
BEGIN
IF (S_ARESETN = '1') THEN
araddr_reg <= (OTHERS => '0');
arburst_int_r <= (OTHERS => '0');
num_of_bytes_r <= 0;
ELSIF (S_ACLK'event AND S_ACLK = '1') THEN
IF (incr_addr_c = '1' AND addr_en_c = '1' AND single_trans_c = '0') THEN
arburst_int_r <= arburst_int_c;
num_of_bytes_r <= num_of_bytes_c;
IF (arburst_int_c = "10") THEN
IF(conv_integer(S_AXI_ARADDR) = (wrap_boundary_c-num_of_bytes_c)) THEN
araddr_reg <= conv_std_logic_vector(wrap_base_addr_c,C_AXI_ARADDR_WIDTH);
ELSE
araddr_reg <= S_AXI_ARADDR + num_of_bytes_c;
END IF;
ELSIF (arburst_int_c = "01" OR arburst_int_c = "11") THEN
araddr_reg <= S_AXI_ARADDR + num_of_bytes_c;
END IF;
ELSIF (addr_en_c = '1') THEN
araddr_reg <= S_AXI_ARADDR AFTER FLOP_DELAY;
num_of_bytes_r <= num_of_bytes_c;
arburst_int_r <= arburst_int_c;
ELSIF (incr_addr_c = '1') THEN
IF (arburst_int_r = "10") THEN
IF(conv_integer(araddr_reg) = (wrap_boundary_r-num_of_bytes_r)) THEN
araddr_reg <= conv_std_logic_vector(wrap_base_addr_r,C_AXI_ARADDR_WIDTH);
ELSE
araddr_reg <= araddr_reg + num_of_bytes_r;
END IF;
ELSIF (arburst_int_r = "01" OR arburst_int_r = "11") THEN
araddr_reg <= araddr_reg + num_of_bytes_r;
END IF;
END IF;
END IF;
END PROCESS P_addr_reg;
araddr_out <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),araddr_reg(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),araddr_reg);
--------------------------------------------------------------------------
-- Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM
--------------------------------------------------------------------------
P_addr_cnt: PROCESS (S_ACLK, S_ARESETN)
BEGIN
IF S_ARESETN = '1' THEN
arlen_cntr <= ONE;
arlen_int_r <= (OTHERS => '0');
ELSIF S_ACLK'event AND S_ACLK = '1' THEN
IF (addr_en_c = '1' AND dec_alen_c = '1' AND single_trans_c = '0') THEN
arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN);
arlen_cntr <= S_AXI_ARLEN - ONE AFTER FLOP_DELAY;
ELSIF addr_en_c = '1' THEN
arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN);
arlen_cntr <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN);
ELSIF dec_alen_c = '1' THEN
arlen_cntr <= arlen_cntr - ONE AFTER FLOP_DELAY;
ELSE
arlen_cntr <= arlen_cntr AFTER FLOP_DELAY;
END IF;
END IF;
END PROCESS P_addr_cnt;
r_last_int_c <= '1' WHEN (arlen_cntr = "00000000" AND S_AXI_RREADY = '1') ELSE '0' ;
--------------------------------------------------------------------------
-- AXI FULL FSM
-- Mux Selection of ARADDR
-- ARADDR is driven out from the read fsm based on the mux_sel_c
-- Based on mux_sel either ARADDR is given out or the latched ARADDR is
-- given out to BRAM
--------------------------------------------------------------------------
P_araddr_mux: PROCESS (mux_sel_c,S_AXI_ARADDR,araddr_out)
BEGIN
IF (mux_sel_c = '0') THEN
S_AXI_ARADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARADDR(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),S_AXI_ARADDR);
ELSE
S_AXI_ARADDR_OUT <= araddr_out;
END IF;
END PROCESS P_araddr_mux;
--------------------------------------------------------------------------
-- Assign output signals - AXI FULL FSM
--------------------------------------------------------------------------
S_AXI_RD_EN <= rd_en_c;
grid: IF (C_HAS_AXI_ID = 1) GENERATE
P_rid_gen: PROCESS (S_ACLK,S_ARESETN)
BEGIN
IF (S_ARESETN='1') THEN
S_AXI_RID <= (OTHERS => '0');
ar_id_r <= (OTHERS => '0');
ELSIF (S_ACLK'event AND S_ACLK='1') THEN
IF (addr_en_c = '1' AND rd_en_c = '1') THEN
S_AXI_RID <= S_AXI_ARID;
ar_id_r <= S_AXI_ARID;
ELSIF (addr_en_c = '1' AND rd_en_c = '0') THEN
ar_id_r <= S_AXI_ARID;
ELSIF (rd_en_c = '1') THEN
S_AXI_RID <= ar_id_r;
END IF;
END IF;
END PROCESS P_rid_gen;
END GENERATE grid;
END blk_mem_axi_read_wrapper_beh_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity read_netlist is
GENERIC (
-- AXI Interface related parameters start here
C_AXI_TYPE : integer := 1;
C_ADDRB_WIDTH : integer := 12
);
port (
S_AXI_R_LAST_INT : in STD_LOGIC := '0';
S_ACLK : in STD_LOGIC := '0';
S_ARESETN : in STD_LOGIC := '0';
S_AXI_ARVALID : in STD_LOGIC := '0';
S_AXI_RREADY : in STD_LOGIC := '0';
S_AXI_INCR_ADDR : out STD_LOGIC;
S_AXI_ADDR_EN : out STD_LOGIC;
S_AXI_SINGLE_TRANS : out STD_LOGIC;
S_AXI_MUX_SEL : out STD_LOGIC;
S_AXI_R_LAST : out STD_LOGIC;
S_AXI_ARREADY : out STD_LOGIC;
S_AXI_RLAST : out STD_LOGIC;
S_AXI_RVALID : out STD_LOGIC;
S_AXI_RD_EN : out STD_LOGIC;
S_AXI_ARLEN : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end read_netlist;
architecture STRUCTURE of read_netlist is
component beh_muxf7
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
COMPONENT beh_ff_pre
generic(
INIT : std_logic := '1'
);
port(
Q : out std_logic;
C : in std_logic;
D : in std_logic;
PRE : in std_logic
);
end COMPONENT beh_ff_pre;
COMPONENT beh_ff_ce
generic(
INIT : std_logic := '0'
);
port(
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
CLR : in std_logic;
D : in std_logic
);
end COMPONENT beh_ff_ce;
COMPONENT beh_ff_clr
generic(
INIT : std_logic := '0'
);
port(
Q : out std_logic;
C : in std_logic;
CLR : in std_logic;
D : in std_logic
);
end COMPONENT beh_ff_clr;
COMPONENT STATE_LOGIC
generic(
INIT : std_logic_vector(63 downto 0) := X"0000000000000000"
);
port(
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic;
I4 : in std_logic;
I5 : in std_logic
);
end COMPONENT STATE_LOGIC;
signal present_state_FSM_FFd1_13 : STD_LOGIC;
signal present_state_FSM_FFd2_14 : STD_LOGIC;
signal gaxi_full_sm_outstanding_read_r_15 : STD_LOGIC;
signal gaxi_full_sm_ar_ready_r_16 : STD_LOGIC;
signal gaxi_full_sm_r_last_r_17 : STD_LOGIC;
signal NlwRenamedSig_OI_gaxi_full_sm_r_valid_r : STD_LOGIC;
signal gaxi_full_sm_r_valid_c : STD_LOGIC;
signal S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o : STD_LOGIC;
signal gaxi_full_sm_ar_ready_c : STD_LOGIC;
signal gaxi_full_sm_outstanding_read_c : STD_LOGIC;
signal NlwRenamedSig_OI_S_AXI_R_LAST : STD_LOGIC;
signal S_AXI_ARLEN_7_GND_8_o_equal_1_o : STD_LOGIC;
signal present_state_FSM_FFd2_In : STD_LOGIC;
signal present_state_FSM_FFd1_In : STD_LOGIC;
signal Mmux_S_AXI_R_LAST13 : STD_LOGIC;
signal N01 : STD_LOGIC;
signal N2 : STD_LOGIC;
signal Mmux_gaxi_full_sm_ar_ready_c11 : STD_LOGIC;
signal N4 : STD_LOGIC;
signal N8 : STD_LOGIC;
signal N9 : STD_LOGIC;
signal N10 : STD_LOGIC;
signal N11 : STD_LOGIC;
signal N12 : STD_LOGIC;
signal N13 : STD_LOGIC;
begin
S_AXI_R_LAST <= NlwRenamedSig_OI_S_AXI_R_LAST;
S_AXI_ARREADY <= gaxi_full_sm_ar_ready_r_16;
S_AXI_RLAST <= gaxi_full_sm_r_last_r_17;
S_AXI_RVALID <= NlwRenamedSig_OI_gaxi_full_sm_r_valid_r;
gaxi_full_sm_outstanding_read_r : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => gaxi_full_sm_outstanding_read_c,
Q => gaxi_full_sm_outstanding_read_r_15
);
gaxi_full_sm_r_valid_r : beh_ff_ce
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o,
CLR => S_ARESETN,
D => gaxi_full_sm_r_valid_c,
Q => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r
);
gaxi_full_sm_ar_ready_r : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => gaxi_full_sm_ar_ready_c,
Q => gaxi_full_sm_ar_ready_r_16
);
gaxi_full_sm_r_last_r : beh_ff_ce
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o,
CLR => S_ARESETN,
D => NlwRenamedSig_OI_S_AXI_R_LAST,
Q => gaxi_full_sm_r_last_r_17
);
present_state_FSM_FFd2 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd2_In,
Q => present_state_FSM_FFd2_14
);
present_state_FSM_FFd1 : beh_ff_clr
generic map(
INIT => '0'
)
port map (
C => S_ACLK,
CLR => S_ARESETN,
D => present_state_FSM_FFd1_In,
Q => present_state_FSM_FFd1_13
);
S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 : STATE_LOGIC
generic map(
INIT => X"000000000000000B"
)
port map (
I0 => S_AXI_RREADY,
I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I2 => '0',
I3 => '0',
I4 => '0',
I5 => '0',
O => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o
);
Mmux_S_AXI_SINGLE_TRANS11 : STATE_LOGIC
generic map(
INIT => X"0000000000000008"
)
port map (
I0 => S_AXI_ARVALID,
I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I2 => '0',
I3 => '0',
I4 => '0',
I5 => '0',
O => S_AXI_SINGLE_TRANS
);
Mmux_S_AXI_ADDR_EN11 : STATE_LOGIC
generic map(
INIT => X"0000000000000004"
)
port map (
I0 => present_state_FSM_FFd1_13,
I1 => S_AXI_ARVALID,
I2 => '0',
I3 => '0',
I4 => '0',
I5 => '0',
O => S_AXI_ADDR_EN
);
present_state_FSM_FFd2_In1 : STATE_LOGIC
generic map(
INIT => X"ECEE2022EEEE2022"
)
port map (
I0 => S_AXI_ARVALID,
I1 => present_state_FSM_FFd1_13,
I2 => S_AXI_RREADY,
I3 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I4 => present_state_FSM_FFd2_14,
I5 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
O => present_state_FSM_FFd2_In
);
Mmux_S_AXI_R_LAST131 : STATE_LOGIC
generic map(
INIT => X"0000000044440444"
)
port map (
I0 => present_state_FSM_FFd1_13,
I1 => S_AXI_ARVALID,
I2 => present_state_FSM_FFd2_14,
I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I4 => S_AXI_RREADY,
I5 => '0',
O => Mmux_S_AXI_R_LAST13
);
Mmux_S_AXI_INCR_ADDR11 : STATE_LOGIC
generic map(
INIT => X"4000FFFF40004000"
)
port map (
I0 => S_AXI_R_LAST_INT,
I1 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o,
I2 => present_state_FSM_FFd2_14,
I3 => present_state_FSM_FFd1_13,
I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I5 => Mmux_S_AXI_R_LAST13,
O => S_AXI_INCR_ADDR
);
S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 : STATE_LOGIC
generic map(
INIT => X"00000000000000FE"
)
port map (
I0 => S_AXI_ARLEN(2),
I1 => S_AXI_ARLEN(1),
I2 => S_AXI_ARLEN(0),
I3 => '0',
I4 => '0',
I5 => '0',
O => N01
);
S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q : STATE_LOGIC
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => S_AXI_ARLEN(7),
I1 => S_AXI_ARLEN(6),
I2 => S_AXI_ARLEN(5),
I3 => S_AXI_ARLEN(4),
I4 => S_AXI_ARLEN(3),
I5 => N01,
O => S_AXI_ARLEN_7_GND_8_o_equal_1_o
);
Mmux_gaxi_full_sm_outstanding_read_c1_SW0 : STATE_LOGIC
generic map(
INIT => X"0000000000000007"
)
port map (
I0 => S_AXI_ARVALID,
I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I2 => '0',
I3 => '0',
I4 => '0',
I5 => '0',
O => N2
);
Mmux_gaxi_full_sm_outstanding_read_c1 : STATE_LOGIC
generic map(
INIT => X"0020000002200200"
)
port map (
I0 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I1 => S_AXI_RREADY,
I2 => present_state_FSM_FFd1_13,
I3 => present_state_FSM_FFd2_14,
I4 => gaxi_full_sm_outstanding_read_r_15,
I5 => N2,
O => gaxi_full_sm_outstanding_read_c
);
Mmux_gaxi_full_sm_ar_ready_c12 : STATE_LOGIC
generic map(
INIT => X"0000000000004555"
)
port map (
I0 => S_AXI_ARVALID,
I1 => S_AXI_RREADY,
I2 => present_state_FSM_FFd2_14,
I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I4 => '0',
I5 => '0',
O => Mmux_gaxi_full_sm_ar_ready_c11
);
Mmux_S_AXI_R_LAST11_SW0 : STATE_LOGIC
generic map(
INIT => X"00000000000000EF"
)
port map (
I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I1 => S_AXI_RREADY,
I2 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I3 => '0',
I4 => '0',
I5 => '0',
O => N4
);
Mmux_S_AXI_R_LAST11 : STATE_LOGIC
generic map(
INIT => X"FCAAFC0A00AA000A"
)
port map (
I0 => S_AXI_ARVALID,
I1 => gaxi_full_sm_outstanding_read_r_15,
I2 => present_state_FSM_FFd2_14,
I3 => present_state_FSM_FFd1_13,
I4 => N4,
I5 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o,
O => gaxi_full_sm_r_valid_c
);
S_AXI_MUX_SEL1 : STATE_LOGIC
generic map(
INIT => X"00000000AAAAAA08"
)
port map (
I0 => present_state_FSM_FFd1_13,
I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I2 => S_AXI_RREADY,
I3 => present_state_FSM_FFd2_14,
I4 => gaxi_full_sm_outstanding_read_r_15,
I5 => '0',
O => S_AXI_MUX_SEL
);
Mmux_S_AXI_RD_EN11 : STATE_LOGIC
generic map(
INIT => X"F3F3F755A2A2A200"
)
port map (
I0 => present_state_FSM_FFd1_13,
I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I2 => S_AXI_RREADY,
I3 => gaxi_full_sm_outstanding_read_r_15,
I4 => present_state_FSM_FFd2_14,
I5 => S_AXI_ARVALID,
O => S_AXI_RD_EN
);
present_state_FSM_FFd1_In3 : beh_muxf7
port map (
I0 => N8,
I1 => N9,
S => present_state_FSM_FFd1_13,
O => present_state_FSM_FFd1_In
);
present_state_FSM_FFd1_In3_F : STATE_LOGIC
generic map(
INIT => X"000000005410F4F0"
)
port map (
I0 => S_AXI_RREADY,
I1 => present_state_FSM_FFd2_14,
I2 => S_AXI_ARVALID,
I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I5 => '0',
O => N8
);
present_state_FSM_FFd1_In3_G : STATE_LOGIC
generic map(
INIT => X"0000000072FF7272"
)
port map (
I0 => present_state_FSM_FFd2_14,
I1 => S_AXI_R_LAST_INT,
I2 => gaxi_full_sm_outstanding_read_r_15,
I3 => S_AXI_RREADY,
I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I5 => '0',
O => N9
);
Mmux_gaxi_full_sm_ar_ready_c14 : beh_muxf7
port map (
I0 => N10,
I1 => N11,
S => present_state_FSM_FFd1_13,
O => gaxi_full_sm_ar_ready_c
);
Mmux_gaxi_full_sm_ar_ready_c14_F : STATE_LOGIC
generic map(
INIT => X"00000000FFFF88A8"
)
port map (
I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I1 => S_AXI_RREADY,
I2 => present_state_FSM_FFd2_14,
I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I4 => Mmux_gaxi_full_sm_ar_ready_c11,
I5 => '0',
O => N10
);
Mmux_gaxi_full_sm_ar_ready_c14_G : STATE_LOGIC
generic map(
INIT => X"000000008D008D8D"
)
port map (
I0 => present_state_FSM_FFd2_14,
I1 => S_AXI_R_LAST_INT,
I2 => gaxi_full_sm_outstanding_read_r_15,
I3 => S_AXI_RREADY,
I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I5 => '0',
O => N11
);
Mmux_S_AXI_R_LAST1 : beh_muxf7
port map (
I0 => N12,
I1 => N13,
S => present_state_FSM_FFd1_13,
O => NlwRenamedSig_OI_S_AXI_R_LAST
);
Mmux_S_AXI_R_LAST1_F : STATE_LOGIC
generic map(
INIT => X"0000000088088888"
)
port map (
I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o,
I1 => S_AXI_ARVALID,
I2 => present_state_FSM_FFd2_14,
I3 => S_AXI_RREADY,
I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I5 => '0',
O => N12
);
Mmux_S_AXI_R_LAST1_G : STATE_LOGIC
generic map(
INIT => X"00000000E400E4E4"
)
port map (
I0 => present_state_FSM_FFd2_14,
I1 => gaxi_full_sm_outstanding_read_r_15,
I2 => S_AXI_R_LAST_INT,
I3 => S_AXI_RREADY,
I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r,
I5 => '0',
O => N13
);
end STRUCTURE;
-------------------------------------------------------------------------------
-- Output Register Stage Entity
--
-- This module builds the output register stages of the memory. This module is
-- instantiated in the main memory module (blk_mem_gen_v8_3_1) which is
-- declared/implemented further down in this file.
-------------------------------------------------------------------------------
LIBRARY STD;
USE STD.TEXTIO.ALL;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY blk_mem_gen_v8_3_1_output_stage IS
GENERIC (
C_FAMILY : STRING := "virtex7";
C_XDEVICEFAMILY : STRING := "virtex7";
C_RST_TYPE : STRING := "SYNC";
C_HAS_RST : INTEGER := 0;
C_RSTRAM : INTEGER := 0;
C_RST_PRIORITY : STRING := "CE";
init_val : STD_LOGIC_VECTOR;
C_HAS_EN : INTEGER := 0;
C_HAS_REGCE : INTEGER := 0;
C_DATA_WIDTH : INTEGER := 32;
C_ADDRB_WIDTH : INTEGER := 10;
C_HAS_MEM_OUTPUT_REGS : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
NUM_STAGES : INTEGER := 1;
C_EN_ECC_PIPE : INTEGER := 0;
FLOP_DELAY : TIME := 100 ps
);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
EN : IN STD_LOGIC;
REGCE : IN STD_LOGIC;
DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
SBITERR_IN_I : IN STD_LOGIC;
DBITERR_IN_I : IN STD_LOGIC;
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
eccpipece : IN STD_LOGIC;
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
);
END blk_mem_gen_v8_3_1_output_stage;
--******************************
-- Port and Generic Definitions
--******************************
---------------------------------------------------------------------------
-- Generic Definitions
---------------------------------------------------------------------------
-- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following
-- options are available - "spartan3", "spartan6",
-- "virtex4", "virtex5", "virtex6" and "virtex6l".
-- C_RST_TYPE : Type of reset - Synchronous or Asynchronous
-- C_HAS_RST : Determines the presence of the RST port
-- C_RSTRAM : Determines if special reset behavior is used
-- C_RST_PRIORITY : Determines the priority between CE and SR
-- C_INIT_VAL : Initialization value
-- C_HAS_EN : Determines the presence of the EN port
-- C_HAS_REGCE : Determines the presence of the REGCE port
-- C_DATA_WIDTH : Memory write/read width
-- C_ADDRB_WIDTH : Width of the ADDRB input port
-- C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output
-- of the RAM primitive
-- C_USE_SOFTECC : Determines if the Soft ECC feature is used or
-- not. Only applicable Spartan-6
-- C_USE_ECC : Determines if the ECC feature is used or
-- not. Only applicable for V5 and V6
-- NUM_STAGES : Determines the number of output stages
-- FLOP_DELAY : Constant delay for register assignments
---------------------------------------------------------------------------
-- Port Definitions
---------------------------------------------------------------------------
-- CLK : Clock to synchronize all read and write operations
-- RST : Reset input to reset memory outputs to a user-defined
-- reset state
-- EN : Enable all read and write operations
-- REGCE : Register Clock Enable to control each pipeline output
-- register stages
-- DIN : Data input to the Output stage.
-- DOUT : Final Data output
-- SBITERR_IN : SBITERR input signal to the Output stage.
-- SBITERR : Final SBITERR Output signal.
-- DBITERR_IN : DBITERR input signal to the Output stage.
-- DBITERR : Final DBITERR Output signal.
-- RDADDRECC_IN : RDADDRECC input signal to the Output stage.
-- RDADDRECC : Final RDADDRECC Output signal.
---------------------------------------------------------------------------
ARCHITECTURE output_stage_behavioral OF blk_mem_gen_v8_3_1_output_stage IS
--*******************************************************
-- Functions used in the output stage ARCHITECTURE
--*******************************************************
-- Calculate num_reg_stages
FUNCTION get_num_reg_stages(NUM_STAGES: INTEGER) RETURN INTEGER IS
VARIABLE num_reg_stages : INTEGER := 0;
BEGIN
IF (NUM_STAGES = 0) THEN
num_reg_stages := 0;
ELSE
num_reg_stages := NUM_STAGES - 1;
END IF;
RETURN num_reg_stages;
END get_num_reg_stages;
-- Check if the INTEGER is zero or non-zero
FUNCTION int_to_bit(input: INTEGER) RETURN STD_LOGIC IS
VARIABLE temp_return : STD_LOGIC;
BEGIN
IF (input = 0) THEN
temp_return := '0';
ELSE
temp_return := '1';
END IF;
RETURN temp_return;
END int_to_bit;
-- Constants
CONSTANT HAS_EN : STD_LOGIC := int_to_bit(C_HAS_EN);
CONSTANT HAS_REGCE : STD_LOGIC := int_to_bit(C_HAS_REGCE);
CONSTANT HAS_RST : STD_LOGIC := int_to_bit(C_HAS_RST);
CONSTANT REG_STAGES : INTEGER := get_num_reg_stages(NUM_STAGES);
-- Pipeline array
TYPE reg_data_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
TYPE reg_ecc_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC;
TYPE reg_eccaddr_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
CONSTANT REG_INIT : reg_data_array := (OTHERS => init_val);
SIGNAL out_regs : reg_data_array := REG_INIT;
SIGNAL sbiterr_regs : reg_ecc_array := (OTHERS => '0');
SIGNAL dbiterr_regs : reg_ecc_array := (OTHERS => '0');
SIGNAL rdaddrecc_regs: reg_eccaddr_array := (OTHERS => (OTHERS => '0'));
-- Internal signals
SIGNAL en_i : STD_LOGIC;
SIGNAL regce_i : STD_LOGIC;
SIGNAL rst_i : STD_LOGIC;
SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := init_val;
SIGNAL sbiterr_i: STD_LOGIC := '0';
SIGNAL dbiterr_i: STD_LOGIC := '0';
SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL DIN : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL RDADDRECC_IN : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ;
SIGNAL SBITERR_IN : STD_LOGIC := '0';
SIGNAL DBITERR_IN : STD_LOGIC := '0';
BEGIN
--***********************************************************************
-- Assign internal signals. This effectively wires off optional inputs.
--***********************************************************************
-- Internal enable for output registers is tied to user EN or '1' depending
-- on parameters
en_i <= EN OR (NOT HAS_EN);
-- Internal register enable for output registers is tied to user REGCE, EN
-- or '1' depending on parameters
regce_i <= (HAS_REGCE AND REGCE)
OR ((NOT HAS_REGCE) AND en_i);
-- Internal SRR is tied to user RST or '0' depending on parameters
rst_i <= RST AND HAS_RST;
--***************************************************************************
-- NUM_STAGES = 0 (No output registers. RAM only)
--***************************************************************************
zero_stages: IF (NUM_STAGES = 0) GENERATE
DOUT <= DIN;
SBITERR <= SBITERR_IN;
DBITERR <= DBITERR_IN;
RDADDRECC <= RDADDRECC_IN;
END GENERATE zero_stages;
NO_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 0) GENERATE
DIN <= DIN_I;
RDADDRECC_IN <= RDADDRECC_IN_I;
SBITERR_IN <= SBITERR_IN_I;
DBITERR_IN <= DBITERR_IN_I;
END GENERATE NO_ECC_PIPE_REG;
WITH_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 1) GENERATE
PROCESS (CLK)
BEGIN
IF (CLK'EVENT AND CLK = '1') THEN
IF(ECCPIPECE = '1') THEN
DIN <= DIN_I AFTER FLOP_DELAY;
RDADDRECC_IN <= RDADDRECC_IN_I AFTER FLOP_DELAY;
SBITERR_IN <= SBITERR_IN_I AFTER FLOP_DELAY;
DBITERR_IN <= DBITERR_IN_I AFTER FLOP_DELAY;
END IF;
END IF;
END PROCESS;
END GENERATE WITH_ECC_PIPE_REG;
--***************************************************************************
-- NUM_STAGES = 1
-- (Mem Output Reg only or Mux Output Reg only)
--***************************************************************************
-- Possible valid combinations:
-- Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1)
-- +-----------------------------------------+
-- | C_RSTRAM_* | Reset Behavior |
-- +----------------+------------------------+
-- | 0 | Normal Behavior |
-- +----------------+------------------------+
-- | 1 | Special Behavior |
-- +----------------+------------------------+
--
-- Normal = REGCE gates reset, as in the case of all Virtex families and all
-- spartan families with the exception of S3ADSP and S6.
-- Special = EN gates reset, as in the case of S3ADSP and S6.
one_stage_norm: IF (NUM_STAGES = 1 AND
(C_RSTRAM=0 OR (C_RSTRAM=1 AND (C_XDEVICEFAMILY/="spartan3adsp" AND C_XDEVICEFAMILY/="aspartan3adsp")) OR
C_HAS_MEM_OUTPUT_REGS=0 OR C_HAS_RST=0)) GENERATE
DOUT <= dout_i;
SBITERR <= sbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0';
DBITERR <= dbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0';
RDADDRECC <= rdaddrecc_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0');
PROCESS (CLK,rst_i,regce_i)
BEGIN
IF (CLK'EVENT AND CLK = '1') THEN
IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset
IF (rst_i = '1' AND regce_i='1') THEN
dout_i <= init_val AFTER FLOP_DELAY;
sbiterr_i <= '0' AFTER FLOP_DELAY;
dbiterr_i <= '0' AFTER FLOP_DELAY;
rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY;
ELSIF (regce_i='1') THEN
dout_i <= DIN AFTER FLOP_DELAY;
sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY;
dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY;
rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY;
END IF;
ELSE --RSTA has priority and is independent of REGCE
IF (rst_i = '1') THEN
dout_i <= init_val AFTER FLOP_DELAY;
sbiterr_i <= '0' AFTER FLOP_DELAY;
dbiterr_i <= '0' AFTER FLOP_DELAY;
rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY;
ELSIF (regce_i='1') THEN
dout_i <= DIN AFTER FLOP_DELAY;
sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY;
dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY;
rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY;
END IF;
END IF;--Priority conditions
END IF;--CLK
END PROCESS;
END GENERATE one_stage_norm;
-- Special Reset Behavior for S6 and S3ADSP
one_stage_splbhv: IF (NUM_STAGES=1 AND C_RSTRAM=1 AND (C_XDEVICEFAMILY ="spartan3adsp" OR C_XDEVICEFAMILY ="aspartan3adsp"))
GENERATE
DOUT <= dout_i;
SBITERR <= '0';
DBITERR <= '0';
RDADDRECC <= (OTHERS => '0');
PROCESS (CLK)
BEGIN
IF (CLK'EVENT AND CLK = '1') THEN
IF (rst_i='1' AND en_i='1') THEN
dout_i <= init_val AFTER FLOP_DELAY;
ELSIF (regce_i='1' AND rst_i/='1') THEN
dout_i <= DIN AFTER FLOP_DELAY;
END IF;
END IF;--CLK
END PROCESS;
END GENERATE one_stage_splbhv;
--****************************************************************************
-- NUM_STAGES > 1
-- Mem Output Reg + Mux Output Reg
-- or
-- Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg
-- or
-- Mux Pipeline Stages (>0) + Mux Output Reg
--****************************************************************************
multi_stage: IF (NUM_STAGES > 1) GENERATE
DOUT <= dout_i;
SBITERR <= sbiterr_i;
DBITERR <= dbiterr_i;
RDADDRECC <= rdaddrecc_i;
PROCESS (CLK,rst_i,regce_i)
BEGIN
IF (CLK'EVENT AND CLK = '1') THEN
IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset
IF (rst_i='1'AND regce_i='1') THEN
dout_i <= init_val AFTER FLOP_DELAY;
sbiterr_i <= '0' AFTER FLOP_DELAY;
dbiterr_i <= '0' AFTER FLOP_DELAY;
rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY;
ELSIF (regce_i='1') THEN
dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY;
sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY;
dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY;
rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY;
END IF;
ELSE --RSTA has priority and is independent of REGCE
IF (rst_i = '1') THEN
dout_i <= init_val AFTER FLOP_DELAY;
sbiterr_i <= '0' AFTER FLOP_DELAY;
dbiterr_i <= '0' AFTER FLOP_DELAY;
rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY;
ELSIF (regce_i='1') THEN
dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY;
sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY;
dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY;
rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY;
END IF;
END IF;--Priority conditions
IF (en_i='1') THEN
-- Shift the data through the output stages
FOR i IN 1 TO REG_STAGES-1 LOOP
out_regs(i) <= out_regs(i-1) AFTER FLOP_DELAY;
sbiterr_regs(i) <= sbiterr_regs(i-1) AFTER FLOP_DELAY;
dbiterr_regs(i) <= dbiterr_regs(i-1) AFTER FLOP_DELAY;
rdaddrecc_regs(i) <= rdaddrecc_regs(i-1) AFTER FLOP_DELAY;
END LOOP;
out_regs(0) <= DIN;
sbiterr_regs(0) <= SBITERR_IN;
dbiterr_regs(0) <= DBITERR_IN;
rdaddrecc_regs(0) <= RDADDRECC_IN;
END IF;
END IF;--CLK
END PROCESS;
END GENERATE multi_stage;
END output_stage_behavioral;
-------------------------------------------------------------------------------
-- SoftECC Output Register Stage Entity
-- This module builds the softecc output register stages. This module is
-- instantiated in the memory module (blk_mem_gen_v8_3_1_mem_module) which is
-- declared/implemented further down in this file.
-------------------------------------------------------------------------------
LIBRARY STD;
USE STD.TEXTIO.ALL;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY blk_mem_gen_v8_3_1_softecc_output_reg_stage IS
GENERIC (
C_DATA_WIDTH : INTEGER := 32;
C_ADDRB_WIDTH : INTEGER := 10;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
FLOP_DELAY : TIME := 100 ps
);
PORT (
CLK : IN STD_LOGIC;
DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ;
DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
SBITERR_IN : IN STD_LOGIC;
DBITERR_IN : IN STD_LOGIC;
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ;
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
);
END blk_mem_gen_v8_3_1_softecc_output_reg_stage;
--******************************
-- Port and Generic Definitions
--******************************
---------------------------------------------------------------------------
-- Generic Definitions
---------------------------------------------------------------------------
-- C_DATA_WIDTH : Memory write/read width
-- C_ADDRB_WIDTH : Width of the ADDRB input port
-- of the RAM primitive
-- FLOP_DELAY : Constant delay for register assignments
---------------------------------------------------------------------------
-- Port Definitions
---------------------------------------------------------------------------
-- CLK : Clock to synchronize all read and write operations
-- RST : Reset input to reset memory outputs to a user-defined
-- reset state
-- EN : Enable all read and write operations
-- REGCE : Register Clock Enable to control each pipeline output
-- register stages
-- DIN : Data input to the Output stage.
-- DOUT : Final Data output
-- SBITERR_IN : SBITERR input signal to the Output stage.
-- SBITERR : Final SBITERR Output signal.
-- DBITERR_IN : DBITERR input signal to the Output stage.
-- DBITERR : Final DBITERR Output signal.
-- RDADDRECC_IN : RDADDRECC input signal to the Output stage.
-- RDADDRECC : Final RDADDRECC Output signal.
---------------------------------------------------------------------------
ARCHITECTURE softecc_output_reg_stage_behavioral OF blk_mem_gen_v8_3_1_softecc_output_reg_stage IS
-- Internal signals
SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL sbiterr_i: STD_LOGIC := '0';
SIGNAL dbiterr_i: STD_LOGIC := '0';
SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
--***************************************************************************
-- NO OUTPUT STAGES
--***************************************************************************
no_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=0) GENERATE
DOUT <= DIN;
SBITERR <= SBITERR_IN;
DBITERR <= DBITERR_IN;
RDADDRECC <= RDADDRECC_IN;
END GENERATE no_output_stage;
--****************************************************************************
-- WITH OUTPUT STAGE
--****************************************************************************
has_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=1) GENERATE
PROCESS (CLK)
BEGIN
IF (CLK'EVENT AND CLK = '1') THEN
dout_i <= DIN AFTER FLOP_DELAY;
sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY;
dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY;
rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY;
END IF;
END PROCESS;
DOUT <= dout_i;
SBITERR <= sbiterr_i;
DBITERR <= dbiterr_i;
RDADDRECC <= rdaddrecc_i;
END GENERATE has_output_stage;
END softecc_output_reg_stage_behavioral;
--******************************************************************************
-- Main Memory module
--
-- This module is the behavioral model which implements the RAM
--******************************************************************************
LIBRARY STD;
USE STD.TEXTIO.ALL;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.std_logic_textio.all;
ENTITY blk_mem_gen_v8_3_1_mem_module IS
GENERIC (
C_CORENAME : STRING := "blk_mem_gen_v8_3_1";
C_FAMILY : STRING := "virtex7";
C_XDEVICEFAMILY : STRING := "virtex7";
C_USE_BRAM_BLOCK : INTEGER := 0;
C_ENABLE_32BIT_ADDRESS : INTEGER := 0;
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 8;
C_ALGORITHM : INTEGER := 2;
C_PRIM_TYPE : INTEGER := 3;
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_INIT_FILE : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "";
C_RST_TYPE : STRING := "SYNC";
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "";
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_A : INTEGER := 32;
C_READ_WIDTH_A : INTEGER := 32;
C_WRITE_DEPTH_A : INTEGER := 64;
C_READ_DEPTH_A : INTEGER := 64;
C_ADDRA_WIDTH : INTEGER := 6;
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "";
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_B : INTEGER := 32;
C_READ_WIDTH_B : INTEGER := 32;
C_WRITE_DEPTH_B : INTEGER := 64;
C_READ_DEPTH_B : INTEGER := 64;
C_ADDRB_WIDTH : INTEGER := 6;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 1;
FLOP_DELAY : TIME := 100 ps;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_EN_ECC_PIPE : INTEGER := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0
);
PORT (
CLKA : IN STD_LOGIC := '0';
RSTA : IN STD_LOGIC := '0';
ENA : IN STD_LOGIC := '1';
REGCEA : IN STD_LOGIC := '1';
WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
CLKB : IN STD_LOGIC := '0';
RSTB : IN STD_LOGIC := '0';
ENB : IN STD_LOGIC := '1';
REGCEB : IN STD_LOGIC := '1';
WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
INJECTSBITERR : IN STD_LOGIC := '0';
INJECTDBITERR : IN STD_LOGIC := '0';
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
ECCPIPECE : IN STD_LOGIC;
SLEEP : IN STD_LOGIC;
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
);
END blk_mem_gen_v8_3_1_mem_module;
--******************************
-- Port and Generic Definitions
--******************************
---------------------------------------------------------------------------
-- Generic Definitions
---------------------------------------------------------------------------
-- C_CORENAME : Instance name of the Block Memory Generator core
-- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following
-- options are available - "spartan3", "spartan6",
-- "virtex4", "virtex5", "virtex6l" and "virtex6".
-- C_MEM_TYPE : Designates memory type.
-- It can be
-- 0 - Single Port Memory
-- 1 - Simple Dual Port Memory
-- 2 - True Dual Port Memory
-- 3 - Single Port Read Only Memory
-- 4 - Dual Port Read Only Memory
-- C_BYTE_SIZE : Size of a byte (8 or 9 bits)
-- C_ALGORITHM : Designates the algorithm method used
-- for constructing the memory.
-- It can be Fixed_Primitives, Minimum_Area or
-- Low_Power
-- C_PRIM_TYPE : Designates the user selected primitive used to
-- construct the memory.
--
-- C_LOAD_INIT_FILE : Designates the use of an initialization file to
-- initialize memory contents.
-- C_INIT_FILE_NAME : Memory initialization file name.
-- C_USE_DEFAULT_DATA : Designates whether to fill remaining
-- initialization space with default data
-- C_DEFAULT_DATA : Default value of all memory locations
-- not initialized by the memory
-- initialization file.
-- C_RST_TYPE : Type of reset - Synchronous or Asynchronous
--
-- C_HAS_RSTA : Determines the presence of the RSTA port
-- C_RST_PRIORITY_A : Determines the priority between CE and SR for
-- Port A.
-- C_RSTRAM_A : Determines if special reset behavior is used for
-- Port A
-- C_INITA_VAL : The initialization value for Port A
-- C_HAS_ENA : Determines the presence of the ENA port
-- C_HAS_REGCEA : Determines the presence of the REGCEA port
-- C_USE_BYTE_WEA : Determines if the Byte Write is used or not.
-- C_WEA_WIDTH : The width of the WEA port
-- C_WRITE_MODE_A : Configurable write mode for Port A. It can be
-- WRITE_FIRST, READ_FIRST or NO_CHANGE.
-- C_WRITE_WIDTH_A : Memory write width for Port A.
-- C_READ_WIDTH_A : Memory read width for Port A.
-- C_WRITE_DEPTH_A : Memory write depth for Port A.
-- C_READ_DEPTH_A : Memory read depth for Port A.
-- C_ADDRA_WIDTH : Width of the ADDRA input port
-- C_HAS_RSTB : Determines the presence of the RSTB port
-- C_RST_PRIORITY_B : Determines the priority between CE and SR for
-- Port B.
-- C_RSTRAM_B : Determines if special reset behavior is used for
-- Port B
-- C_INITB_VAL : The initialization value for Port B
-- C_HAS_ENB : Determines the presence of the ENB port
-- C_HAS_REGCEB : Determines the presence of the REGCEB port
-- C_USE_BYTE_WEB : Determines if the Byte Write is used or not.
-- C_WEB_WIDTH : The width of the WEB port
-- C_WRITE_MODE_B : Configurable write mode for Port B. It can be
-- WRITE_FIRST, READ_FIRST or NO_CHANGE.
-- C_WRITE_WIDTH_B : Memory write width for Port B.
-- C_READ_WIDTH_B : Memory read width for Port B.
-- C_WRITE_DEPTH_B : Memory write depth for Port B.
-- C_READ_DEPTH_B : Memory read depth for Port B.
-- C_ADDRB_WIDTH : Width of the ADDRB input port
-- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output
-- of the RAM primitive for Port A.
-- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output
-- of the RAM primitive for Port B.
-- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output
-- of the MUX for Port A.
-- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output
-- of the MUX for Port B.
-- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in
-- between the muxes.
-- C_USE_SOFTECC : Determines if the Soft ECC feature is used or
-- not. Only applicable Spartan-6
-- C_USE_ECC : Determines if the ECC feature is used or
-- not. Only applicable for V5 and V6
-- C_HAS_INJECTERR : Determines if the error injection pins
-- are present or not. If the ECC feature
-- is not used, this value is defaulted to
-- 0, else the following are the allowed
-- values:
-- 0 : No INJECTSBITERR or INJECTDBITERR pins
-- 1 : Only INJECTSBITERR pin exists
-- 2 : Only INJECTDBITERR pin exists
-- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist
-- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision
-- warnings. It can be "ALL", "NONE",
-- "Warnings_Only" or "Generate_X_Only".
-- C_COMMON_CLK : Determins if the core has a single CLK input.
-- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings
-- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range
-- warnings
---------------------------------------------------------------------------
-- Port Definitions
---------------------------------------------------------------------------
-- CLKA : Clock to synchronize all read and write operations of Port A.
-- RSTA : Reset input to reset memory outputs to a user-defined
-- reset state for Port A.
-- ENA : Enable all read and write operations of Port A.
-- REGCEA : Register Clock Enable to control each pipeline output
-- register stages for Port A.
-- WEA : Write Enable to enable all write operations of Port A.
-- ADDRA : Address of Port A.
-- DINA : Data input of Port A.
-- DOUTA : Data output of Port A.
-- CLKB : Clock to synchronize all read and write operations of Port B.
-- RSTB : Reset input to reset memory outputs to a user-defined
-- reset state for Port B.
-- ENB : Enable all read and write operations of Port B.
-- REGCEB : Register Clock Enable to control each pipeline output
-- register stages for Port B.
-- WEB : Write Enable to enable all write operations of Port B.
-- ADDRB : Address of Port B.
-- DINB : Data input of Port B.
-- DOUTB : Data output of Port B.
-- INJECTSBITERR : Single Bit ECC Error Injection Pin.
-- INJECTDBITERR : Double Bit ECC Error Injection Pin.
-- SBITERR : Output signal indicating that a Single Bit ECC Error has been
-- detected and corrected.
-- DBITERR : Output signal indicating that a Double Bit ECC Error has been
-- detected.
-- RDADDRECC : Read Address Output signal indicating address at which an
-- ECC error has occurred.
---------------------------------------------------------------------------
ARCHITECTURE mem_module_behavioral OF blk_mem_gen_v8_3_1_mem_module IS
--****************************************
-- min/max constant functions
--****************************************
-- get_max
----------
function SLV_TO_INT(SLV: in std_logic_vector
) return integer is
variable int : integer;
begin
int := 0;
for i in SLV'high downto SLV'low loop
int := int * 2;
if SLV(i) = '1' then
int := int + 1;
end if;
end loop;
return int;
end;
FUNCTION get_max(a: INTEGER; b: INTEGER) RETURN INTEGER IS
BEGIN
IF (a > b) THEN
RETURN a;
ELSE
RETURN b;
END IF;
END FUNCTION;
-- get_min
----------
FUNCTION get_min(a: INTEGER; b: INTEGER) RETURN INTEGER IS
BEGIN
IF (a < b) THEN
RETURN a;
ELSE
RETURN b;
END IF;
END FUNCTION;
--***************************************************************
-- convert write_mode from STRING type for use in case statement
--***************************************************************
FUNCTION write_mode_to_vector(mode: STRING) RETURN STD_LOGIC_VECTOR IS
BEGIN
IF (mode = "NO_CHANGE") THEN
RETURN "10";
ELSIF (mode = "READ_FIRST") THEN
RETURN "01";
ELSE
RETURN "00"; -- WRITE_FIRST
END IF;
END FUNCTION;
--***************************************************************
-- convert hex STRING to STD_LOGIC_VECTOR
--***************************************************************
FUNCTION hex_to_std_logic_vector(
hex_str : STRING;
return_width : INTEGER)
RETURN STD_LOGIC_VECTOR IS
VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1
DOWNTO 0);
BEGIN
tmp := (OTHERS => '0');
FOR i IN 1 TO hex_str'LENGTH LOOP
CASE hex_str((hex_str'LENGTH+1)-i) IS
WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000";
WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001";
WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010";
WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011";
WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100";
WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101";
WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110";
WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111";
WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000";
WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001";
WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010";
WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011";
WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100";
WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101";
WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110";
WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111";
WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111";
END CASE;
END LOOP;
RETURN tmp(return_width-1 DOWNTO 0);
END hex_to_std_logic_vector;
--***************************************************************
-- convert bit to STD_LOGIC
--***************************************************************
FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS
VARIABLE temp_return : STD_LOGIC;
BEGIN
IF (input = '0') THEN
temp_return := '0';
ELSE
temp_return := '1';
END IF;
RETURN temp_return;
END bit_to_sl;
--***************************************************************
-- locally derived constants to determine memory shape
--***************************************************************
CONSTANT MIN_WIDTH_A : INTEGER := get_min(C_WRITE_WIDTH_A, C_READ_WIDTH_A);
CONSTANT MIN_WIDTH_B : INTEGER := get_min(C_WRITE_WIDTH_B,C_READ_WIDTH_B);
CONSTANT MIN_WIDTH : INTEGER := get_min(MIN_WIDTH_A, MIN_WIDTH_B);
CONSTANT MAX_DEPTH_A : INTEGER := get_max(C_WRITE_DEPTH_A, C_READ_DEPTH_A);
CONSTANT MAX_DEPTH_B : INTEGER := get_max(C_WRITE_DEPTH_B, C_READ_DEPTH_B);
CONSTANT MAX_DEPTH : INTEGER := get_max(MAX_DEPTH_A, MAX_DEPTH_B);
TYPE int_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF std_logic_vector(C_WRITE_WIDTH_A-1 DOWNTO 0);
TYPE mem_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC_VECTOR(MIN_WIDTH-1 DOWNTO 0);
TYPE ecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC;
TYPE softecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC;
--***************************************************************
-- memory initialization function
--***************************************************************
IMPURE FUNCTION init_memory(DEFAULT_DATA :
STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0);
write_width_a : INTEGER;
depth : INTEGER;
width : INTEGER)
RETURN mem_array IS
VARIABLE init_return : mem_array := (OTHERS => (OTHERS => '0'));
FILE init_file : TEXT;
VARIABLE mem_vector : BIT_VECTOR(write_width_a-1 DOWNTO 0);
VARIABLE int_mem_vector : int_array:= (OTHERS => (OTHERS => '0'));
VARIABLE file_buffer : LINE;
VARIABLE i : INTEGER := 0;
VARIABLE j : INTEGER;
VARIABLE k : INTEGER;
VARIABLE ignore_line : BOOLEAN := false;
VARIABLE good_data : BOOLEAN := false;
VARIABLE char_tmp : CHARACTER;
VARIABLE index : INTEGER;
variable init_addr_slv : std_logic_vector(31 downto 0) := (others => '0');
variable data : std_logic_vector(255 downto 0) := (others => '0');
variable inside_init_addr_slv : std_logic_vector(31 downto 0) := (others => '0');
variable k_slv : std_logic_vector(31 downto 0) := (others => '0');
variable i_slv : std_logic_vector(31 downto 0) := (others => '0');
VARIABLE disp_line : line := null;
variable open_status : file_open_status;
variable input_initf_tmp : mem_array ;
variable input_initf : mem_array := (others => (others => '0'));
file int_infile : text;
variable data_line, data_line_tmp, out_data_line : line;
variable slv_width : integer;
VARIABLE d_l : LINE;
BEGIN
--Display output message indicating that the behavioral model is being
--initialized
-- Setup the default data
-- Default data is with respect to write_port_A and may be wider
-- or narrower than init_return width. The following loops map
-- default data into the memory
IF (C_USE_DEFAULT_DATA=1) THEN
index := 0;
FOR i IN 0 TO depth-1 LOOP
FOR j IN 0 TO width-1 LOOP
init_return(i)(j) := DEFAULT_DATA(index);
index := (index + 1) MOD C_WRITE_WIDTH_A;
END LOOP;
END LOOP;
END IF;
-- Read in the .mif file
-- The init data is formatted with respect to write port A dimensions.
-- The init_return vector is formatted with respect to minimum width and
-- maximum depth; the following loops map the .mif file into the memory
IF (C_LOAD_INIT_FILE=1) THEN
file_open(init_file, C_INIT_FILE_NAME, read_mode);
i := 0;
WHILE (i < depth AND NOT endfile(init_file)) LOOP
mem_vector := (OTHERS => '0');
readline(init_file, file_buffer);
read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0));
FOR j IN 0 TO write_width_a-1 LOOP
IF (j MOD width = 0 AND j /= 0) THEN
i := i + 1;
END IF;
init_return(i)(j MOD width) := bit_to_sl(mem_vector(j));
END LOOP;
i := i + 1;
END LOOP;
file_close(init_file);
END IF;
--Display output message indicating that the behavioral model is done
--initializing
ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator data initialization complete." SEVERITY NOTE;
if (C_USE_BRAM_BLOCK = 1) then
--Display output message indicating that the behavioral model is being
--initialized
-- Read in the .mem file
-- The init data is formatted with respect to write port A dimensions.
-- The init_return vector is formatted with respect to minimum width and
-- maximum depth; the following loops map the .mif file into the memory
IF (C_INIT_FILE /= "NONE") then
file_open(open_status, int_infile, C_INIT_FILE, read_mode);
while not endfile(int_infile) loop
readline(int_infile, data_line);
while (data_line /= null and data_line'length > 0) loop
if (data_line(data_line'low to data_line'low + 1) = "//") then
deallocate(data_line);
elsif ((data_line(data_line'low to data_line'low + 1) = "/*") and (data_line(data_line'high-1 to data_line'high) = "*/")) then
deallocate(data_line);
elsif (data_line(data_line'low to data_line'low + 1) = "/*") then
deallocate(data_line);
ignore_line := true;
elsif (ignore_line = true and data_line(data_line'high-1 to data_line'high) = "*/") then
deallocate(data_line);
ignore_line := false;
elsif (ignore_line = false and data_line(data_line'low) = '@') then
read(data_line, char_tmp);
hread(data_line, init_addr_slv, good_data);
i := SLV_TO_INT(init_addr_slv);
elsif (ignore_line = false) then
hread(data_line, input_initf_tmp(i), good_data);
init_return(i)(write_width_a - 1 downto 0) := input_initf_tmp(i)(write_width_a - 1 downto 0);
if (good_data = true) then
i := i + 1;
end if;
else
deallocate(data_line);
end if;
end loop;
end loop;
file_close(int_infile);
END IF;
END IF;
RETURN init_return;
END FUNCTION;
--***************************************************************
-- memory type constants
--***************************************************************
CONSTANT MEM_TYPE_SP_RAM : INTEGER := 0;
CONSTANT MEM_TYPE_SDP_RAM : INTEGER := 1;
CONSTANT MEM_TYPE_TDP_RAM : INTEGER := 2;
CONSTANT MEM_TYPE_SP_ROM : INTEGER := 3;
CONSTANT MEM_TYPE_DP_ROM : INTEGER := 4;
--***************************************************************
-- memory configuration constant functions
--***************************************************************
--get_single_port
-----------------
FUNCTION get_single_port(mem_type : INTEGER) RETURN INTEGER IS
BEGIN
IF (mem_type=MEM_TYPE_SP_RAM OR mem_type=MEM_TYPE_SP_ROM) THEN
RETURN 1;
ELSE
RETURN 0;
END IF;
END get_single_port;
--get_is_rom
--------------
FUNCTION get_is_rom(mem_type : INTEGER) RETURN INTEGER IS
BEGIN
IF (mem_type=MEM_TYPE_SP_ROM OR mem_type=MEM_TYPE_DP_ROM) THEN
RETURN 1;
ELSE
RETURN 0;
END IF;
END get_is_rom;
--get_has_a_write
------------------
FUNCTION get_has_a_write(IS_ROM : INTEGER) RETURN INTEGER IS
BEGIN
IF (IS_ROM=0) THEN
RETURN 1;
ELSE
RETURN 0;
END IF;
END get_has_a_write;
--get_has_b_write
------------------
FUNCTION get_has_b_write(mem_type : INTEGER) RETURN INTEGER IS
BEGIN
IF (mem_type=MEM_TYPE_TDP_RAM) THEN
RETURN 1;
ELSE
RETURN 0;
END IF;
END get_has_b_write;
--get_has_a_read
------------------
FUNCTION get_has_a_read(mem_type : INTEGER) RETURN INTEGER IS
BEGIN
IF (mem_type=MEM_TYPE_SDP_RAM) THEN
RETURN 0;
ELSE
RETURN 1;
END IF;
END get_has_a_read;
--get_has_b_read
------------------
FUNCTION get_has_b_read(SINGLE_PORT : INTEGER) RETURN INTEGER IS
BEGIN
IF (SINGLE_PORT=1) THEN
RETURN 0;
ELSE
RETURN 1;
END IF;
END get_has_b_read;
--get_has_b_port
------------------
FUNCTION get_has_b_port(HAS_B_READ : INTEGER;
HAS_B_WRITE : INTEGER)
RETURN INTEGER IS
BEGIN
IF (HAS_B_READ=1 OR HAS_B_WRITE=1) THEN
RETURN 1;
ELSE
RETURN 0;
END IF;
END get_has_b_port;
--get_num_output_stages
-----------------------
FUNCTION get_num_output_stages(has_mem_output_regs : INTEGER;
has_mux_output_regs : INTEGER;
mux_pipeline_stages : INTEGER)
RETURN INTEGER IS
VARIABLE actual_mux_pipeline_stages : INTEGER;
BEGIN
-- Mux pipeline stages can be non-zero only when there is a mux
-- output register.
IF (has_mux_output_regs=1) THEN
actual_mux_pipeline_stages := mux_pipeline_stages;
ELSE
actual_mux_pipeline_stages := 0;
END IF;
RETURN has_mem_output_regs+actual_mux_pipeline_stages+has_mux_output_regs;
END get_num_output_stages;
--***************************************************************************
-- Component declaration of the VARIABLE depth output register stage
--***************************************************************************
COMPONENT blk_mem_gen_v8_3_1_output_stage
GENERIC (
C_FAMILY : STRING := "virtex7";
C_XDEVICEFAMILY : STRING := "virtex7";
C_RST_TYPE : STRING := "SYNC";
C_HAS_RST : INTEGER := 0;
C_RSTRAM : INTEGER := 0;
C_RST_PRIORITY : STRING := "CE";
init_val : STD_LOGIC_VECTOR;
C_HAS_EN : INTEGER := 0;
C_HAS_REGCE : INTEGER := 0;
C_DATA_WIDTH : INTEGER := 32;
C_ADDRB_WIDTH : INTEGER := 10;
C_HAS_MEM_OUTPUT_REGS : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
NUM_STAGES : INTEGER := 1;
C_EN_ECC_PIPE : INTEGER := 0;
FLOP_DELAY : TIME := 100 ps);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
REGCE : IN STD_LOGIC;
EN : IN STD_LOGIC;
DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
SBITERR_IN_I : IN STD_LOGIC;
DBITERR_IN_I : IN STD_LOGIC;
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
ECCPIPECE : IN STD_LOGIC;
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_1_output_stage;
COMPONENT blk_mem_gen_v8_3_1_softecc_output_reg_stage
GENERIC (
C_DATA_WIDTH : INTEGER := 32;
C_ADDRB_WIDTH : INTEGER := 10;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
FLOP_DELAY : TIME := 100 ps
);
PORT (
CLK : IN STD_LOGIC;
DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
SBITERR_IN : IN STD_LOGIC;
DBITERR_IN : IN STD_LOGIC;
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_1_softecc_output_reg_stage;
--******************************************************
-- locally derived constants to assist memory access
--******************************************************
CONSTANT WRITE_WIDTH_RATIO_A : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH;
CONSTANT READ_WIDTH_RATIO_A : INTEGER := C_READ_WIDTH_A/MIN_WIDTH;
CONSTANT WRITE_WIDTH_RATIO_B : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH;
CONSTANT READ_WIDTH_RATIO_B : INTEGER := C_READ_WIDTH_B/MIN_WIDTH;
--******************************************************
-- To modify the LSBs of the 'wider' data to the actual
-- address value
--******************************************************
CONSTANT WRITE_ADDR_A_DIV : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH_A;
CONSTANT READ_ADDR_A_DIV : INTEGER := C_READ_WIDTH_A/MIN_WIDTH_A;
CONSTANT WRITE_ADDR_B_DIV : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH_B;
CONSTANT READ_ADDR_B_DIV : INTEGER := C_READ_WIDTH_B/MIN_WIDTH_B;
--******************************************************
-- FUNCTION : log2roundup
--******************************************************
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 0;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
-----------------------------------------------------------------------------
-- FUNCTION : log2int
-----------------------------------------------------------------------------
FUNCTION log2int (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := data_value;
BEGIN
WHILE (cnt >1) LOOP
width := width + 1;
cnt := cnt/2;
END LOOP;
RETURN width;
END log2int;
------------------------------------------------------------------------------
-- FUNCTION: if_then_else
-- This function is used to implement an IF..THEN when such a statement is not
-- allowed.
------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
--******************************************************
-- Other constants and signals
--******************************************************
CONSTANT COLL_DELAY : TIME := 100 ps;
-- default data vector
CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= hex_to_std_logic_vector(C_DEFAULT_DATA,
C_WRITE_WIDTH_A);
CONSTANT CHKBIT_WIDTH : INTEGER := if_then_else(C_WRITE_WIDTH_A>57,8,if_then_else(C_WRITE_WIDTH_A>26,7,if_then_else(C_WRITE_WIDTH_A>11,6,if_then_else(C_WRITE_WIDTH_A>4,5,if_then_else(C_WRITE_WIDTH_A<5,4,0)))));
-- the init memory SIGNAL
SIGNAL memory_i : mem_array;
SIGNAL doublebit_error_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0);
SIGNAL current_contents_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0);
-- write mode constants
CONSTANT WRITE_MODE_A : STD_LOGIC_VECTOR(1 DOWNTO 0) :=
write_mode_to_vector(C_WRITE_MODE_A);
CONSTANT WRITE_MODE_B : STD_LOGIC_VECTOR(1 DOWNTO 0) :=
write_mode_to_vector(C_WRITE_MODE_B);
CONSTANT WRITE_MODES : STD_LOGIC_VECTOR(3 DOWNTO 0) :=
WRITE_MODE_A & WRITE_MODE_B;
-- reset values
CONSTANT INITA_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0)
:= hex_to_std_logic_vector(C_INITA_VAL,
C_READ_WIDTH_A);
CONSTANT INITB_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0)
:= hex_to_std_logic_vector(C_INITB_VAL,
C_READ_WIDTH_B);
-- memory output 'latches'
SIGNAL memory_out_a : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) :=
INITA_VAL;
SIGNAL memory_out_b : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) :=
INITB_VAL;
SIGNAL sbiterr_in : STD_LOGIC := '0';
SIGNAL sbiterr_sdp : STD_LOGIC := '0';
SIGNAL dbiterr_in : STD_LOGIC := '0';
SIGNAL dbiterr_sdp : STD_LOGIC := '0';
SIGNAL rdaddrecc_in : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rdaddrecc_sdp : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL doutb_i : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL sbiterr_i : STD_LOGIC := '0';
SIGNAL dbiterr_i : STD_LOGIC := '0';
-- memory configuration constants
-----------------------------------------------
CONSTANT SINGLE_PORT : INTEGER := get_single_port(C_MEM_TYPE);
CONSTANT IS_ROM : INTEGER := get_is_rom(C_MEM_TYPE);
CONSTANT HAS_A_WRITE : INTEGER := get_has_a_write(IS_ROM);
CONSTANT HAS_B_WRITE : INTEGER := get_has_b_write(C_MEM_TYPE);
CONSTANT HAS_A_READ : INTEGER := get_has_a_read(C_MEM_TYPE);
CONSTANT HAS_B_READ : INTEGER := get_has_b_read(SINGLE_PORT);
CONSTANT HAS_B_PORT : INTEGER := get_has_b_port(HAS_B_READ, HAS_B_WRITE);
CONSTANT NUM_OUTPUT_STAGES_A : INTEGER :=
get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_A,
C_MUX_PIPELINE_STAGES);
CONSTANT NUM_OUTPUT_STAGES_B : INTEGER :=
get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_B,
C_MUX_PIPELINE_STAGES);
CONSTANT WEA0 : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
CONSTANT WEB0 : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-----------------------------------------------------------------------------
-- DEBUG CONTROL
-- DEBUG=0 : Debug output OFF
-- DEBUG=1 : Some debug info printed
-----------------------------------------------------------------------------
CONSTANT DEBUG : INTEGER := 0;
-- internal signals
-----------------------------------------------
SIGNAL ena_i : STD_LOGIC;
SIGNAL enb_i : STD_LOGIC;
SIGNAL reseta_i : STD_LOGIC;
SIGNAL resetb_i : STD_LOGIC;
SIGNAL wea_i : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0);
SIGNAL web_i : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0);
SIGNAL rea_i : STD_LOGIC;
SIGNAL reb_i : STD_LOGIC;
SIGNAL message_complete : BOOLEAN := false;
SIGNAL rsta_outp_stage : STD_LOGIC := '0';
SIGNAL rstb_outp_stage : STD_LOGIC := '0';
--*********************************************************
--FUNCTION : Collision check
--*********************************************************
FUNCTION collision_check (addr_a :
STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0);
iswrite_a : BOOLEAN;
addr_b :
STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
iswrite_b : BOOLEAN)
RETURN BOOLEAN IS
VARIABLE c_aw_bw : INTEGER;
VARIABLE c_aw_br : INTEGER;
VARIABLE c_ar_bw : INTEGER;
VARIABLE write_addr_a_width : INTEGER;
VARIABLE read_addr_a_width : INTEGER;
VARIABLE write_addr_b_width : INTEGER;
VARIABLE read_addr_b_width : INTEGER;
BEGIN
c_aw_bw := 0;
c_aw_br := 0;
c_ar_bw := 0;
-- Determine the effective address widths FOR each of the 4 ports
write_addr_a_width := C_ADDRA_WIDTH-log2roundup(WRITE_ADDR_A_DIV);
read_addr_a_width := C_ADDRA_WIDTH-log2roundup(READ_ADDR_A_DIV);
write_addr_b_width := C_ADDRB_WIDTH-log2roundup(WRITE_ADDR_B_DIV);
read_addr_b_width := C_ADDRB_WIDTH-log2roundup(READ_ADDR_B_DIV);
--Look FOR a write-write collision. In order FOR a write-write
--collision to exist, both ports must have a write transaction.
IF (iswrite_a AND iswrite_b) THEN
IF (write_addr_a_width > write_addr_b_width) THEN
--write_addr_b_width is smaller, so scale both addresses to that
-- width FOR comparing write_addr_a and write_addr_b
--addr_a starts as C_ADDRA_WIDTH,
-- scale it down to write_addr_b_width
--addr_b starts as C_ADDRB_WIDTH,
-- scale it down to write_addr_b_width
--Once both are scaled to write_addr_b_width, compare.
IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) =
(conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN
c_aw_bw := 1;
ELSE
c_aw_bw := 0;
END IF;
ELSE
--write_addr_a_width is smaller, so scale both addresses to that
-- width FOR comparing write_addr_a and write_addr_b
--addr_a starts as C_ADDRA_WIDTH,
-- scale it down to write_addr_a_width
--addr_b starts as C_ADDRB_WIDTH,
-- scale it down to write_addr_a_width
--Once both are scaled to write_addr_a_width, compare.
IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) =
(conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN
c_aw_bw := 1;
ELSE
c_aw_bw := 0;
END IF;
END IF; --width
END IF; --iswrite_a and iswrite_b
--If the B port is reading (which means it is enabled - so could be
-- a TX_WRITE or TX_READ), then check FOR a write-read collision).
--This could happen whether or not a write-write collision exists due
-- to asymmetric write/read ports.
IF (iswrite_a) THEN
IF (write_addr_a_width > read_addr_b_width) THEN
--read_addr_b_width is smaller, so scale both addresses to that
-- width FOR comparing write_addr_a and read_addr_b
--addr_a starts as C_ADDRA_WIDTH,
-- scale it down to read_addr_b_width
--addr_b starts as C_ADDRB_WIDTH,
-- scale it down to read_addr_b_width
--Once both are scaled to read_addr_b_width, compare.
IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_b_width)) =
(conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_b_width))) THEN
c_aw_br := 1;
ELSE
c_aw_br := 0;
END IF;
ELSE
--write_addr_a_width is smaller, so scale both addresses to that
-- width FOR comparing write_addr_a and read_addr_b
--addr_a starts as C_ADDRA_WIDTH,
-- scale it down to write_addr_a_width
--addr_b starts as C_ADDRB_WIDTH,
-- scale it down to write_addr_a_width
--Once both are scaled to write_addr_a_width, compare.
IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) =
(conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN
c_aw_br := 1;
ELSE
c_aw_br := 0;
END IF;
END IF; --width
END IF; --iswrite_a
--If the A port is reading (which means it is enabled - so could be
-- a TX_WRITE or TX_READ), then check FOR a write-read collision).
--This could happen whether or not a write-write collision exists due
-- to asymmetric write/read ports.
IF (iswrite_b) THEN
IF (read_addr_a_width > write_addr_b_width) THEN
--write_addr_b_width is smaller, so scale both addresses to that
-- width FOR comparing read_addr_a and write_addr_b
--addr_a starts as C_ADDRA_WIDTH,
-- scale it down to write_addr_b_width
--addr_b starts as C_ADDRB_WIDTH,
-- scale it down to write_addr_b_width
--Once both are scaled to write_addr_b_width, compare.
IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) =
(conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN
c_ar_bw := 1;
ELSE
c_ar_bw := 0;
END IF;
ELSE
--read_addr_a_width is smaller, so scale both addresses to that
-- width FOR comparing read_addr_a and write_addr_b
--addr_a starts as C_ADDRA_WIDTH,
-- scale it down to read_addr_a_width
--addr_b starts as C_ADDRB_WIDTH,
-- scale it down to read_addr_a_width
--Once both are scaled to read_addr_a_width, compare.
IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_a_width)) =
(conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_a_width))) THEN
c_ar_bw := 1;
ELSE
c_ar_bw := 0;
END IF;
END IF; --width
END IF; --iswrite_b
RETURN (c_aw_bw=1 OR c_aw_br=1 OR c_ar_bw=1);
END FUNCTION collision_check;
BEGIN -- Architecture
-----------------------------------------------------------------------------
-- SOFTECC and ECC SBITERR/DBITERR Outputs
-- The ECC Behavior is modeled by the behavioral models only for Virtex-6.
-- The SOFTECC Behavior is modeled by the behavioral models for Spartan-6.
-- For Virtex-5, these outputs will be tied to 0.
-----------------------------------------------------------------------------
SBITERR <= sbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0';
DBITERR <= dbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0';
RDADDRECC <= rdaddrecc_sdp WHEN (((C_FAMILY="virtex7") AND C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0');
-----------------------------------------------
-- This effectively wires off optional inputs
-----------------------------------------------
ena_i <= ENA WHEN (C_HAS_ENA=1) ELSE '1';
enb_i <= ENB WHEN (C_HAS_ENB=1 AND HAS_B_PORT=1) ELSE '1';
-- We are doing an "AND" operation of WEA and ENA and passing to Enbale pin of BRAM when built-in ECC is enabled,
-- what this means is that the write operation happens only when both WEA and ENA are high.
wea_i <= WEA WHEN (HAS_A_WRITE=1 AND ena_i='1') ELSE WEA0;
-- wea_i <= (OTHERS => '1') WHEN (HAS_A_WRITE=1 AND C_MEM_TYPE = 1 AND C_USE_ECC = 1 AND C_HAS_ENA=1 AND ENA = '1') ELSE -- Use_ENA_pin
-- WEA WHEN (HAS_A_WRITE=1 AND C_MEM_TYPE = 1 AND C_USE_ECC = 1 AND C_HAS_ENA=0) ELSE -- Always_enabled
-- WEA WHEN (HAS_A_WRITE=1 AND ena_i='1' AND C_USE_ECC = 0) ELSE
-- WEA0;
web_i <= WEB WHEN (HAS_B_WRITE=1 AND enb_i='1') ELSE WEB0;
rea_i <= ena_i WHEN (HAS_A_READ=1) ELSE '0';
reb_i <= enb_i WHEN (HAS_B_READ=1) ELSE '0';
-- these signals reset the memory latches
-- For the special reset behaviors in some of the families, the C_RSTRAM
-- attribute of the corresponding port is used to indicate if the latch is
-- reset or not.
reseta_i <= RSTA WHEN
((C_HAS_RSTA=1 AND NUM_OUTPUT_STAGES_A=0) OR
(C_HAS_RSTA=1 AND C_RSTRAM_A=1))
ELSE '0';
resetb_i <= RSTB WHEN
((C_HAS_RSTB=1 AND NUM_OUTPUT_STAGES_B=0) OR
(C_HAS_RSTB=1 AND C_RSTRAM_B=1) )
ELSE '0';
--***************************************************************************
-- This is the main PROCESS which includes the memory VARIABLE and the read
-- and write procedures. It also schedules read and write operations
--***************************************************************************
PROCESS (CLKA, CLKB,rea_i,reb_i,reseta_i,resetb_i)
-- Initialize the init memory array
------------------------------------
VARIABLE memory : mem_array := init_memory(DEFAULT_DATA,
C_WRITE_WIDTH_A,
MAX_DEPTH,
MIN_WIDTH);
-- Initialize the mem memory array
------------------------------------
VARIABLE softecc_sbiterr_arr : softecc_err_array;
VARIABLE softecc_dbiterr_arr : softecc_err_array;
VARIABLE sbiterr_arr : ecc_err_array;
VARIABLE dbiterr_arr : ecc_err_array;
CONSTANT doublebit_lsb : STD_LOGIC_VECTOR (1 DOWNTO 0):="11";
CONSTANT doublebit_msb : STD_LOGIC_VECTOR (C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 DOWNTO 0):= (OTHERS => '0');
VARIABLE doublebit_error : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0) := doublebit_msb & doublebit_lsb ;
VARIABLE current_contents_var : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0);
--***********************************
-- procedures to access the memory
--***********************************
-- write_a
----------
PROCEDURE write_a
(addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0);
byte_en : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0);
data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0);
inj_sbiterr : IN STD_LOGIC;
inj_dbiterr : IN STD_LOGIC) IS
VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0);
VARIABLE address_i : INTEGER;
VARIABLE i : INTEGER;
VARIABLE message : LINE;
VARIABLE errbit_current_contents : STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
-- Block Memory Generator non-cycle-accurate message
ASSERT (message_complete) REPORT "Block Memory Generator module is using a behavioral model FOR simulation which will not precisely model memory collision behavior."
SEVERITY NOTE;
message_complete <= true;
-- Shift the address by the ratio
address_i := (conv_integer(addr)/WRITE_ADDR_A_DIV);
IF (address_i >= C_WRITE_DEPTH_A) THEN
IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN
ASSERT FALSE
REPORT C_CORENAME & " WARNING: Address " &
INTEGER'IMAGE(conv_integer(addr)) & " is outside range FOR A Write"
SEVERITY WARNING;
END IF;
-- valid address
ELSE
-- Combine w/ byte writes
IF (C_USE_BYTE_WEA = 1) THEN
-- Get the current memory contents
FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP
current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i)
:= memory(address_i*WRITE_WIDTH_RATIO_A + i);
END LOOP;
-- Apply incoming bytes
FOR i IN 0 TO C_WEA_WIDTH-1 LOOP
IF (byte_en(i) = '1') THEN
current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i)
:= data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i);
END IF;
END LOOP;
-- No byte-writes, overwrite the whole word
ELSE
current_contents := data;
END IF;
-- Insert double bit errors:
IF (C_USE_ECC = 1) THEN
IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN
current_contents(0) := NOT(current_contents(0));
current_contents(1) := NOT(current_contents(1));
--current_contents(0) := NOT(current_contents(30));
--current_contents(1) := NOT(current_contents(62));
END IF;
END IF;
-- Insert double bit errors:
IF (C_USE_SOFTECC=1) THEN
IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN
doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 downto 2) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 downto 0);
doublebit_error(0) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1);
doublebit_error(1) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-2);
current_contents := current_contents XOR doublebit_error(C_WRITE_WIDTH_A-1 DOWNTO 0);
END IF;
END IF;
IF(DEBUG=1) THEN
current_contents_var := current_contents; --for debugging current
END IF;
-- Write data to memory
FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP
memory(address_i*WRITE_WIDTH_RATIO_A + i) :=
current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i);
END LOOP;
-- Store address at which error is injected:
IF ((C_FAMILY = "virtex7") AND C_USE_ECC = 1) THEN
IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN
sbiterr_arr(address_i) := '1';
ELSE
sbiterr_arr(address_i) := '0';
END IF;
IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN
dbiterr_arr(address_i) := '1';
ELSE
dbiterr_arr(address_i) := '0';
END IF;
END IF;
-- Store address at which softecc error is injected:
IF (C_USE_SOFTECC = 1) THEN
IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN
softecc_sbiterr_arr(address_i) := '1';
ELSE
softecc_sbiterr_arr(address_i) := '0';
END IF;
IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN
softecc_dbiterr_arr(address_i) := '1';
ELSE
softecc_dbiterr_arr(address_i) := '0';
END IF;
END IF;
END IF;
END PROCEDURE;
-- write_b
----------
PROCEDURE write_b
(addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
byte_en : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0);
data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)) IS
VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0);
VARIABLE address_i : INTEGER;
VARIABLE i : INTEGER;
BEGIN
-- Shift the address by the ratio
address_i := (conv_integer(addr)/WRITE_ADDR_B_DIV);
IF (address_i >= C_WRITE_DEPTH_B) THEN
IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN
ASSERT FALSE
REPORT C_CORENAME & " WARNING: Address " &
INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Write"
SEVERITY WARNING;
END IF;
-- valid address
ELSE
-- Combine w/ byte writes
IF (C_USE_BYTE_WEB = 1) THEN
-- Get the current memory contents
FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP
current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i)
:= memory(address_i*WRITE_WIDTH_RATIO_B + i);
END LOOP;
-- Apply incoming bytes
FOR i IN 0 TO C_WEB_WIDTH-1 LOOP
IF (byte_en(i) = '1') THEN
current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i)
:= data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i);
END IF;
END LOOP;
-- No byte-writes, overwrite the whole word
ELSE
current_contents := data;
END IF;
-- Write data to memory
FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP
memory(address_i*WRITE_WIDTH_RATIO_B + i) :=
current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i);
END LOOP;
END IF;
END PROCEDURE;
-- read_a
----------
PROCEDURE read_a
(addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0);
reset : IN STD_LOGIC) IS
VARIABLE address_i : INTEGER;
VARIABLE i : INTEGER;
BEGIN
IF (reset = '1') THEN
memory_out_a <= INITA_VAL AFTER FLOP_DELAY;
ELSE
-- Shift the address by the ratio
address_i := (conv_integer(addr)/READ_ADDR_A_DIV);
IF (address_i >= C_READ_DEPTH_A) THEN
IF (C_DISABLE_WARN_BHV_RANGE=0) THEN
ASSERT FALSE
REPORT C_CORENAME & " WARNING: Address " &
INTEGER'IMAGE(conv_integer(addr)) & " is outside range for A Read"
SEVERITY WARNING;
END IF;
memory_out_a <= (OTHERS => 'X') AFTER FLOP_DELAY;
-- valid address
ELSE
-- Increment through the 'partial' words in the memory
FOR i IN 0 TO READ_WIDTH_RATIO_A-1 LOOP
memory_out_a(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <=
memory(address_i*READ_WIDTH_RATIO_A + i) AFTER FLOP_DELAY;
END LOOP;
END IF;
END IF;
END PROCEDURE;
-- read_b
----------
PROCEDURE read_b
(addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
reset : IN STD_LOGIC) IS
VARIABLE address_i : INTEGER;
VARIABLE i : INTEGER;
BEGIN
IF (reset = '1') THEN
memory_out_b <= INITB_VAL AFTER FLOP_DELAY;
sbiterr_in <= '0' AFTER FLOP_DELAY;
dbiterr_in <= '0' AFTER FLOP_DELAY;
rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY;
ELSE
-- Shift the address by the ratio
address_i := (conv_integer(addr)/READ_ADDR_B_DIV);
IF (address_i >= C_READ_DEPTH_B) THEN
IF (C_DISABLE_WARN_BHV_RANGE=0) THEN
ASSERT FALSE
REPORT C_CORENAME & " WARNING: Address " &
INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Read"
SEVERITY WARNING;
END IF;
memory_out_b <= (OTHERS => 'X') AFTER FLOP_DELAY;
sbiterr_in <= 'X' AFTER FLOP_DELAY;
dbiterr_in <= 'X' AFTER FLOP_DELAY;
rdaddrecc_in <= (OTHERS => 'X') AFTER FLOP_DELAY;
-- valid address
ELSE
-- Increment through the 'partial' words in the memory
FOR i IN 0 TO READ_WIDTH_RATIO_B-1 LOOP
memory_out_b(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <=
memory(address_i*READ_WIDTH_RATIO_B + i) AFTER FLOP_DELAY;
END LOOP;
--assert sbiterr and dbiterr signals
IF ((C_FAMILY="virtex7") AND C_USE_ECC = 1) THEN
rdaddrecc_in <= addr AFTER FLOP_DELAY;
IF (sbiterr_arr(address_i) = '1') THEN
sbiterr_in <= '1' AFTER FLOP_DELAY;
ELSE
sbiterr_in <= '0' AFTER FLOP_DELAY;
END IF;
IF (dbiterr_arr(address_i) = '1') THEN
dbiterr_in <= '1' AFTER FLOP_DELAY;
ELSE
dbiterr_in <= '0' AFTER FLOP_DELAY;
END IF;
--assert softecc sbiterr and dbiterr signals
ELSIF (C_USE_SOFTECC = 1) THEN
rdaddrecc_in <= addr AFTER FLOP_DELAY;
IF (softecc_sbiterr_arr(address_i) = '1') THEN
sbiterr_in <= '1' AFTER FLOP_DELAY;
ELSE
sbiterr_in <= '0' AFTER FLOP_DELAY;
END IF;
IF (softecc_dbiterr_arr(address_i) = '1') THEN
dbiterr_in <= '1' AFTER FLOP_DELAY;
ELSE
dbiterr_in <= '0' AFTER FLOP_DELAY;
END IF;
ELSE
sbiterr_in <= '0' AFTER FLOP_DELAY;
dbiterr_in <= '0' AFTER FLOP_DELAY;
rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY;
END IF;
END IF;
END IF;
END PROCEDURE;
-- reset_a
----------
PROCEDURE reset_a
(reset : IN STD_LOGIC) IS
BEGIN
IF (reset = '1') THEN
memory_out_a <= INITA_VAL AFTER FLOP_DELAY;
END IF;
END PROCEDURE;
-- reset_b
----------
PROCEDURE reset_b
(reset : IN STD_LOGIC) IS
BEGIN
IF (reset = '1') THEN
memory_out_b <= INITB_VAL AFTER FLOP_DELAY;
END IF;
END PROCEDURE;
BEGIN -- begin the main PROCESS
--***************************************************************************
-- These are the main blocks which schedule read and write operations
-- Note that the reset priority feature at the latch stage is only supported
-- for Spartan-6. For other families, the default priority at the latch stage
-- is "CE"
--***************************************************************************
-- Synchronous clocks: schedule port operations with respect to both
-- write operating modes
IF (C_COMMON_CLK=1) THEN
IF (CLKA='1' AND CLKA'EVENT) THEN
CASE WRITE_MODES IS
WHEN "0000" => -- write_first write_first
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
WHEN "0100" => -- read_first write_first
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
WHEN "0001" => -- write_first read_first
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
WHEN "0101" => --read_first read_first
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
WHEN "0010" => -- write_first no_change
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
--Read B
IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN
read_b(ADDRB, resetb_i);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
WHEN "0110" => -- read_first no_change
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
--Read B
IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN
read_b(ADDRB, resetb_i);
END IF;
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
WHEN "1000" => -- no_change write_first
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
--Read A
IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN
read_a(ADDRA, reseta_i);
END IF;
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
WHEN "1001" => -- no_change read_first
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
--Read A
IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN
read_a(ADDRA, reseta_i);
END IF;
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
WHEN "1010" => -- no_change no_change
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
--Read A
IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN
read_a(ADDRA, reseta_i);
END IF;
--Read B
IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN
read_b(ADDRB, resetb_i);
END IF;
WHEN OTHERS =>
ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR;
END CASE;
END IF;
END IF; -- Synchronous clocks
-- Asynchronous clocks: port operation is independent
IF (C_COMMON_CLK=0) THEN
IF (CLKA='1' AND CLKA'EVENT) THEN
CASE WRITE_MODE_A IS
WHEN "00" => -- write_first
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
WHEN "01" => -- read_first
--Read A
IF (rea_i='1') THEN
read_a(ADDRA, reseta_i);
END IF;
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
WHEN "10" => -- no_change
--Write A
IF (wea_i/=WEA0) THEN
write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR);
END IF;
--Read A
IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN
read_a(ADDRA, reseta_i);
END IF;
WHEN OTHERS =>
ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR;
END CASE;
END IF;
IF (CLKB='1' AND CLKB'EVENT) THEN
CASE WRITE_MODE_B IS
WHEN "00" => -- write_first
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
WHEN "01" => -- read_first
--Read B
IF (reb_i='1') THEN
read_b(ADDRB, resetb_i);
END IF;
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
WHEN "10" => -- no_change
--Write B
IF (web_i/=WEB0) THEN
write_b(ADDRB, web_i, DINB);
END IF;
--Read B
IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN
read_b(ADDRB, resetb_i);
END IF;
WHEN OTHERS =>
ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR;
END CASE;
END IF;
END IF; -- Asynchronous clocks
-- Assign the memory VARIABLE to the user_visible memory_i SIGNAL
IF(DEBUG=1) THEN
memory_i <= memory;
doublebit_error_i <= doublebit_error;
current_contents_i <= current_contents_var;
END IF;
END PROCESS;
--********************************************************************
-- Instantiate the VARIABLE depth output stage
--********************************************************************
-- Port A
rsta_outp_stage <= RSTA and not sleep;
rstb_outp_stage <= RSTB and not sleep;
reg_a : blk_mem_gen_v8_3_1_output_stage
GENERIC MAP(
C_FAMILY => C_FAMILY,
C_XDEVICEFAMILY => C_XDEVICEFAMILY,
C_RST_TYPE => "SYNC",
C_HAS_RST => C_HAS_RSTA,
C_RSTRAM => C_RSTRAM_A,
C_RST_PRIORITY => C_RST_PRIORITY_A,
init_val => INITA_VAL,
C_HAS_EN => C_HAS_ENA,
C_HAS_REGCE => C_HAS_REGCEA,
C_DATA_WIDTH => C_READ_WIDTH_A,
C_ADDRB_WIDTH => C_ADDRB_WIDTH,
C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_A,
C_USE_SOFTECC => C_USE_SOFTECC,
C_USE_ECC => C_USE_ECC,
NUM_STAGES => NUM_OUTPUT_STAGES_A,
C_EN_ECC_PIPE => C_EN_ECC_PIPE,
FLOP_DELAY => FLOP_DELAY
)
PORT MAP (
CLK => CLKA,
RST => rsta_outp_stage, --RSTA,
EN => ENA,
REGCE => REGCEA,
DIN_I => memory_out_a,
DOUT => DOUTA,
SBITERR_IN_I => '0',
DBITERR_IN_I => '0',
SBITERR => OPEN,
DBITERR => OPEN,
RDADDRECC_IN_I => (OTHERS => '0'),
ECCPIPECE => '0',
RDADDRECC => OPEN
);
-- Port B
reg_b : blk_mem_gen_v8_3_1_output_stage
GENERIC MAP(
C_FAMILY => C_FAMILY,
C_XDEVICEFAMILY => C_XDEVICEFAMILY,
C_RST_TYPE => "SYNC",
C_HAS_RST => C_HAS_RSTB,
C_RSTRAM => C_RSTRAM_B,
C_RST_PRIORITY => C_RST_PRIORITY_B,
init_val => INITB_VAL,
C_HAS_EN => C_HAS_ENB,
C_HAS_REGCE => C_HAS_REGCEB,
C_DATA_WIDTH => C_READ_WIDTH_B,
C_ADDRB_WIDTH => C_ADDRB_WIDTH,
C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_B,
C_USE_SOFTECC => C_USE_SOFTECC,
C_USE_ECC => C_USE_ECC,
NUM_STAGES => NUM_OUTPUT_STAGES_B,
C_EN_ECC_PIPE => C_EN_ECC_PIPE,
FLOP_DELAY => FLOP_DELAY
)
PORT MAP (
CLK => CLKB,
RST => rstb_outp_stage,--RSTB,
EN => ENB,
REGCE => REGCEB,
DIN_I => memory_out_b,
DOUT => doutb_i,
SBITERR_IN_I => sbiterr_in,
DBITERR_IN_I => dbiterr_in,
SBITERR => sbiterr_i,
DBITERR => dbiterr_i,
RDADDRECC_IN_I => rdaddrecc_in,
ECCPIPECE => ECCPIPECE,
RDADDRECC => rdaddrecc_i
);
--********************************************************************
-- Instantiate the input / Output Register stages
--********************************************************************
output_reg_stage: blk_mem_gen_v8_3_1_softecc_output_reg_stage
GENERIC MAP(
C_DATA_WIDTH => C_READ_WIDTH_B,
C_ADDRB_WIDTH => C_ADDRB_WIDTH,
C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B,
C_USE_SOFTECC => C_USE_SOFTECC,
FLOP_DELAY => FLOP_DELAY
)
PORT MAP(
CLK => CLKB,
DIN => doutb_i,
DOUT => DOUTB,
SBITERR_IN => sbiterr_i,
DBITERR_IN => dbiterr_i,
SBITERR => sbiterr_sdp,
DBITERR => dbiterr_sdp,
RDADDRECC_IN => rdaddrecc_i,
RDADDRECC => rdaddrecc_sdp
);
--*********************************
-- Synchronous collision checks
--*********************************
sync_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=1) GENERATE
PROCESS (CLKA)
use IEEE.STD_LOGIC_TEXTIO.ALL;
-- collision detect
VARIABLE is_collision : BOOLEAN;
VARIABLE message : LINE;
BEGIN
IF (CLKA='1' AND CLKA'EVENT) THEN
-- Possible collision if both are enabled and the addresses match
-- Not checking the collision condition when there is an 'x' on the Addr bus
IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN
is_collision := collision_check(ADDRA,
wea_i/=WEA0,
ADDRB,
web_i/=WEB0);
ELSE
is_collision := false;
END IF;
-- If the write port is in READ_FIRST mode, there is no collision
IF (C_WRITE_MODE_A="READ_FIRST" AND wea_i/=WEA0 AND web_i=WEB0) THEN
is_collision := false;
END IF;
IF (C_WRITE_MODE_B="READ_FIRST" AND web_i/=WEB0 AND wea_i=WEA0) THEN
is_collision := false;
END IF;
-- Only flag if one of the accesses is a write
IF (is_collision AND (wea_i/=WEA0 OR web_i/=WEB0)) THEN
write(message, C_CORENAME);
write(message, STRING'(" WARNING: collision detected: "));
IF (wea_i/=WEA0) THEN
write(message, STRING'("A write address: "));
ELSE
write(message, STRING'("A read address: "));
END IF;
write(message, ADDRA);
IF (web_i/=WEB0) THEN
write(message, STRING'(", B write address: "));
ELSE
write(message, STRING'(", B read address: "));
END IF;
write(message, ADDRB);
write(message, LF);
ASSERT false REPORT message.ALL SEVERITY WARNING;
deallocate(message);
END IF;
END IF;
END PROCESS;
END GENERATE;
--*********************************
-- Asynchronous collision checks
--*********************************
async_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=0) GENERATE
SIGNAL addra_delay : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0);
SIGNAL wea_delay : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0);
SIGNAL ena_delay : STD_LOGIC;
SIGNAL addrb_delay : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
SIGNAL web_delay : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0);
SIGNAL enb_delay : STD_LOGIC;
BEGIN
-- Delay A and B addresses in order to mimic setup/hold times
PROCESS (ADDRA, wea_i, ena_i, ADDRB, web_i, enb_i)
BEGIN
addra_delay <= ADDRA AFTER COLL_DELAY;
wea_delay <= wea_i AFTER COLL_DELAY;
ena_delay <= ena_i AFTER COLL_DELAY;
addrb_delay <= ADDRB AFTER COLL_DELAY;
web_delay <= web_i AFTER COLL_DELAY;
enb_delay <= enb_i AFTER COLL_DELAY;
END PROCESS;
-- Do the checks w/rt A
PROCESS (CLKA)
use IEEE.STD_LOGIC_TEXTIO.ALL;
VARIABLE is_collision_a : BOOLEAN;
VARIABLE is_collision_delay_a : BOOLEAN;
VARIABLE message : LINE;
BEGIN
-- Possible collision if both are enabled and the addresses match
-- Not checking the collision condition when there is an 'x' on the Addr bus
IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN
is_collision_a := collision_check(ADDRA,
wea_i/=WEA0,
ADDRB,
web_i/=WEB0);
ELSE
is_collision_a := false;
END IF;
IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(ADDRA)/='X') THEN
is_collision_delay_a := collision_check(ADDRA,
wea_i/=WEA0,
addrb_delay,
web_delay/=WEB0);
ELSE
is_collision_delay_a := false;
END IF;
-- Only flag if B access is a write
IF (is_collision_a AND web_i/=WEB0) THEN
write(message, C_CORENAME);
write(message, STRING'(" WARNING: collision detected: "));
IF (wea_i/=WEA0) THEN
write(message, STRING'("A write address: "));
ELSE
write(message, STRING'("A read address: "));
END IF;
write(message, ADDRA);
write(message, STRING'(", B write address: "));
write(message, ADDRB);
write(message, LF);
ASSERT false REPORT message.ALL SEVERITY WARNING;
deallocate(message);
ELSIF (is_collision_delay_a AND web_delay/=WEB0) THEN
write(message, C_CORENAME);
write(message, STRING'(" WARNING: collision detected: "));
IF (wea_i/=WEA0) THEN
write(message, STRING'("A write address: "));
ELSE
write(message, STRING'("A read address: "));
END IF;
write(message, ADDRA);
write(message, STRING'(", B write address: "));
write(message, addrb_delay);
write(message, LF);
ASSERT false REPORT message.ALL SEVERITY WARNING;
deallocate(message);
END IF;
END PROCESS;
-- Do the checks w/rt B
PROCESS (CLKB)
use IEEE.STD_LOGIC_TEXTIO.ALL;
VARIABLE is_collision_b : BOOLEAN;
VARIABLE is_collision_delay_b : BOOLEAN;
VARIABLE message : LINE;
BEGIN
-- Possible collision if both are enabled and the addresses match
-- Not checking the collision condition when there is an 'x' on the Addr bus
IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA) /= 'X') THEN
is_collision_b := collision_check(ADDRA,
wea_i/=WEA0,
ADDRB,
web_i/=WEB0);
ELSE
is_collision_b := false;
END IF;
IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(addra_delay) /= 'X') THEN
is_collision_delay_b := collision_check(addra_delay,
wea_delay/=WEA0,
ADDRB,
web_i/=WEB0);
ELSE
is_collision_delay_b := false;
END IF;
-- Only flag if A access is a write
-- Modified condition checking (is_collision_b AND WEA0_i=/WEA0) to fix CR526228
IF (is_collision_b AND wea_i/=WEA0) THEN
write(message, C_CORENAME);
write(message, STRING'(" WARNING: collision detected: "));
write(message, STRING'("A write address: "));
write(message, ADDRA);
IF (web_i/=WEB0) THEN
write(message, STRING'(", B write address: "));
ELSE
write(message, STRING'(", B read address: "));
END IF;
write(message, ADDRB);
write(message, LF);
ASSERT false REPORT message.ALL SEVERITY WARNING;
deallocate(message);
ELSIF (is_collision_delay_b AND wea_delay/=WEA0) THEN
write(message, C_CORENAME);
write(message, STRING'(" WARNING: collision detected: "));
write(message, STRING'("A write address: "));
write(message, addra_delay);
IF (web_i/=WEB0) THEN
write(message, STRING'(", B write address: "));
ELSE
write(message, STRING'(", B read address: "));
END IF;
write(message, ADDRB);
write(message, LF);
ASSERT false REPORT message.ALL SEVERITY WARNING;
deallocate(message);
END IF;
END PROCESS;
END GENERATE;
END mem_module_behavioral;
--******************************************************************************
-- Top module that wraps SoftECC Input register stage and the main memory module
--
-- This module is the top-level of behavioral model
--******************************************************************************
LIBRARY STD;
USE STD.TEXTIO.ALL;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY blk_mem_gen_v8_3_1 IS
GENERIC (
C_CORENAME : STRING := "blk_mem_gen_v8_3_1";
C_FAMILY : STRING := "virtex7";
C_XDEVICEFAMILY : STRING := "virtex7";
C_ELABORATION_DIR : STRING := "";
C_INTERFACE_TYPE : INTEGER := 0;
C_USE_BRAM_BLOCK : INTEGER := 0;
C_ENABLE_32BIT_ADDRESS : INTEGER := 0;
C_CTRL_ECC_ALGO : STRING := "NONE";
C_AXI_TYPE : INTEGER := 0;
C_AXI_SLAVE_TYPE : INTEGER := 0;
C_HAS_AXI_ID : INTEGER := 0;
C_AXI_ID_WIDTH : INTEGER := 4;
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 8;
C_ALGORITHM : INTEGER := 2;
C_PRIM_TYPE : INTEGER := 3;
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_INIT_FILE : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "";
--C_RST_TYPE : STRING := "SYNC";
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "";
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_A : INTEGER := 32;
C_READ_WIDTH_A : INTEGER := 32;
C_WRITE_DEPTH_A : INTEGER := 64;
C_READ_DEPTH_A : INTEGER := 64;
C_ADDRA_WIDTH : INTEGER := 6;
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "";
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_B : INTEGER := 32;
C_READ_WIDTH_B : INTEGER := 32;
C_WRITE_DEPTH_B : INTEGER := 64;
C_READ_DEPTH_B : INTEGER := 64;
C_ADDRB_WIDTH : INTEGER := 6;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
C_EN_ECC_PIPE : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 1;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_EN_SLEEP_PIN : INTEGER := 0;
C_USE_URAM : integer := 0;
C_EN_RDADDRA_CHG : integer := 0;
C_EN_RDADDRB_CHG : integer := 0;
C_EN_DEEPSLEEP_PIN : integer := 0;
C_EN_SHUTDOWN_PIN : integer := 0;
C_EN_SAFETY_CKT : integer := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0;
C_COUNT_36K_BRAM : string := "";
C_COUNT_18K_BRAM : string := "";
C_EST_POWER_SUMMARY : string := ""
);
PORT (
clka : IN STD_LOGIC := '0';
rsta : IN STD_LOGIC := '0';
ena : IN STD_LOGIC := '1';
regcea : IN STD_LOGIC := '1';
wea : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
addra : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
dina : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= (OTHERS => '0');
douta : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
clkb : IN STD_LOGIC := '0';
rstb : IN STD_LOGIC := '0';
enb : IN STD_LOGIC := '1';
regceb : IN STD_LOGIC := '1';
web : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
addrb : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
dinb : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)
:= (OTHERS => '0');
doutb : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
injectsbiterr : IN STD_LOGIC := '0';
injectdbiterr : IN STD_LOGIC := '0';
sbiterr : OUT STD_LOGIC := '0';
dbiterr : OUT STD_LOGIC := '0';
rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
eccpipece : in std_logic := '0';
sleep : in std_logic := '0';
deepsleep : in std_logic := '0';
shutdown : in std_logic := '0';
rsta_busy : out std_logic := '0';
rstb_busy : out std_logic := '0';
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
s_aclk : IN STD_LOGIC := '0';
s_aresetn : IN STD_LOGIC := '0';
-- axi full/lite slave Write (write side)
s_axi_awid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid : IN STD_LOGIC := '0';
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast : IN STD_LOGIC := '0';
s_axi_wvalid : IN STD_LOGIC := '0';
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC := '0';
-- axi full/lite slave Read (Write side)
s_axi_arid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid : IN STD_LOGIC := '0';
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_rdata : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC := '0';
-- axi full/lite sideband Signals
s_axi_injectsbiterr : IN STD_LOGIC := '0';
s_axi_injectdbiterr : IN STD_LOGIC := '0';
s_axi_sbiterr : OUT STD_LOGIC := '0';
s_axi_dbiterr : OUT STD_LOGIC := '0';
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
);
END blk_mem_gen_v8_3_1;
--******************************
-- Port and Generic Definitions
--******************************
---------------------------------------------------------------------------
-- Generic Definitions
---------------------------------------------------------------------------
-- C_CORENAME : Instance name of the Block Memory Generator core
-- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following
-- options are available - "spartan3", "spartan6",
-- "virtex4", "virtex5", "virtex6l" and "virtex6".
-- C_MEM_TYPE : Designates memory type.
-- It can be
-- 0 - Single Port Memory
-- 1 - Simple Dual Port Memory
-- 2 - True Dual Port Memory
-- 3 - Single Port Read Only Memory
-- 4 - Dual Port Read Only Memory
-- C_BYTE_SIZE : Size of a byte (8 or 9 bits)
-- C_ALGORITHM : Designates the algorithm method used
-- for constructing the memory.
-- It can be Fixed_Primitives, Minimum_Area or
-- Low_Power
-- C_PRIM_TYPE : Designates the user selected primitive used to
-- construct the memory.
--
-- C_LOAD_INIT_FILE : Designates the use of an initialization file to
-- initialize memory contents.
-- C_INIT_FILE_NAME : Memory initialization file name.
-- C_USE_DEFAULT_DATA : Designates whether to fill remaining
-- initialization space with default data
-- C_DEFAULT_DATA : Default value of all memory locations
-- not initialized by the memory
-- initialization file.
-- C_RST_TYPE : Type of reset - Synchronous or Asynchronous
--
-- C_HAS_RSTA : Determines the presence of the RSTA port
-- C_RST_PRIORITY_A : Determines the priority between CE and SR for
-- Port A.
-- C_RSTRAM_A : Determines if special reset behavior is used for
-- Port A
-- C_INITA_VAL : The initialization value for Port A
-- C_HAS_ENA : Determines the presence of the ENA port
-- C_HAS_REGCEA : Determines the presence of the REGCEA port
-- C_USE_BYTE_WEA : Determines if the Byte Write is used or not.
-- C_WEA_WIDTH : The width of the WEA port
-- C_WRITE_MODE_A : Configurable write mode for Port A. It can be
-- WRITE_FIRST, READ_FIRST or NO_CHANGE.
-- C_WRITE_WIDTH_A : Memory write width for Port A.
-- C_READ_WIDTH_A : Memory read width for Port A.
-- C_WRITE_DEPTH_A : Memory write depth for Port A.
-- C_READ_DEPTH_A : Memory read depth for Port A.
-- C_ADDRA_WIDTH : Width of the ADDRA input port
-- C_HAS_RSTB : Determines the presence of the RSTB port
-- C_RST_PRIORITY_B : Determines the priority between CE and SR for
-- Port B.
-- C_RSTRAM_B : Determines if special reset behavior is used for
-- Port B
-- C_INITB_VAL : The initialization value for Port B
-- C_HAS_ENB : Determines the presence of the ENB port
-- C_HAS_REGCEB : Determines the presence of the REGCEB port
-- C_USE_BYTE_WEB : Determines if the Byte Write is used or not.
-- C_WEB_WIDTH : The width of the WEB port
-- C_WRITE_MODE_B : Configurable write mode for Port B. It can be
-- WRITE_FIRST, READ_FIRST or NO_CHANGE.
-- C_WRITE_WIDTH_B : Memory write width for Port B.
-- C_READ_WIDTH_B : Memory read width for Port B.
-- C_WRITE_DEPTH_B : Memory write depth for Port B.
-- C_READ_DEPTH_B : Memory read depth for Port B.
-- C_ADDRB_WIDTH : Width of the ADDRB input port
-- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output
-- of the RAM primitive for Port A.
-- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output
-- of the RAM primitive for Port B.
-- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output
-- of the MUX for Port A.
-- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output
-- of the MUX for Port B.
-- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in
-- between the muxes.
-- C_USE_SOFTECC : Determines if the Soft ECC feature is used or
-- not. Only applicable Spartan-6
-- C_USE_ECC : Determines if the ECC feature is used or
-- not. Only applicable for V5 and V6
-- C_HAS_INJECTERR : Determines if the error injection pins
-- are present or not. If the ECC feature
-- is not used, this value is defaulted to
-- 0, else the following are the allowed
-- values:
-- 0 : No INJECTSBITERR or INJECTDBITERR pins
-- 1 : Only INJECTSBITERR pin exists
-- 2 : Only INJECTDBITERR pin exists
-- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist
-- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision
-- warnings. It can be "ALL", "NONE",
-- "Warnings_Only" or "Generate_X_Only".
-- C_COMMON_CLK : Determins if the core has a single CLK input.
-- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings
-- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range
-- warnings
---------------------------------------------------------------------------
-- Port Definitions
---------------------------------------------------------------------------
-- CLKA : Clock to synchronize all read and write operations of Port A.
-- RSTA : Reset input to reset memory outputs to a user-defined
-- reset state for Port A.
-- ENA : Enable all read and write operations of Port A.
-- REGCEA : Register Clock Enable to control each pipeline output
-- register stages for Port A.
-- WEA : Write Enable to enable all write operations of Port A.
-- ADDRA : Address of Port A.
-- DINA : Data input of Port A.
-- DOUTA : Data output of Port A.
-- CLKB : Clock to synchronize all read and write operations of Port B.
-- RSTB : Reset input to reset memory outputs to a user-defined
-- reset state for Port B.
-- ENB : Enable all read and write operations of Port B.
-- REGCEB : Register Clock Enable to control each pipeline output
-- register stages for Port B.
-- WEB : Write Enable to enable all write operations of Port B.
-- ADDRB : Address of Port B.
-- DINB : Data input of Port B.
-- DOUTB : Data output of Port B.
-- INJECTSBITERR : Single Bit ECC Error Injection Pin.
-- INJECTDBITERR : Double Bit ECC Error Injection Pin.
-- SBITERR : Output signal indicating that a Single Bit ECC Error has been
-- detected and corrected.
-- DBITERR : Output signal indicating that a Double Bit ECC Error has been
-- detected.
-- RDADDRECC : Read Address Output signal indicating address at which an
-- ECC error has occurred.
---------------------------------------------------------------------------
ARCHITECTURE behavioral OF blk_mem_gen_v8_3_1 IS
COMPONENT blk_mem_gen_v8_3_1_mem_module
GENERIC (
C_CORENAME : STRING := "blk_mem_gen_v8_3_1";
C_FAMILY : STRING := "virtex7";
C_XDEVICEFAMILY : STRING := "virtex7";
C_USE_BRAM_BLOCK : INTEGER := 0;
C_ENABLE_32BIT_ADDRESS : INTEGER := 0;
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 8;
C_ALGORITHM : INTEGER := 2;
C_PRIM_TYPE : INTEGER := 3;
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_INIT_FILE : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "";
C_RST_TYPE : STRING := "SYNC";
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "";
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_A : INTEGER := 32;
C_READ_WIDTH_A : INTEGER := 32;
C_WRITE_DEPTH_A : INTEGER := 64;
C_READ_DEPTH_A : INTEGER := 64;
C_ADDRA_WIDTH : INTEGER := 6;
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "";
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_B : INTEGER := 32;
C_READ_WIDTH_B : INTEGER := 32;
C_WRITE_DEPTH_B : INTEGER := 64;
C_READ_DEPTH_B : INTEGER := 64;
C_ADDRB_WIDTH : INTEGER := 6;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 1;
FLOP_DELAY : TIME := 100 ps;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_EN_ECC_PIPE : INTEGER := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0
);
PORT (
CLKA : IN STD_LOGIC := '0';
RSTA : IN STD_LOGIC := '0';
ENA : IN STD_LOGIC := '1';
REGCEA : IN STD_LOGIC := '1';
WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
CLKB : IN STD_LOGIC := '0';
RSTB : IN STD_LOGIC := '0';
ENB : IN STD_LOGIC := '1';
REGCEB : IN STD_LOGIC := '1';
WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
INJECTSBITERR : IN STD_LOGIC := '0';
INJECTDBITERR : IN STD_LOGIC := '0';
ECCPIPECE : IN STD_LOGIC;
SLEEP : IN STD_LOGIC;
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_1_mem_module;
COMPONENT blk_mem_axi_regs_fwd_v8_3 IS
GENERIC(
C_DATA_WIDTH : INTEGER := 8
);
PORT (
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
S_VALID : IN STD_LOGIC;
S_READY : OUT STD_LOGIC;
S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
M_VALID : OUT STD_LOGIC;
M_READY : IN STD_LOGIC;
M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0)
);
END COMPONENT blk_mem_axi_regs_fwd_v8_3;
COMPONENT blk_mem_axi_read_wrapper_beh
GENERIC (
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0;
C_AXI_TYPE : integer := 0;
C_AXI_SLAVE_TYPE : integer := 0;
C_MEMORY_TYPE : integer := 0;
C_WRITE_WIDTH_A : integer := 4;
C_WRITE_DEPTH_A : integer := 32;
C_ADDRA_WIDTH : integer := 12;
C_AXI_PIPELINE_STAGES : integer := 0;
C_AXI_ARADDR_WIDTH : integer := 12;
C_HAS_AXI_ID : integer := 0;
C_AXI_ID_WIDTH : integer := 4;
C_ADDRB_WIDTH : integer := 12
);
PORT (
-- AXI Global Signals
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
-- AXI Full/Lite Slave Read (Read side)
S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0');
S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN std_logic := '0';
S_AXI_ARREADY : OUT std_logic;
S_AXI_RLAST : OUT std_logic;
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic := '0';
S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0');
S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0');
-- AXI Full/Lite Read Address Signals to BRAM
S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0);
S_AXI_RD_EN : OUT std_logic
);
END COMPONENT blk_mem_axi_read_wrapper_beh;
COMPONENT blk_mem_axi_write_wrapper_beh
GENERIC (
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full;
C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE;
C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM;
C_WRITE_DEPTH_A : integer := 0;
C_AXI_AWADDR_WIDTH : integer := 32;
C_ADDRA_WIDTH : integer := 12;
C_AXI_WDATA_WIDTH : integer := 32;
C_HAS_AXI_ID : integer := 0;
C_AXI_ID_WIDTH : integer := 4;
-- AXI OUTSTANDING WRITES
C_AXI_OS_WR : integer := 2
);
PORT (
-- AXI Global Signals
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
-- AXI Full/Lite Slave Write Channel (write side)
S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN std_logic := '0';
S_AXI_AWREADY : OUT std_logic := '0';
S_AXI_WVALID : IN std_logic := '0';
S_AXI_WREADY : OUT std_logic := '0';
S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BVALID : OUT std_logic := '0';
S_AXI_BREADY : IN std_logic := '0';
-- Signals for BMG interface
S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0);
S_AXI_WR_EN : OUT std_logic:= '0'
);
END COMPONENT blk_mem_axi_write_wrapper_beh;
CONSTANT FLOP_DELAY : TIME := 100 ps;
SIGNAL rsta_in : STD_LOGIC := '1';
SIGNAL ena_in : STD_LOGIC := '1';
SIGNAL regcea_in : STD_LOGIC := '1';
SIGNAL wea_in : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
SIGNAL addra_in : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0);
SIGNAL dina_in : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0):= (OTHERS => '0');
SIGNAL injectsbiterr_in : STD_LOGIC := '0';
SIGNAL injectdbiterr_in : STD_LOGIC := '0';
-----------------------------------------------------------------------------
-- FUNCTION: toLowerCaseChar
-- Returns the lower case form of char if char is an upper case letter.
-- Otherwise char is returned.
-----------------------------------------------------------------------------
FUNCTION toLowerCaseChar(
char : character )
RETURN character IS
BEGIN
-- If char is not an upper case letter then return char
IF char<'A' OR char>'Z' THEN
RETURN char;
END IF;
-- Otherwise map char to its corresponding lower case character and
-- RETURN that
CASE char IS
WHEN 'A' => RETURN 'a';
WHEN 'B' => RETURN 'b';
WHEN 'C' => RETURN 'c';
WHEN 'D' => RETURN 'd';
WHEN 'E' => RETURN 'e';
WHEN 'F' => RETURN 'f';
WHEN 'G' => RETURN 'g';
WHEN 'H' => RETURN 'h';
WHEN 'I' => RETURN 'i';
WHEN 'J' => RETURN 'j';
WHEN 'K' => RETURN 'k';
WHEN 'L' => RETURN 'l';
WHEN 'M' => RETURN 'm';
WHEN 'N' => RETURN 'n';
WHEN 'O' => RETURN 'o';
WHEN 'P' => RETURN 'p';
WHEN 'Q' => RETURN 'q';
WHEN 'R' => RETURN 'r';
WHEN 'S' => RETURN 's';
WHEN 'T' => RETURN 't';
WHEN 'U' => RETURN 'u';
WHEN 'V' => RETURN 'v';
WHEN 'W' => RETURN 'w';
WHEN 'X' => RETURN 'x';
WHEN 'Y' => RETURN 'y';
WHEN 'Z' => RETURN 'z';
WHEN OTHERS => RETURN char;
END CASE;
END toLowerCaseChar;
-- Returns true if case insensitive string comparison determines that
-- str1 and str2 are equal
FUNCTION equalIgnoreCase(
str1 : STRING;
str2 : STRING )
RETURN BOOLEAN IS
CONSTANT len1 : INTEGER := str1'length;
CONSTANT len2 : INTEGER := str2'length;
VARIABLE equal : BOOLEAN := TRUE;
BEGIN
IF NOT (len1=len2) THEN
equal := FALSE;
ELSE
FOR i IN str2'left TO str1'right LOOP
IF NOT (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) THEN
equal := FALSE;
END IF;
END LOOP;
END IF;
RETURN equal;
END equalIgnoreCase;
-----------------------------------------------------------------------------
-- FUNCTION: if_then_else
-- This function is used to implement an IF..THEN when such a statement is not
-- allowed.
----------------------------------------------------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STRING;
false_case : STRING)
RETURN STRING IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC_VECTOR;
false_case : STD_LOGIC_VECTOR)
RETURN STD_LOGIC_VECTOR IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
----------------------------------------------------------------------------
-- FUNCTION : log2roundup
----------------------------------------------------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
CONSTANT lower_limit : INTEGER := 1;
CONSTANT upper_limit : INTEGER := 8;
BEGIN
IF (data_value <= 1) THEN
width := 0;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
-----------------------------------------------------------------------------
-- FUNCTION : log2int
-----------------------------------------------------------------------------
FUNCTION log2int (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := data_value;
BEGIN
WHILE (cnt >1) LOOP
width := width + 1;
cnt := cnt/2;
END LOOP;
RETURN width;
END log2int;
-----------------------------------------------------------------------------
-- FUNCTION : divroundup
-- Returns the ceiling value of the division
-- Data_value - the quantity to be divided, dividend
-- Divisor - the value to divide the data_value by
-----------------------------------------------------------------------------
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
SIGNAL s_axi_awaddr_out_c : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL s_axi_araddr_out_c : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL s_axi_wr_en_c : STD_LOGIC := '0';
SIGNAL s_axi_rd_en_c : STD_LOGIC := '0';
SIGNAL s_aresetn_a_c : STD_LOGIC := '0';
--**************************************************************************
-- AXI PARAMETERS
CONSTANT AXI_FULL_MEMORY_SLAVE : integer := if_then_else((C_AXI_SLAVE_TYPE = 0 AND C_AXI_TYPE = 1),1,0);
CONSTANT C_AXI_ADDR_WIDTH_MSB : integer := C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8);
CONSTANT C_AXI_ADDR_WIDTH : integer := C_AXI_ADDR_WIDTH_MSB;
-- Data Width Number of LSB address bits to be discarded
-- 1 to 16 1
-- 17 to 32 2
-- 33 to 64 3
-- 65 to 128 4
-- 129 to 256 5
-- 257 to 512 6
-- 513 to 1024 7
-- The following two constants determine this.
CONSTANT LOWER_BOUND_VAL : integer := if_then_else((log2roundup(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2roundup(divroundup(C_WRITE_WIDTH_A,8)));
CONSTANT C_AXI_ADDR_WIDTH_LSB : integer := if_then_else((AXI_FULL_MEMORY_SLAVE = 1),0,LOWER_BOUND_VAL);
CONSTANT C_AXI_OS_WR : integer := 2;
-- SAFETY LOGIC related Signals
SIGNAL RSTA_SHFT_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL POR_A : STD_LOGIC := '0';
SIGNAL RSTB_SHFT_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL POR_B : STD_LOGIC := '0';
SIGNAL ENA_dly : STD_LOGIC := '0';
SIGNAL ENA_dly_D : STD_LOGIC := '0';
SIGNAL ENB_dly : STD_LOGIC := '0';
SIGNAL ENB_dly_D : STD_LOGIC := '0';
SIGNAL RSTA_I_SAFE : STD_LOGIC := '0';
SIGNAL RSTB_I_SAFE : STD_LOGIC := '0';
SIGNAL ENA_I_SAFE : STD_LOGIC := '0';
SIGNAL ENB_I_SAFE : STD_LOGIC := '0';
SIGNAL ram_rstram_a_busy : STD_LOGIC := '0';
SIGNAL ram_rstreg_a_busy : STD_LOGIC := '0';
SIGNAL ram_rstram_b_busy : STD_LOGIC := '0';
SIGNAL ram_rstreg_b_busy : STD_LOGIC := '0';
SIGNAL ENA_dly_reg : STD_LOGIC := '0';
SIGNAL ENB_dly_reg : STD_LOGIC := '0';
SIGNAL ENA_dly_reg_D : STD_LOGIC := '0';
SIGNAL ENB_dly_reg_D : STD_LOGIC := '0';
--**************************************************************************
BEGIN -- Architecture
--*************************************************************************
-- NO INPUT STAGE
--*************************************************************************
no_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=0) GENERATE
rsta_in <= RSTA;
ena_in <= ENA;
regcea_in <= REGCEA;
wea_in <= WEA;
addra_in <= ADDRA;
dina_in <= DINA;
injectsbiterr_in <= INJECTSBITERR;
injectdbiterr_in <= INJECTDBITERR;
END GENERATE no_input_stage;
--**************************************************************************
-- WITH INPUT STAGE
--**************************************************************************
has_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=1) GENERATE
PROCESS (CLKA)
BEGIN
IF (CLKA'EVENT AND CLKA = '1') THEN
rsta_in <= RSTA AFTER FLOP_DELAY;
ena_in <= ENA AFTER FLOP_DELAY;
regcea_in <= REGCEA AFTER FLOP_DELAY;
wea_in <= WEA AFTER FLOP_DELAY;
addra_in <= ADDRA AFTER FLOP_DELAY;
dina_in <= DINA AFTER FLOP_DELAY;
injectsbiterr_in <= INJECTSBITERR AFTER FLOP_DELAY;
injectdbiterr_in <= INJECTDBITERR AFTER FLOP_DELAY;
END IF;
END PROCESS;
END GENERATE has_input_stage;
--**************************************************************************
-- NO SAFETY LOGIC
--**************************************************************************
NO_SAFETY_CKT_GEN: IF(C_EN_SAFETY_CKT = 0) GENERATE
ENA_I_SAFE <= ena_in;
ENB_I_SAFE <= ENB;
RSTA_I_SAFE <= rsta_in;
RSTB_I_SAFE <= RSTB;
END GENERATE NO_SAFETY_CKT_GEN;
--**************************************************************************
-- SAFETY LOGIC
--**************************************************************************
SAFETY_CKT_GEN: IF(C_EN_SAFETY_CKT = 1) GENERATE
-- RESET SAFETY LOGIC Generation
-- POR Generation
------------------------------------------------------------------------------
-- Power-ON Reset Generation
------------------------------------------------------------------------------
RST_SHFT_LOGIC_A : PROCESS(CLKA)
BEGIN
IF RISING_EDGE(CLKA) THEN
RSTA_SHFT_REG(4 DOWNTO 0) <= RSTA_SHFT_REG(3 DOWNTO 0) & '1' AFTER FLOP_DELAY;
END IF;
END PROCESS RST_SHFT_LOGIC_A;
POR_RSTA_GEN : PROCESS(CLKA)
BEGIN
IF RISING_EDGE(CLKA) THEN
POR_A <= RSTA_SHFT_REG(4) xor RSTA_SHFT_REG(0) AFTER FLOP_DELAY;
END IF;
END PROCESS POR_RSTA_GEN;
RST_SHFT_LOGIC_B : PROCESS(CLKB)
BEGIN
IF RISING_EDGE(CLKB) THEN
RSTB_SHFT_REG(4 DOWNTO 0) <= RSTB_SHFT_REG(3 DOWNTO 0) & '1' AFTER FLOP_DELAY;
END IF;
END PROCESS RST_SHFT_LOGIC_B;
POR_RSTB_GEN : PROCESS(CLKB)
BEGIN
IF RISING_EDGE(CLKB) THEN
POR_B <= RSTB_SHFT_REG(4) xor RSTB_SHFT_REG(0) AFTER FLOP_DELAY;
END IF;
END PROCESS POR_RSTB_GEN;
-----------------------------------------------------------------------------
-- Fix for the AR42571
-----------------------------------------------------------------------------
-- Reset Generation
-----------------------------------------------------------------------------
RSTA_I_SAFE <= rsta_in OR POR_A;
SPRAM_RST: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE
BEGIN
RSTB_I_SAFE <= '0';
END GENERATE SPRAM_RST;
nSPRAM_RST: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE
BEGIN
RSTB_I_SAFE <= RSTB OR POR_B;
END GENERATE nSPRAM_RST;
-----------------------------------------------------------------------------
-- RSTA/B_BUSY Generation
-----------------------------------------------------------------------------
RSTA_BUSY_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=0 OR (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=1)) GENERATE
BEGIN
ram_rstram_a_busy <= rsta_in OR ENA_dly OR ENA_dly_D;
PROC_RSTA_BUSY_GEN : PROCESS(CLKA)
BEGIN
IF RISING_EDGE (CLKA) THEN
RSTA_BUSY <= ram_rstram_a_busy AFTER FLOP_DELAY;
END IF;
END PROCESS;
END GENERATE RSTA_BUSY_NO_REG;
RSTA_BUSY_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=0) GENERATE
BEGIN
ram_rstreg_a_busy <= rsta_in OR ENA_dly OR ENA_dly_reg_D;
PROC_RSTA_BUSY_GEN : PROCESS(CLKA)
BEGIN
IF RISING_EDGE (CLKA) THEN
RSTA_BUSY <= ram_rstreg_a_busy AFTER FLOP_DELAY;
END IF;
END PROCESS;
END GENERATE RSTA_BUSY_WITH_REG;
SPRAM_RST_BUSY: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE
BEGIN
RSTB_BUSY <= '0';
END GENERATE SPRAM_RST_BUSY;
nSPRAM_RST_BUSY: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE
BEGIN
RSTB_BUSY_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=0 OR (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=1)) GENERATE
BEGIN
ram_rstram_b_busy <= RSTB OR ENB_dly OR ENB_dly_D;
PROC_RSTB_BUSY_GEN : PROCESS(CLKB)
BEGIN
IF RISING_EDGE (CLKB) THEN
RSTB_BUSY <= ram_rstram_b_busy AFTER FLOP_DELAY;
END IF;
END PROCESS;
END GENERATE RSTB_BUSY_NO_REG;
RSTB_BUSY_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=0) GENERATE
BEGIN
ram_rstreg_b_busy <= RSTB OR ENB_dly OR ENB_dly_reg_D;
PROC_RSTB_BUSY_GEN : PROCESS(CLKB)
BEGIN
IF RISING_EDGE (CLKB) THEN
RSTB_BUSY <= ram_rstreg_b_busy AFTER FLOP_DELAY;
END IF;
END PROCESS;
END GENERATE RSTB_BUSY_WITH_REG;
END GENERATE nSPRAM_RST_BUSY;
-----------------------------------------------------------------------------
-- ENA/ENB Generation
-----------------------------------------------------------------------------
ENA_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=0 OR (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=1)) GENERATE
BEGIN
PROC_ENA_GEN : PROCESS(CLKA)
BEGIN
IF RISING_EDGE (CLKA) THEN
ENA_dly <= rsta_in AFTER FLOP_DELAY;
ENA_dly_D <= ENA_dly AFTER FLOP_DELAY;
END IF;
END PROCESS;
ENA_I_SAFE <= ENA_dly_D OR ena_in;
END GENERATE ENA_NO_REG;
ENA_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=0) GENERATE
BEGIN
PROC_ENA_GEN : PROCESS(CLKA)
BEGIN
IF RISING_EDGE (CLKA) THEN
ENA_dly_reg <= rsta_in AFTER FLOP_DELAY;
ENA_dly_reg_D <= ENA_dly_reg AFTER FLOP_DELAY;
END IF;
END PROCESS;
ENA_I_SAFE <= ENA_dly_reg_D OR ena_in;
END GENERATE ENA_WITH_REG;
SPRAM_ENB: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE
BEGIN
ENB_I_SAFE <= '0';
END GENERATE SPRAM_ENB;
nSPRAM_ENB: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE
BEGIN
ENB_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=0 OR (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=1)) GENERATE
BEGIN
PROC_ENB_GEN : PROCESS(CLKB)
BEGIN
IF RISING_EDGE (CLKB) THEN
ENB_dly <= RSTB AFTER FLOP_DELAY;
ENB_dly_D <= ENB_dly AFTER FLOP_DELAY;
END IF;
END PROCESS;
ENB_I_SAFE <= ENB_dly_D OR ENB;
END GENERATE ENB_NO_REG;
ENB_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=0) GENERATE
BEGIN
PROC_ENB_GEN : PROCESS(CLKB)
BEGIN
IF RISING_EDGE (CLKB) THEN
ENB_dly_reg <= RSTB AFTER FLOP_DELAY;
ENB_dly_reg_D <= ENB_dly_reg AFTER FLOP_DELAY;
END IF;
END PROCESS;
ENB_I_SAFE <= ENB_dly_reg_D OR ENB;
END GENERATE ENB_WITH_REG;
END GENERATE nSPRAM_ENB;
END GENERATE SAFETY_CKT_GEN;
--**************************************************************************
-- NATIVE MEMORY MODULE INSTANCE
--**************************************************************************
native_mem_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 0) GENERATE
mem_module: blk_mem_gen_v8_3_1_mem_module
GENERIC MAP(
C_CORENAME => C_CORENAME,
C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"KINTEXUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEXUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))))))))),
C_XDEVICEFAMILY => C_XDEVICEFAMILY,
C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK,
C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS,
C_MEM_TYPE => C_MEM_TYPE,
C_BYTE_SIZE => C_BYTE_SIZE,
C_ALGORITHM => C_ALGORITHM,
C_PRIM_TYPE => C_PRIM_TYPE,
C_LOAD_INIT_FILE => C_LOAD_INIT_FILE,
C_INIT_FILE_NAME => C_INIT_FILE_NAME,
C_INIT_FILE => C_INIT_FILE,
C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA,
C_DEFAULT_DATA => C_DEFAULT_DATA,
C_RST_TYPE => "SYNC",
C_HAS_RSTA => C_HAS_RSTA,
C_RST_PRIORITY_A => C_RST_PRIORITY_A,
C_RSTRAM_A => C_RSTRAM_A,
C_INITA_VAL => C_INITA_VAL,
C_HAS_ENA => C_HAS_ENA,
C_HAS_REGCEA => C_HAS_REGCEA,
C_USE_BYTE_WEA => C_USE_BYTE_WEA,
C_WEA_WIDTH => C_WEA_WIDTH,
C_WRITE_MODE_A => C_WRITE_MODE_A,
C_WRITE_WIDTH_A => C_WRITE_WIDTH_A,
C_READ_WIDTH_A => C_READ_WIDTH_A,
C_WRITE_DEPTH_A => C_WRITE_DEPTH_A,
C_READ_DEPTH_A => C_READ_DEPTH_A,
C_ADDRA_WIDTH => C_ADDRA_WIDTH,
C_HAS_RSTB => C_HAS_RSTB,
C_RST_PRIORITY_B => C_RST_PRIORITY_B,
C_RSTRAM_B => C_RSTRAM_B,
C_INITB_VAL => C_INITB_VAL,
C_HAS_ENB => C_HAS_ENB,
C_HAS_REGCEB => C_HAS_REGCEB,
C_USE_BYTE_WEB => C_USE_BYTE_WEB,
C_WEB_WIDTH => C_WEB_WIDTH,
C_WRITE_MODE_B => C_WRITE_MODE_B,
C_WRITE_WIDTH_B => C_WRITE_WIDTH_B,
C_READ_WIDTH_B => C_READ_WIDTH_B,
C_WRITE_DEPTH_B => C_WRITE_DEPTH_B,
C_READ_DEPTH_B => C_READ_DEPTH_B,
C_ADDRB_WIDTH => C_ADDRB_WIDTH,
C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A,
C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B,
C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A,
C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B,
C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A,
C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B,
C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES,
C_USE_SOFTECC => C_USE_SOFTECC,
C_USE_ECC => C_USE_ECC,
C_HAS_INJECTERR => C_HAS_INJECTERR,
C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK,
C_COMMON_CLK => C_COMMON_CLK,
FLOP_DELAY => FLOP_DELAY,
C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL,
C_EN_ECC_PIPE => C_EN_ECC_PIPE,
C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE
)
PORT MAP(
CLKA => CLKA,
RSTA => RSTA_I_SAFE,--rsta_in,
ENA => ENA_I_SAFE,--ena_in,
REGCEA => regcea_in,
WEA => wea_in,
ADDRA => addra_in,
DINA => dina_in,
DOUTA => DOUTA,
CLKB => CLKB,
RSTB => RSTB_I_SAFE,
ENB => ENB_I_SAFE,
REGCEB => REGCEB,
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
DOUTB => DOUTB,
INJECTSBITERR => injectsbiterr_in,
INJECTDBITERR => injectdbiterr_in,
SBITERR => SBITERR,
DBITERR => DBITERR,
ECCPIPECE => ECCPIPECE,
SLEEP => SLEEP,
RDADDRECC => RDADDRECC
);
END GENERATE native_mem_module;
--**************************************************************************
-- NATIVE MEMORY MAPPED MODULE INSTANCE
--**************************************************************************
native_mem_map_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 1) GENERATE
--**************************************************************************
-- NATIVE MEMORY MAPPED PARAMETERS
CONSTANT C_ADDRA_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_A);
CONSTANT C_ADDRB_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_B);
CONSTANT C_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8);
CONSTANT C_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8);
CONSTANT C_MEM_MAP_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_MSB;
CONSTANT C_MEM_MAP_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_MSB;
-- Data Width Number of LSB address bits to be discarded
-- 1 to 16 1
-- 17 to 32 2
-- 33 to 64 3
-- 65 to 128 4
-- 129 to 256 5
-- 257 to 512 6
-- 513 to 1024 7
-- The following two constants determine this.
CONSTANT MEM_MAP_LOWER_BOUND_VAL_A : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_A,8)));
CONSTANT MEM_MAP_LOWER_BOUND_VAL_B : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_B,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_B,8)));
CONSTANT C_MEM_MAP_ADDRA_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_A;
CONSTANT C_MEM_MAP_ADDRB_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_B;
SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH_ACTUAL-1 DOWNTO 0) := (OTHERS => '0');
--**************************************************************************
BEGIN
RDADDRECC(C_ADDRB_WIDTH-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_MSB) <= (OTHERS => '0');
RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB) <= rdaddrecc_i;
RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_LSB-1 DOWNTO 0) <= (OTHERS => '0');
mem_map_module: blk_mem_gen_v8_3_1_mem_module
GENERIC MAP(
C_CORENAME => C_CORENAME,
C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))),
C_XDEVICEFAMILY => C_XDEVICEFAMILY,
C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK,
C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS,
C_MEM_TYPE => C_MEM_TYPE,
C_BYTE_SIZE => C_BYTE_SIZE,
C_ALGORITHM => C_ALGORITHM,
C_PRIM_TYPE => C_PRIM_TYPE,
C_LOAD_INIT_FILE => C_LOAD_INIT_FILE,
C_INIT_FILE_NAME => C_INIT_FILE_NAME,
C_INIT_FILE => C_INIT_FILE,
C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA,
C_DEFAULT_DATA => C_DEFAULT_DATA,
C_RST_TYPE => "SYNC",
C_HAS_RSTA => C_HAS_RSTA,
C_RST_PRIORITY_A => C_RST_PRIORITY_A,
C_RSTRAM_A => C_RSTRAM_A,
C_INITA_VAL => C_INITA_VAL,
C_HAS_ENA => C_HAS_ENA,
C_HAS_REGCEA => C_HAS_REGCEA,
C_USE_BYTE_WEA => C_USE_BYTE_WEA,
C_WEA_WIDTH => C_WEA_WIDTH,
C_WRITE_MODE_A => C_WRITE_MODE_A,
C_WRITE_WIDTH_A => C_WRITE_WIDTH_A,
C_READ_WIDTH_A => C_READ_WIDTH_A,
C_WRITE_DEPTH_A => C_WRITE_DEPTH_A,
C_READ_DEPTH_A => C_READ_DEPTH_A,
C_ADDRA_WIDTH => C_ADDRA_WIDTH_ACTUAL,
C_HAS_RSTB => C_HAS_RSTB,
C_RST_PRIORITY_B => C_RST_PRIORITY_B,
C_RSTRAM_B => C_RSTRAM_B,
C_INITB_VAL => C_INITB_VAL,
C_HAS_ENB => C_HAS_ENB,
C_HAS_REGCEB => C_HAS_REGCEB,
C_USE_BYTE_WEB => C_USE_BYTE_WEB,
C_WEB_WIDTH => C_WEB_WIDTH,
C_WRITE_MODE_B => C_WRITE_MODE_B,
C_WRITE_WIDTH_B => C_WRITE_WIDTH_B,
C_READ_WIDTH_B => C_READ_WIDTH_B,
C_WRITE_DEPTH_B => C_WRITE_DEPTH_B,
C_READ_DEPTH_B => C_READ_DEPTH_B,
C_ADDRB_WIDTH => C_ADDRB_WIDTH_ACTUAL,
C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A,
C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B,
C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A,
C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B,
C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A,
C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B,
C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES,
C_USE_SOFTECC => C_USE_SOFTECC,
C_USE_ECC => C_USE_ECC,
C_HAS_INJECTERR => C_HAS_INJECTERR,
C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK,
C_COMMON_CLK => C_COMMON_CLK,
FLOP_DELAY => FLOP_DELAY,
C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL,
C_EN_ECC_PIPE => C_EN_ECC_PIPE,
C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE
)
PORT MAP(
CLKA => CLKA,
RSTA => RSTA_I_SAFE,
ENA => ENA_I_SAFE,
REGCEA => regcea_in,
WEA => wea_in,
ADDRA => addra_in(C_MEM_MAP_ADDRA_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRA_WIDTH_LSB),
DINA => dina_in,
DOUTA => DOUTA,
CLKB => CLKB,
RSTB => RSTB_I_SAFE,
ENB => ENB_I_SAFE,
REGCEB => REGCEB,
WEB => WEB,
ADDRB => ADDRB(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB),
DINB => DINB,
DOUTB => DOUTB,
INJECTSBITERR => injectsbiterr_in,
INJECTDBITERR => injectdbiterr_in,
SBITERR => SBITERR,
DBITERR => DBITERR,
ECCPIPECE => ECCPIPECE,
SLEEP => SLEEP,
RDADDRECC => rdaddrecc_i
);
END GENERATE native_mem_map_module;
--****************************************************************************
-- AXI MEMORY MODULE INSTANCE
--****************************************************************************
axi_mem_module: IF (C_INTERFACE_TYPE = 1) GENERATE
SIGNAL s_axi_rid_c : STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL s_axi_rdata_c : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL s_axi_rresp_c : STD_LOGIC_VECTOR(2-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL s_axi_rlast_c : STD_LOGIC := '0';
SIGNAL s_axi_rvalid_c : STD_LOGIC := '0';
SIGNAL s_axi_rready_c : STD_LOGIC := '0';
SIGNAL regceb_c : STD_LOGIC := '0';
BEGIN
s_aresetn_a_c <= NOT S_ARESETN;
S_AXI_BRESP <= (OTHERS => '0');
s_axi_rresp_c <= (OTHERS => '0');
no_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 0 AND C_HAS_MUX_OUTPUT_REGS_B = 0 ) GENERATE
S_AXI_RDATA <= s_axi_rdata_c;
S_AXI_RLAST <= s_axi_rlast_c;
S_AXI_RVALID <= s_axi_rvalid_c;
S_AXI_RID <= s_axi_rid_c;
S_AXI_RRESP <= s_axi_rresp_c;
s_axi_rready_c <= S_AXI_RREADY;
END GENERATE no_regs;
has_regs_fwd: IF (C_HAS_MUX_OUTPUT_REGS_B = 1 OR C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE
CONSTANT C_AXI_PAYLOAD : INTEGER := if_then_else((C_HAS_MUX_OUTPUT_REGS_B = 1),C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3,C_AXI_ID_WIDTH+3);
SIGNAL s_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL m_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
has_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE
regceb_c <= s_axi_rvalid_c AND s_axi_rready_c;
END GENERATE has_regceb;
no_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 0) GENERATE
regceb_c <= REGCEB;
END GENERATE no_regceb;
only_core_op_regs: IF (C_HAS_MUX_OUTPUT_REGS_B = 1) GENERATE
s_axi_payload_c <= s_axi_rid_c & s_axi_rdata_c & s_axi_rresp_c & s_axi_rlast_c;
S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH);
S_AXI_RDATA <= m_axi_payload_c(C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B);
S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1);
S_AXI_RLAST <= m_axi_payload_c(0);
END GENERATE only_core_op_regs;
only_emb_op_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE
s_axi_payload_c <= s_axi_rid_c & s_axi_rresp_c & s_axi_rlast_c;
S_AXI_RDATA <= s_axi_rdata_c;
S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH);
S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1);
S_AXI_RLAST <= m_axi_payload_c(0);
END GENERATE only_emb_op_regs;
axi_regs_inst : blk_mem_axi_regs_fwd_v8_3
GENERIC MAP(
C_DATA_WIDTH => C_AXI_PAYLOAD
)
PORT MAP (
ACLK => S_ACLK,
ARESET => s_aresetn_a_c,
S_VALID => s_axi_rvalid_c,
S_READY => s_axi_rready_c,
S_PAYLOAD_DATA => s_axi_payload_c,
M_VALID => S_AXI_RVALID,
M_READY => S_AXI_RREADY,
M_PAYLOAD_DATA => m_axi_payload_c
);
END GENERATE has_regs_fwd;
axi_wr_fsm : blk_mem_axi_write_wrapper_beh
GENERIC MAP(
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => C_INTERFACE_TYPE,
C_AXI_TYPE => C_AXI_TYPE,
C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE,
C_MEMORY_TYPE => C_MEM_TYPE,
C_WRITE_DEPTH_A => C_WRITE_DEPTH_A,
C_AXI_AWADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB),
C_HAS_AXI_ID => C_HAS_AXI_ID,
C_AXI_ID_WIDTH => C_AXI_ID_WIDTH,
C_ADDRA_WIDTH => C_ADDRA_WIDTH,
C_AXI_WDATA_WIDTH => C_WRITE_WIDTH_A,
C_AXI_OS_WR => C_AXI_OS_WR
)
PORT MAP(
-- AXI Global Signals
S_ACLK => S_ACLK,
S_ARESETN => s_aresetn_a_c,
-- AXI Full/Lite Slave Write Interface
S_AXI_AWADDR => S_AXI_AWADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB),
S_AXI_AWLEN => S_AXI_AWLEN,
S_AXI_AWID => S_AXI_AWID,
S_AXI_AWSIZE => S_AXI_AWSIZE,
S_AXI_AWBURST => S_AXI_AWBURST,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_BID => S_AXI_BID,
-- Signals for BRAM interface
S_AXI_AWADDR_OUT =>s_axi_awaddr_out_c,
S_AXI_WR_EN =>s_axi_wr_en_c
);
mem_module: blk_mem_gen_v8_3_1_mem_module
GENERIC MAP(
C_CORENAME => C_CORENAME,
C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))),
C_XDEVICEFAMILY => C_XDEVICEFAMILY,
C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK,
C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS,
C_MEM_TYPE => C_MEM_TYPE,
C_BYTE_SIZE => C_BYTE_SIZE,
C_ALGORITHM => C_ALGORITHM,
C_PRIM_TYPE => C_PRIM_TYPE,
C_LOAD_INIT_FILE => C_LOAD_INIT_FILE,
C_INIT_FILE_NAME => C_INIT_FILE_NAME,
C_INIT_FILE => C_INIT_FILE,
C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA,
C_DEFAULT_DATA => C_DEFAULT_DATA,
C_RST_TYPE => "SYNC",
C_HAS_RSTA => C_HAS_RSTA,
C_RST_PRIORITY_A => C_RST_PRIORITY_A,
C_RSTRAM_A => C_RSTRAM_A,
C_INITA_VAL => C_INITA_VAL,
C_HAS_ENA => 1, -- For AXI, Read Enable is always C_HAS_ENA,
C_HAS_REGCEA => C_HAS_REGCEA,
C_USE_BYTE_WEA => 1, -- For AXI C_USE_BYTE_WEA is always 1,
C_WEA_WIDTH => C_WEA_WIDTH,
C_WRITE_MODE_A => C_WRITE_MODE_A,
C_WRITE_WIDTH_A => C_WRITE_WIDTH_A,
C_READ_WIDTH_A => C_READ_WIDTH_A,
C_WRITE_DEPTH_A => C_WRITE_DEPTH_A,
C_READ_DEPTH_A => C_READ_DEPTH_A,
C_ADDRA_WIDTH => C_ADDRA_WIDTH,
C_HAS_RSTB => C_HAS_RSTB,
C_RST_PRIORITY_B => C_RST_PRIORITY_B,
C_RSTRAM_B => C_RSTRAM_B,
C_INITB_VAL => C_INITB_VAL,
C_HAS_ENB => 1, -- For AXI, Read Enable is always C_HAS_ENB,
C_HAS_REGCEB => C_HAS_MEM_OUTPUT_REGS_B,
C_USE_BYTE_WEB => 1, -- For AXI C_USE_BYTE_WEB is always 1,
C_WEB_WIDTH => C_WEB_WIDTH,
C_WRITE_MODE_B => C_WRITE_MODE_B,
C_WRITE_WIDTH_B => C_WRITE_WIDTH_B,
C_READ_WIDTH_B => C_READ_WIDTH_B,
C_WRITE_DEPTH_B => C_WRITE_DEPTH_B,
C_READ_DEPTH_B => C_READ_DEPTH_B,
C_ADDRB_WIDTH => C_ADDRB_WIDTH,
C_HAS_MEM_OUTPUT_REGS_A => 0, --For AXI, Primitive Registers A is not supported C_HAS_MEM_OUTPUT_REGS_A,
C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A,
C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B,
C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES,
C_USE_SOFTECC => C_USE_SOFTECC,
C_USE_ECC => C_USE_ECC,
C_HAS_INJECTERR => C_HAS_INJECTERR,
C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK,
C_COMMON_CLK => C_COMMON_CLK,
FLOP_DELAY => FLOP_DELAY,
C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL,
C_EN_ECC_PIPE => 0,
C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE
)
PORT MAP(
--Port A:
CLKA => S_AClk,
RSTA => s_aresetn_a_c,
ENA => s_axi_wr_en_c,
REGCEA => regcea_in,
WEA => S_AXI_WSTRB,
ADDRA => s_axi_awaddr_out_c,
DINA => S_AXI_WDATA,
DOUTA => DOUTA,
--Port B:
CLKB => S_AClk,
RSTB => s_aresetn_a_c,
ENB => s_axi_rd_en_c,
REGCEB => regceb_c,
WEB => (OTHERS => '0'),
ADDRB => s_axi_araddr_out_c,
DINB => DINB,
DOUTB => s_axi_rdata_c,
INJECTSBITERR => injectsbiterr_in,
INJECTDBITERR => injectdbiterr_in,
SBITERR => SBITERR,
DBITERR => DBITERR,
ECCPIPECE => '0',
SLEEP => '0',
RDADDRECC => RDADDRECC
);
axi_rd_sm : blk_mem_axi_read_wrapper_beh
GENERIC MAP (
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => C_INTERFACE_TYPE,
C_AXI_TYPE => C_AXI_TYPE,
C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE,
C_MEMORY_TYPE => C_MEM_TYPE,
C_WRITE_WIDTH_A => C_WRITE_WIDTH_A,
C_ADDRA_WIDTH => C_ADDRA_WIDTH,
C_AXI_PIPELINE_STAGES => 1,
C_AXI_ARADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB),
C_HAS_AXI_ID => C_HAS_AXI_ID,
C_AXI_ID_WIDTH => C_AXI_ID_WIDTH,
C_ADDRB_WIDTH => C_ADDRB_WIDTH
)
PORT MAP(
-- AXI Global Signals
S_ACLK => S_AClk,
S_ARESETN => s_aresetn_a_c,
-- AXI Full/Lite Read Side
S_AXI_ARADDR => S_AXI_ARADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB),
S_AXI_ARLEN => S_AXI_ARLEN,
S_AXI_ARSIZE => S_AXI_ARSIZE,
S_AXI_ARBURST => S_AXI_ARBURST,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RLAST => s_axi_rlast_c,
S_AXI_RVALID => s_axi_rvalid_c,
S_AXI_RREADY => s_axi_rready_c,
S_AXI_ARID => S_AXI_ARID,
S_AXI_RID => s_axi_rid_c,
-- AXI Full/Lite Read FSM Outputs
S_AXI_ARADDR_OUT => s_axi_araddr_out_c,
S_AXI_RD_EN => s_axi_rd_en_c
);
END GENERATE axi_mem_module;
END behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity beh_ff_clr is
generic(
INIT : std_logic := '0'
);
port(
Q : out std_logic;
C : in std_logic;
CLR : in std_logic;
D : in std_logic
);
end beh_ff_clr;
architecture beh_ff_clr_arch of beh_ff_clr is
signal q_o : std_logic := INIT;
begin
Q <= q_o;
VITALBehavior : process(CLR, C)
begin
if (CLR = '1') then
q_o <= '0';
elsif (rising_edge(C)) then
q_o <= D after 100 ps;
end if;
end process;
end beh_ff_clr_arch;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity beh_ff_ce is
generic(
INIT : std_logic := '0'
);
port(
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
CLR : in std_logic;
D : in std_logic
);
end beh_ff_ce;
architecture beh_ff_ce_arch of beh_ff_ce is
signal q_o : std_logic := INIT;
begin
Q <= q_o;
VITALBehavior : process(C, CLR)
begin
if (CLR = '1') then
q_o <= '0';
elsif (rising_edge(C)) then
if (CE = '1') then
q_o <= D after 100 ps;
end if;
end if;
end process;
end beh_ff_ce_arch;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity beh_ff_pre is
generic(
INIT : std_logic := '1'
);
port(
Q : out std_logic;
C : in std_logic;
D : in std_logic;
PRE : in std_logic
);
end beh_ff_pre;
architecture beh_ff_pre_arch of beh_ff_pre is
signal q_o : std_logic := INIT;
begin
Q <= q_o;
VITALBehavior : process(C, PRE)
begin
if (PRE = '1') then
q_o <= '1';
elsif (C' event and C = '1') then
q_o <= D after 100 ps;
end if;
end process;
end beh_ff_pre_arch;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity beh_muxf7 is
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end beh_muxf7;
architecture beh_muxf7_arch of beh_muxf7 is
begin
VITALBehavior : process (I0, I1, S)
begin
if (S = '0') then
O <= I0;
else
O <= I1;
end if;
end process;
end beh_muxf7_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity STATE_LOGIC is
generic(
INIT : std_logic_vector(63 downto 0) := X"0000000000000000"
);
port(
O : out std_logic := '0';
I0 : in std_logic := '0';
I1 : in std_logic := '0';
I2 : in std_logic := '0';
I3 : in std_logic := '0';
I4 : in std_logic := '0';
I5 : in std_logic := '0'
);
end STATE_LOGIC;
architecture STATE_LOGIC_arch of STATE_LOGIC is
constant INIT_reg : std_logic_vector(63 downto 0) := INIT;
begin
LUT_beh:process (I0, I1, I2, I3, I4, I5)
variable I_reg : std_logic_vector(5 downto 0);
begin
I_reg := I5 & I4 & I3 & I2 & I1 & I0;
O <= INIT_reg(conv_integer(I_reg));
end process;
end STATE_LOGIC_arch;
| mit |
agural/FPGA-Oscilloscope | osc/lpm_compare2.vhd | 1 | 4448 | -- megafunction wizard: %LPM_COMPARE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_COMPARE
-- ============================================================
-- File Name: lpm_compare2.vhd
-- Megafunction Name(s):
-- LPM_COMPARE
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_compare2 IS
PORT
(
dataa : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
aeb : OUT STD_LOGIC
);
END lpm_compare2;
ARCHITECTURE SYN OF lpm_compare2 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1_bv : BIT_VECTOR (10 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (10 DOWNTO 0);
COMPONENT lpm_compare
GENERIC (
lpm_hint : STRING;
lpm_representation : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
aeb : OUT STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (10 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire1_bv(10 DOWNTO 0) <= "11111001111";
sub_wire1 <= To_stdlogicvector(sub_wire1_bv);
aeb <= sub_wire0;
LPM_COMPARE_component : LPM_COMPARE
GENERIC MAP (
lpm_hint => "ONE_INPUT_IS_CONSTANT=YES",
lpm_representation => "UNSIGNED",
lpm_type => "LPM_COMPARE",
lpm_width => 11
)
PORT MAP (
dataa => dataa,
datab => sub_wire1,
aeb => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AeqB NUMERIC "1"
-- Retrieval info: PRIVATE: AgeB NUMERIC "0"
-- Retrieval info: PRIVATE: AgtB NUMERIC "0"
-- Retrieval info: PRIVATE: AleB NUMERIC "0"
-- Retrieval info: PRIVATE: AltB NUMERIC "0"
-- Retrieval info: PRIVATE: AneB NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
-- Retrieval info: PRIVATE: Latency NUMERIC "0"
-- Retrieval info: PRIVATE: PortBValue NUMERIC "1999"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0"
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
-- Retrieval info: PRIVATE: clken NUMERIC "0"
-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1"
-- Retrieval info: PRIVATE: nBit NUMERIC "11"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES"
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "11"
-- Retrieval info: USED_PORT: aeb 0 0 0 0 OUTPUT NODEFVAL "aeb"
-- Retrieval info: USED_PORT: dataa 0 0 11 0 INPUT NODEFVAL "dataa[10..0]"
-- Retrieval info: CONNECT: @dataa 0 0 11 0 dataa 0 0 11 0
-- Retrieval info: CONNECT: @datab 0 0 11 0 1999 0 0 11 0
-- Retrieval info: CONNECT: aeb 0 0 0 0 @aeb 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare2.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare2.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare2.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare2.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare2_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
| mit |
SteelRaven7/soundbox-vhdl | Source/Packages/fixed_pkg.vhd | 2 | 2108 | --
-- Author: Erik Alveflo
-- Version:
-- * 1.0 2014-02-11 EA Inital release.
--
-- This package contains helper functions for fixed point math.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
package fixed_pkg is
type real_array_t is array(natural range <>) of real;
-- Converts a real number to a fixed number of supplied width on format SIF..F
function real_to_fixed (R:real; W:natural)
return std_logic_vector;
-- Same as above but more generic and also takes amount of fraction bits F.
function real_to_fixed (R:real; W:natural; F:natural)
return std_logic_vector;
-- Converts a fixed number on format SIF..F to a real number.
function fixed_to_real (V:std_logic_vector)
return real;
-- Same as above but more generic and also takes amount of fraction bits F.
function fixed_to_real (V:std_logic_vector; F:natural)
return real;
-- Round towards zero.
function round_zero (R:real)
return real;
-- Converts a gain in dB to fixed point, W bits wide, F fraction bits.
function db_to_fixed (R:real; W:natural; F:natural)
return std_logic_vector;
end package;
package body fixed_pkg is
function round_zero (R:real) return real is
begin
if (R < 0.0) then
return ceil(R);
else
return floor(R);
end if;
end function;
function real_to_fixed (R:real; W:natural) return std_logic_vector is
begin
return real_to_fixed(R,W,W-2);
end function;
function fixed_to_real (V:std_logic_vector) return real is
variable W : natural := V'high+1 - V'low;
begin
return fixed_to_real(V,W-2);
end function;
function db_to_fixed (R:real; W:natural; F:natural) return std_logic_vector is
variable mag : real := (10.0**(R / 20.0));
begin
return real_to_fixed(mag,W,F);
end function;
function fixed_to_real (V:std_logic_vector; F:natural) return real is
begin
return real(to_integer(signed(V))) / (2.0**(F));
end function;
function real_to_fixed (R:real; W:natural; F:natural) return std_logic_vector is
begin
return std_logic_vector(to_signed(integer(round_zero(R * (2.0**(F)))),W));
end function;
end package body; | mit |
SteelRaven7/soundbox-vhdl | Source/Decimator/FIR.vhd | 1 | 1805 | library ieee ;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.fixed_pkg.all;
use work.filter_pkg.all;
entity FIR is
generic (
wordLength : natural := 16;
order : natural := 3;
coefficients : coefficient_array := (0.0, 0.0, 0.0, 0.0)
);
port (
input : in std_logic_vector(wordLength-1 downto 0);
output : out std_logic_vector(wordLength-1 downto 0);
clk : in std_logic;
reset : in std_logic
);
end entity ; -- FIR
architecture arch of FIR is
type signalArray is array(0 to order) of std_logic_vector(wordLength-1 downto 0);
type sumArray is array(0 to order) of std_logic_vector((wordLength*2)-1 downto 0);
signal inputs : signalArray := (others => (others => '0'));
signal gainedInputs : sumArray := (others => (others => '0'));
signal sums : sumArray := (others => (others => '0'));
begin
inputs(0) <= input;
output <= sums(0)(wordLength*2-1 downto wordLength);
sums(order) <= gainedInputs(order);
delays : for i in 0 to order-1 generate
-- Delay stages
delay : entity work.VectorRegister
generic map (
wordLength => wordLength
)
port map (
input => inputs(i),
output => inputs(i+1),
clk => clk,
reset => reset
);
-- Output summation
adder : entity work.AdderSat
generic map (
wordLength => wordLength*2
)
port map (
a => gainedInputs(i),
b => sums(i+1),
s => sums(i)
);
end generate ; -- delays
multiplication : for i in 0 to order generate
-- Coefficient multiplication
mult : entity work.Mult
generic map (
wordLengthA => wordLength,
wordLengthB => wordLength,
wordLengthP => wordLength*2
)
port map (
a => inputs(i),
b => real_to_fixed(coefficients(i), wordLength),
p => gainedInputs(i)
);
end generate;
end architecture ; -- arch | mit |
kevinpt/symbolator | doc/images/scaled.vhdl | 2 | 156 | component scaled is
port (
Clock : in std_ulogic;
Reset : in std_ulogic;
Din : in unsigned;
Dout : out unsigned
);
end component;
| mit |
jakubcabal/mig_ddr3_wrapper_virtex6 | source/uart/comp/uart_fifo.vhd | 1 | 5156 | -- The MIT License (MIT)
--
-- Copyright (c) 2015 Jakub Cabal
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
--
-- Website: https://github.com/jakubcabal/uart_for_fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.MATH_REAL.ALL;
entity UART_FIFO is
Generic (
DATA_WIDTH : integer := 8;
FIFO_DEPTH : integer := 256
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- FIFO WRITE INTERFACE
DATA_IN : in std_logic_vector(DATA_WIDTH-1 downto 0);
WR_EN : in std_logic;
FULL : out std_logic;
-- FIFO READ INTERFACE
DATA_OUT : out std_logic_vector(DATA_WIDTH-1 downto 0);
DATA_VLD : out std_logic;
RD_EN : in std_logic;
EMPTY : out std_logic
);
end UART_FIFO;
architecture FULL of UART_FIFO is
constant addr_width : integer := integer(ceil(log2(real(FIFO_DEPTH))));
signal wr_addr : unsigned(addr_width-1 downto 0);
signal wr_ready : std_logic;
signal rd_addr : unsigned(addr_width-1 downto 0);
signal rd_ready : std_logic;
signal full_sig : std_logic;
signal empty_sig : std_logic;
type bram_type is array(FIFO_DEPTH-1 downto 0) of std_logic_vector(DATA_WIDTH-1 downto 0);
signal bram : bram_type := (others => (others => '0'));
begin
wr_ready <= WR_EN AND NOT full_sig AND NOT RST;
rd_ready <= RD_EN AND NOT empty_sig AND NOT RST;
FULL <= full_sig;
EMPTY <= empty_sig;
-- -------------------------------------------------------------------------
-- BRAM AND DATA VALID FLAG GENERATOR
-- -------------------------------------------------------------------------
bram_mem : process (CLK)
begin
if (rising_edge(CLK)) then
if (wr_ready = '1') then
bram(to_integer(wr_addr)) <= DATA_IN;
end if;
DATA_OUT <= bram(to_integer(rd_addr));
end if;
end process;
data_vld_flag_gen : process (CLK)
begin
if (rising_edge(CLK)) then
DATA_VLD <= rd_ready;
end if;
end process;
-- -------------------------------------------------------------------------
-- FIFO WRITE ADDRESS COUNTER
-- -------------------------------------------------------------------------
wr_addr_cnt : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
wr_addr <= (others => '0');
elsif (wr_ready = '1') then
wr_addr <= wr_addr + 1;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- FIFO READ ADDRESS COUNTER
-- -------------------------------------------------------------------------
rd_addr_cnt : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rd_addr <= (others => '0');
elsif (rd_ready = '1') then
rd_addr <= rd_addr + 1;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- FULL FLAG GENERATOR
-- -------------------------------------------------------------------------
full_flag_gen : process (rd_addr, wr_addr)
begin
if (rd_addr = (wr_addr+1)) then
full_sig <= '1';
else
full_sig <= '0';
end if;
end process;
-- -------------------------------------------------------------------------
-- EMPTY FLAG GENERATOR
-- -------------------------------------------------------------------------
empty_flag_gen : process (rd_addr, wr_addr)
begin
if (rd_addr = wr_addr) then
empty_sig <= '1';
else
empty_sig <= '0';
end if;
end process;
end FULL; | mit |
jakubcabal/mig_ddr3_wrapper_virtex6 | source/uart/comp/uart_parity.vhd | 1 | 2884 | -- The MIT License (MIT)
--
-- Copyright (c) 2015 Jakub Cabal
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
--
-- Website: https://github.com/jakubcabal/uart_for_fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_PARITY is
Generic (
DATA_WIDTH : integer := 8;
PARITY_TYPE : string := "none" -- legal values: "none", "even", "odd", "mark", "space"
);
Port (
DATA_IN : in std_logic_vector(DATA_WIDTH-1 downto 0);
PARITY_OUT : out std_logic
);
end UART_PARITY;
architecture FULL of UART_PARITY is
begin
-- -------------------------------------------------------------------------
-- PARITY BIT GENERATOR
-- -------------------------------------------------------------------------
even_parity_g : if (PARITY_TYPE = "even") generate
process (DATA_IN)
variable parity_temp : std_logic;
begin
parity_temp := '0';
for i in DATA_IN'range loop
parity_temp := parity_temp XOR DATA_IN(i);
end loop;
PARITY_OUT <= parity_temp;
end process;
end generate;
odd_parity_g : if (PARITY_TYPE = "odd") generate
process (DATA_IN)
variable parity_temp : std_logic;
begin
parity_temp := '1';
for i in DATA_IN'range loop
parity_temp := parity_temp XOR DATA_IN(i);
end loop;
PARITY_OUT <= parity_temp;
end process;
end generate;
mark_parity_g : if (PARITY_TYPE = "mark") generate
PARITY_OUT <= '1';
end generate;
space_parity_g : if (PARITY_TYPE = "space") generate
PARITY_OUT <= '0';
end generate;
end FULL; | mit |
achan1989/SlowWorm | sim/memory/ram_256x16/testbench.vhd | 1 | 2719 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 24.08.2016 15:22:18
-- Design Name:
-- Module Name: testbench - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity testbench is
end testbench;
architecture Behavioral of testbench is
component ram_256x16 is port (
dout : out std_ulogic_vector (15 downto 0);
din : in std_ulogic_vector (15 downto 0);
addr : in unsigned (7 downto 0);
we : in std_ulogic;
clk : in std_ulogic);
end component;
signal clk : std_ulogic := '0';
signal we : std_ulogic := '0';
signal addr : unsigned (7 downto 0);
signal din : std_ulogic_vector (15 downto 0);
signal dout : std_ulogic_vector (15 downto 0);
constant ClockPeriod : TIME := 50 ns;
begin
UUT: ram_256x16 port map (
dout => dout,
din => din,
addr => addr,
we => we,
clk => clk
);
clock: process begin
clk <= '0';
wait for ClockPeriod;
loop
clk <= not clk;
wait for (ClockPeriod / 2);
end loop;
end process;
stimulus: process begin
-- Starting values.
addr <= TO_UNSIGNED(0, addr'length);
we <= '0';
wait until falling_edge(clk);
-- Write to address 1.
wait until rising_edge(clk);
addr <= TO_UNSIGNED(1, addr'length);
din <= x"ABCD";
we <= '1';
-- Write to address 2.
wait until rising_edge(clk);
we <= '1';
addr <= TO_UNSIGNED(2, addr'length);
din <= x"FACE";
-- Do nothing for 3 ticks.
wait until rising_edge(clk);
we <= '0';
addr <= TO_UNSIGNED(10, addr'length);
din <= x"0000";
wait until rising_edge(clk);
wait until rising_edge(clk);
-- Read address 1.
wait until rising_edge(clk);
addr <= TO_UNSIGNED(1, addr'length);
we <= '0';
-- Read address 2.
wait until rising_edge(clk);
addr <= TO_UNSIGNED(2, addr'length);
we <= '0';
-- Do nothing more.
wait until rising_edge(clk);
we <= '0';
addr <= TO_UNSIGNED(10, addr'length);
din <= x"0000";
wait;
end process;
end Behavioral;
| mit |
achan1989/SlowWorm | src/control/control.vhd | 1 | 5306 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 26.08.2016 16:22:30
-- Design Name:
-- Module Name: control - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library SlowWorm;
use SlowWorm.SlowWorm.ALL;
entity control is
Port (
clk : in std_ulogic;
-- Instruction memory.
inst_mem_data : in data_t;
inst_mem_addr : out addr_t;
-- Data memory.
data_mem_data_read : in data_t;
data_mem_data_write : out data_t;
data_mem_addr : out addr_t;
data_mem_we : out std_ulogic;
-- Data stack.
dstack_data_read : in data_t;
dstack_data_write : out data_t;
dstack_push : out std_ulogic;
dstack_pop : out std_ulogic;
-- Return stack.
rstack_data_read : in data_t;
rstack_data_write : out data_t;
rstack_push : out std_ulogic;
rstack_pop : out std_ulogic
);
end control;
architecture Behavioral of control is
type state_t is (Reset, Fetch, Decode, Execute, Halt);
subtype instr_type_t is std_ulogic_vector(2 downto 0);
signal state : state_t := Reset;
signal data_addr, pc : addr_t;
signal instruction : data_t;
constant INSTR_TYPE_IMM_VAL : instr_type_t := "001";
constant INSTR_TYPE_LOGIC : instr_type_t := "011";
constant INSTR_TYPE_CONTROL : instr_type_t := "101";
constant INSTR_TYPE_UCODE : instr_type_t := "111";
begin
main: process (clk) is
-- General stuff used in decode state.
alias call_bit : std_ulogic is instruction(0);
alias instr_type : instr_type_t is instruction(2 downto 0);
variable call_address : addr_t;
variable is_call : boolean;
-- Used for Immediate Value instructions.
alias imm_stack : std_ulogic is instruction(3);
constant DATA_STACK : std_ulogic := '0';
alias imm_val : std_ulogic_vector(11 downto 0) is instruction(15 downto 4);
alias imm_sign_bit : std_ulogic is instruction(instruction'left);
variable imm_val_extended : data_t;
begin
if rising_edge(clk) then
-- Clear any control signals that cause a change of state in other modules.
dstack_push <= '0';
dstack_pop <= '0';
rstack_push <= '0';
rstack_pop <= '0';
data_mem_we <= '0';
case state is
when Reset =>
pc <= TO_UNSIGNED(0, pc'length);
inst_mem_addr <= TO_UNSIGNED(0, inst_mem_addr'length);
state <= Fetch;
-- Preconditions:
-- `inst_mem_addr` is loaded with the correct instruction memory address.
-- Postconditions:
-- `instruction` contains the next instruction to decode.
when Fetch =>
instruction <= inst_mem_data;
pc <= pc + 1;
state <= Decode;
when Decode =>
call_address := DATA_TO_ADDR(instruction(15 downto 0));
is_call := (call_bit = '0');
if is_call then
rstack_push <= '1';
rstack_data_write <= ADDR_TO_DATA(pc);
pc <= call_address;
inst_mem_addr <= call_address;
state <= Fetch;
else
case instr_type is
when INSTR_TYPE_IMM_VAL =>
imm_val_extended(imm_val'range) := imm_val;
imm_val_extended(imm_val_extended'left downto (imm_val'left + 1)) := (imm_val_extended'left downto (imm_val'left + 1) => imm_sign_bit);
if imm_stack = DATA_STACK then
dstack_push <= '1';
dstack_data_write <= imm_val_extended;
else
rstack_push <= '1';
rstack_data_write <= imm_val_extended;
end if;
inst_mem_addr <= pc;
state <= Fetch;
when INSTR_TYPE_LOGIC =>
--TODO. For now this is basically a no-op.
state <= Execute;
when INSTR_TYPE_CONTROL =>
--TODO. For now this is basically a no-op.
state <= Execute;
when INSTR_TYPE_UCODE =>
--TODO.
state <= Halt;
when others =>
-- something fucky has happened.
state <= Halt;
end case;
end if;
when Execute =>
inst_mem_addr <= pc;
state <= Fetch;
null; --TODO
when Halt =>
null;
end case;
end if;
end process;
end Behavioral;
| mit |
R4PaSs/linguist | samples/VHDL/foo.vhd | 91 | 217 | -- VHDL example file
library ieee;
use ieee.std_logic_1164.all;
entity inverter is
port(a : in std_logic;
b : out std_logic);
end entity;
architecture rtl of inverter is
begin
b <= not a;
end architecture;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/dp_addpipe.vhd | 10 | 2509 |
LIBRARY ieee;
LIBRARY work;
LIBRARY lpm;
USE lpm.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CONVERSION - CORE LEVEL ***
--*** ***
--*** DP_ADDPIPE.VHD ***
--*** ***
--*** Function: Adder ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_addpipe IS
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END dp_addpipe;
ARCHITECTURE syn of dp_addpipe IS
component lpm_add_sub
GENERIC (
lpm_direction : STRING;
lpm_hint : STRING;
lpm_pipeline : NATURAL;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
cin : IN STD_LOGIC ;
clken : IN STD_LOGIC ;
aclr : IN STD_LOGIC ;
clock : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0)
);
end component;
BEGIN
addtwo: lpm_add_sub
GENERIC MAP (
lpm_direction => "ADD",
lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=YES",
lpm_pipeline => pipes,
lpm_type => "LPM_ADD_SUB",
lpm_width => width
)
PORT MAP (
dataa => aa,
datab => bb,
cin => carryin,
clken => enable,
aclr => reset,
clock => sysclk,
result => cc
);
END syn;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_div_lut1.vhd | 10 | 35988 | -- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_DIV_LUT1.VHD ***
--*** ***
--*** Function: Look Up Table - Inverse ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_div_lut1 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
data : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END fp_div_lut1;
ARCHITECTURE rtl OF fp_div_lut1 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" => data <= conv_std_logic_vector(2044,11);
WHEN "000000001" => data <= conv_std_logic_vector(2036,11);
WHEN "000000010" => data <= conv_std_logic_vector(2028,11);
WHEN "000000011" => data <= conv_std_logic_vector(2020,11);
WHEN "000000100" => data <= conv_std_logic_vector(2012,11);
WHEN "000000101" => data <= conv_std_logic_vector(2005,11);
WHEN "000000110" => data <= conv_std_logic_vector(1997,11);
WHEN "000000111" => data <= conv_std_logic_vector(1989,11);
WHEN "000001000" => data <= conv_std_logic_vector(1982,11);
WHEN "000001001" => data <= conv_std_logic_vector(1974,11);
WHEN "000001010" => data <= conv_std_logic_vector(1967,11);
WHEN "000001011" => data <= conv_std_logic_vector(1959,11);
WHEN "000001100" => data <= conv_std_logic_vector(1952,11);
WHEN "000001101" => data <= conv_std_logic_vector(1944,11);
WHEN "000001110" => data <= conv_std_logic_vector(1937,11);
WHEN "000001111" => data <= conv_std_logic_vector(1929,11);
WHEN "000010000" => data <= conv_std_logic_vector(1922,11);
WHEN "000010001" => data <= conv_std_logic_vector(1915,11);
WHEN "000010010" => data <= conv_std_logic_vector(1908,11);
WHEN "000010011" => data <= conv_std_logic_vector(1900,11);
WHEN "000010100" => data <= conv_std_logic_vector(1893,11);
WHEN "000010101" => data <= conv_std_logic_vector(1886,11);
WHEN "000010110" => data <= conv_std_logic_vector(1879,11);
WHEN "000010111" => data <= conv_std_logic_vector(1872,11);
WHEN "000011000" => data <= conv_std_logic_vector(1865,11);
WHEN "000011001" => data <= conv_std_logic_vector(1858,11);
WHEN "000011010" => data <= conv_std_logic_vector(1851,11);
WHEN "000011011" => data <= conv_std_logic_vector(1845,11);
WHEN "000011100" => data <= conv_std_logic_vector(1838,11);
WHEN "000011101" => data <= conv_std_logic_vector(1831,11);
WHEN "000011110" => data <= conv_std_logic_vector(1824,11);
WHEN "000011111" => data <= conv_std_logic_vector(1817,11);
WHEN "000100000" => data <= conv_std_logic_vector(1811,11);
WHEN "000100001" => data <= conv_std_logic_vector(1804,11);
WHEN "000100010" => data <= conv_std_logic_vector(1798,11);
WHEN "000100011" => data <= conv_std_logic_vector(1791,11);
WHEN "000100100" => data <= conv_std_logic_vector(1785,11);
WHEN "000100101" => data <= conv_std_logic_vector(1778,11);
WHEN "000100110" => data <= conv_std_logic_vector(1772,11);
WHEN "000100111" => data <= conv_std_logic_vector(1765,11);
WHEN "000101000" => data <= conv_std_logic_vector(1759,11);
WHEN "000101001" => data <= conv_std_logic_vector(1752,11);
WHEN "000101010" => data <= conv_std_logic_vector(1746,11);
WHEN "000101011" => data <= conv_std_logic_vector(1740,11);
WHEN "000101100" => data <= conv_std_logic_vector(1734,11);
WHEN "000101101" => data <= conv_std_logic_vector(1727,11);
WHEN "000101110" => data <= conv_std_logic_vector(1721,11);
WHEN "000101111" => data <= conv_std_logic_vector(1715,11);
WHEN "000110000" => data <= conv_std_logic_vector(1709,11);
WHEN "000110001" => data <= conv_std_logic_vector(1703,11);
WHEN "000110010" => data <= conv_std_logic_vector(1697,11);
WHEN "000110011" => data <= conv_std_logic_vector(1691,11);
WHEN "000110100" => data <= conv_std_logic_vector(1685,11);
WHEN "000110101" => data <= conv_std_logic_vector(1679,11);
WHEN "000110110" => data <= conv_std_logic_vector(1673,11);
WHEN "000110111" => data <= conv_std_logic_vector(1667,11);
WHEN "000111000" => data <= conv_std_logic_vector(1661,11);
WHEN "000111001" => data <= conv_std_logic_vector(1655,11);
WHEN "000111010" => data <= conv_std_logic_vector(1650,11);
WHEN "000111011" => data <= conv_std_logic_vector(1644,11);
WHEN "000111100" => data <= conv_std_logic_vector(1638,11);
WHEN "000111101" => data <= conv_std_logic_vector(1632,11);
WHEN "000111110" => data <= conv_std_logic_vector(1627,11);
WHEN "000111111" => data <= conv_std_logic_vector(1621,11);
WHEN "001000000" => data <= conv_std_logic_vector(1615,11);
WHEN "001000001" => data <= conv_std_logic_vector(1610,11);
WHEN "001000010" => data <= conv_std_logic_vector(1604,11);
WHEN "001000011" => data <= conv_std_logic_vector(1599,11);
WHEN "001000100" => data <= conv_std_logic_vector(1593,11);
WHEN "001000101" => data <= conv_std_logic_vector(1588,11);
WHEN "001000110" => data <= conv_std_logic_vector(1582,11);
WHEN "001000111" => data <= conv_std_logic_vector(1577,11);
WHEN "001001000" => data <= conv_std_logic_vector(1571,11);
WHEN "001001001" => data <= conv_std_logic_vector(1566,11);
WHEN "001001010" => data <= conv_std_logic_vector(1561,11);
WHEN "001001011" => data <= conv_std_logic_vector(1555,11);
WHEN "001001100" => data <= conv_std_logic_vector(1550,11);
WHEN "001001101" => data <= conv_std_logic_vector(1545,11);
WHEN "001001110" => data <= conv_std_logic_vector(1540,11);
WHEN "001001111" => data <= conv_std_logic_vector(1534,11);
WHEN "001010000" => data <= conv_std_logic_vector(1529,11);
WHEN "001010001" => data <= conv_std_logic_vector(1524,11);
WHEN "001010010" => data <= conv_std_logic_vector(1519,11);
WHEN "001010011" => data <= conv_std_logic_vector(1514,11);
WHEN "001010100" => data <= conv_std_logic_vector(1509,11);
WHEN "001010101" => data <= conv_std_logic_vector(1504,11);
WHEN "001010110" => data <= conv_std_logic_vector(1499,11);
WHEN "001010111" => data <= conv_std_logic_vector(1494,11);
WHEN "001011000" => data <= conv_std_logic_vector(1489,11);
WHEN "001011001" => data <= conv_std_logic_vector(1484,11);
WHEN "001011010" => data <= conv_std_logic_vector(1479,11);
WHEN "001011011" => data <= conv_std_logic_vector(1474,11);
WHEN "001011100" => data <= conv_std_logic_vector(1469,11);
WHEN "001011101" => data <= conv_std_logic_vector(1464,11);
WHEN "001011110" => data <= conv_std_logic_vector(1460,11);
WHEN "001011111" => data <= conv_std_logic_vector(1455,11);
WHEN "001100000" => data <= conv_std_logic_vector(1450,11);
WHEN "001100001" => data <= conv_std_logic_vector(1445,11);
WHEN "001100010" => data <= conv_std_logic_vector(1440,11);
WHEN "001100011" => data <= conv_std_logic_vector(1436,11);
WHEN "001100100" => data <= conv_std_logic_vector(1431,11);
WHEN "001100101" => data <= conv_std_logic_vector(1426,11);
WHEN "001100110" => data <= conv_std_logic_vector(1422,11);
WHEN "001100111" => data <= conv_std_logic_vector(1417,11);
WHEN "001101000" => data <= conv_std_logic_vector(1413,11);
WHEN "001101001" => data <= conv_std_logic_vector(1408,11);
WHEN "001101010" => data <= conv_std_logic_vector(1403,11);
WHEN "001101011" => data <= conv_std_logic_vector(1399,11);
WHEN "001101100" => data <= conv_std_logic_vector(1394,11);
WHEN "001101101" => data <= conv_std_logic_vector(1390,11);
WHEN "001101110" => data <= conv_std_logic_vector(1385,11);
WHEN "001101111" => data <= conv_std_logic_vector(1381,11);
WHEN "001110000" => data <= conv_std_logic_vector(1377,11);
WHEN "001110001" => data <= conv_std_logic_vector(1372,11);
WHEN "001110010" => data <= conv_std_logic_vector(1368,11);
WHEN "001110011" => data <= conv_std_logic_vector(1363,11);
WHEN "001110100" => data <= conv_std_logic_vector(1359,11);
WHEN "001110101" => data <= conv_std_logic_vector(1355,11);
WHEN "001110110" => data <= conv_std_logic_vector(1351,11);
WHEN "001110111" => data <= conv_std_logic_vector(1346,11);
WHEN "001111000" => data <= conv_std_logic_vector(1342,11);
WHEN "001111001" => data <= conv_std_logic_vector(1338,11);
WHEN "001111010" => data <= conv_std_logic_vector(1334,11);
WHEN "001111011" => data <= conv_std_logic_vector(1329,11);
WHEN "001111100" => data <= conv_std_logic_vector(1325,11);
WHEN "001111101" => data <= conv_std_logic_vector(1321,11);
WHEN "001111110" => data <= conv_std_logic_vector(1317,11);
WHEN "001111111" => data <= conv_std_logic_vector(1313,11);
WHEN "010000000" => data <= conv_std_logic_vector(1309,11);
WHEN "010000001" => data <= conv_std_logic_vector(1305,11);
WHEN "010000010" => data <= conv_std_logic_vector(1301,11);
WHEN "010000011" => data <= conv_std_logic_vector(1297,11);
WHEN "010000100" => data <= conv_std_logic_vector(1292,11);
WHEN "010000101" => data <= conv_std_logic_vector(1288,11);
WHEN "010000110" => data <= conv_std_logic_vector(1284,11);
WHEN "010000111" => data <= conv_std_logic_vector(1281,11);
WHEN "010001000" => data <= conv_std_logic_vector(1277,11);
WHEN "010001001" => data <= conv_std_logic_vector(1273,11);
WHEN "010001010" => data <= conv_std_logic_vector(1269,11);
WHEN "010001011" => data <= conv_std_logic_vector(1265,11);
WHEN "010001100" => data <= conv_std_logic_vector(1261,11);
WHEN "010001101" => data <= conv_std_logic_vector(1257,11);
WHEN "010001110" => data <= conv_std_logic_vector(1253,11);
WHEN "010001111" => data <= conv_std_logic_vector(1249,11);
WHEN "010010000" => data <= conv_std_logic_vector(1246,11);
WHEN "010010001" => data <= conv_std_logic_vector(1242,11);
WHEN "010010010" => data <= conv_std_logic_vector(1238,11);
WHEN "010010011" => data <= conv_std_logic_vector(1234,11);
WHEN "010010100" => data <= conv_std_logic_vector(1231,11);
WHEN "010010101" => data <= conv_std_logic_vector(1227,11);
WHEN "010010110" => data <= conv_std_logic_vector(1223,11);
WHEN "010010111" => data <= conv_std_logic_vector(1220,11);
WHEN "010011000" => data <= conv_std_logic_vector(1216,11);
WHEN "010011001" => data <= conv_std_logic_vector(1212,11);
WHEN "010011010" => data <= conv_std_logic_vector(1209,11);
WHEN "010011011" => data <= conv_std_logic_vector(1205,11);
WHEN "010011100" => data <= conv_std_logic_vector(1201,11);
WHEN "010011101" => data <= conv_std_logic_vector(1198,11);
WHEN "010011110" => data <= conv_std_logic_vector(1194,11);
WHEN "010011111" => data <= conv_std_logic_vector(1191,11);
WHEN "010100000" => data <= conv_std_logic_vector(1187,11);
WHEN "010100001" => data <= conv_std_logic_vector(1184,11);
WHEN "010100010" => data <= conv_std_logic_vector(1180,11);
WHEN "010100011" => data <= conv_std_logic_vector(1177,11);
WHEN "010100100" => data <= conv_std_logic_vector(1173,11);
WHEN "010100101" => data <= conv_std_logic_vector(1170,11);
WHEN "010100110" => data <= conv_std_logic_vector(1166,11);
WHEN "010100111" => data <= conv_std_logic_vector(1163,11);
WHEN "010101000" => data <= conv_std_logic_vector(1159,11);
WHEN "010101001" => data <= conv_std_logic_vector(1156,11);
WHEN "010101010" => data <= conv_std_logic_vector(1153,11);
WHEN "010101011" => data <= conv_std_logic_vector(1149,11);
WHEN "010101100" => data <= conv_std_logic_vector(1146,11);
WHEN "010101101" => data <= conv_std_logic_vector(1142,11);
WHEN "010101110" => data <= conv_std_logic_vector(1139,11);
WHEN "010101111" => data <= conv_std_logic_vector(1136,11);
WHEN "010110000" => data <= conv_std_logic_vector(1133,11);
WHEN "010110001" => data <= conv_std_logic_vector(1129,11);
WHEN "010110010" => data <= conv_std_logic_vector(1126,11);
WHEN "010110011" => data <= conv_std_logic_vector(1123,11);
WHEN "010110100" => data <= conv_std_logic_vector(1120,11);
WHEN "010110101" => data <= conv_std_logic_vector(1116,11);
WHEN "010110110" => data <= conv_std_logic_vector(1113,11);
WHEN "010110111" => data <= conv_std_logic_vector(1110,11);
WHEN "010111000" => data <= conv_std_logic_vector(1107,11);
WHEN "010111001" => data <= conv_std_logic_vector(1104,11);
WHEN "010111010" => data <= conv_std_logic_vector(1100,11);
WHEN "010111011" => data <= conv_std_logic_vector(1097,11);
WHEN "010111100" => data <= conv_std_logic_vector(1094,11);
WHEN "010111101" => data <= conv_std_logic_vector(1091,11);
WHEN "010111110" => data <= conv_std_logic_vector(1088,11);
WHEN "010111111" => data <= conv_std_logic_vector(1085,11);
WHEN "011000000" => data <= conv_std_logic_vector(1082,11);
WHEN "011000001" => data <= conv_std_logic_vector(1079,11);
WHEN "011000010" => data <= conv_std_logic_vector(1076,11);
WHEN "011000011" => data <= conv_std_logic_vector(1073,11);
WHEN "011000100" => data <= conv_std_logic_vector(1070,11);
WHEN "011000101" => data <= conv_std_logic_vector(1067,11);
WHEN "011000110" => data <= conv_std_logic_vector(1064,11);
WHEN "011000111" => data <= conv_std_logic_vector(1061,11);
WHEN "011001000" => data <= conv_std_logic_vector(1058,11);
WHEN "011001001" => data <= conv_std_logic_vector(1055,11);
WHEN "011001010" => data <= conv_std_logic_vector(1052,11);
WHEN "011001011" => data <= conv_std_logic_vector(1049,11);
WHEN "011001100" => data <= conv_std_logic_vector(1046,11);
WHEN "011001101" => data <= conv_std_logic_vector(1043,11);
WHEN "011001110" => data <= conv_std_logic_vector(1040,11);
WHEN "011001111" => data <= conv_std_logic_vector(1037,11);
WHEN "011010000" => data <= conv_std_logic_vector(1034,11);
WHEN "011010001" => data <= conv_std_logic_vector(1031,11);
WHEN "011010010" => data <= conv_std_logic_vector(1028,11);
WHEN "011010011" => data <= conv_std_logic_vector(1026,11);
WHEN "011010100" => data <= conv_std_logic_vector(1023,11);
WHEN "011010101" => data <= conv_std_logic_vector(1020,11);
WHEN "011010110" => data <= conv_std_logic_vector(1017,11);
WHEN "011010111" => data <= conv_std_logic_vector(1014,11);
WHEN "011011000" => data <= conv_std_logic_vector(1012,11);
WHEN "011011001" => data <= conv_std_logic_vector(1009,11);
WHEN "011011010" => data <= conv_std_logic_vector(1006,11);
WHEN "011011011" => data <= conv_std_logic_vector(1003,11);
WHEN "011011100" => data <= conv_std_logic_vector(1001,11);
WHEN "011011101" => data <= conv_std_logic_vector(998,11);
WHEN "011011110" => data <= conv_std_logic_vector(995,11);
WHEN "011011111" => data <= conv_std_logic_vector(992,11);
WHEN "011100000" => data <= conv_std_logic_vector(990,11);
WHEN "011100001" => data <= conv_std_logic_vector(987,11);
WHEN "011100010" => data <= conv_std_logic_vector(984,11);
WHEN "011100011" => data <= conv_std_logic_vector(982,11);
WHEN "011100100" => data <= conv_std_logic_vector(979,11);
WHEN "011100101" => data <= conv_std_logic_vector(976,11);
WHEN "011100110" => data <= conv_std_logic_vector(974,11);
WHEN "011100111" => data <= conv_std_logic_vector(971,11);
WHEN "011101000" => data <= conv_std_logic_vector(969,11);
WHEN "011101001" => data <= conv_std_logic_vector(966,11);
WHEN "011101010" => data <= conv_std_logic_vector(963,11);
WHEN "011101011" => data <= conv_std_logic_vector(961,11);
WHEN "011101100" => data <= conv_std_logic_vector(958,11);
WHEN "011101101" => data <= conv_std_logic_vector(956,11);
WHEN "011101110" => data <= conv_std_logic_vector(953,11);
WHEN "011101111" => data <= conv_std_logic_vector(951,11);
WHEN "011110000" => data <= conv_std_logic_vector(948,11);
WHEN "011110001" => data <= conv_std_logic_vector(946,11);
WHEN "011110010" => data <= conv_std_logic_vector(943,11);
WHEN "011110011" => data <= conv_std_logic_vector(941,11);
WHEN "011110100" => data <= conv_std_logic_vector(938,11);
WHEN "011110101" => data <= conv_std_logic_vector(936,11);
WHEN "011110110" => data <= conv_std_logic_vector(933,11);
WHEN "011110111" => data <= conv_std_logic_vector(931,11);
WHEN "011111000" => data <= conv_std_logic_vector(928,11);
WHEN "011111001" => data <= conv_std_logic_vector(926,11);
WHEN "011111010" => data <= conv_std_logic_vector(923,11);
WHEN "011111011" => data <= conv_std_logic_vector(921,11);
WHEN "011111100" => data <= conv_std_logic_vector(919,11);
WHEN "011111101" => data <= conv_std_logic_vector(916,11);
WHEN "011111110" => data <= conv_std_logic_vector(914,11);
WHEN "011111111" => data <= conv_std_logic_vector(911,11);
WHEN "100000000" => data <= conv_std_logic_vector(909,11);
WHEN "100000001" => data <= conv_std_logic_vector(907,11);
WHEN "100000010" => data <= conv_std_logic_vector(904,11);
WHEN "100000011" => data <= conv_std_logic_vector(902,11);
WHEN "100000100" => data <= conv_std_logic_vector(900,11);
WHEN "100000101" => data <= conv_std_logic_vector(897,11);
WHEN "100000110" => data <= conv_std_logic_vector(895,11);
WHEN "100000111" => data <= conv_std_logic_vector(893,11);
WHEN "100001000" => data <= conv_std_logic_vector(890,11);
WHEN "100001001" => data <= conv_std_logic_vector(888,11);
WHEN "100001010" => data <= conv_std_logic_vector(886,11);
WHEN "100001011" => data <= conv_std_logic_vector(884,11);
WHEN "100001100" => data <= conv_std_logic_vector(881,11);
WHEN "100001101" => data <= conv_std_logic_vector(879,11);
WHEN "100001110" => data <= conv_std_logic_vector(877,11);
WHEN "100001111" => data <= conv_std_logic_vector(875,11);
WHEN "100010000" => data <= conv_std_logic_vector(872,11);
WHEN "100010001" => data <= conv_std_logic_vector(870,11);
WHEN "100010010" => data <= conv_std_logic_vector(868,11);
WHEN "100010011" => data <= conv_std_logic_vector(866,11);
WHEN "100010100" => data <= conv_std_logic_vector(864,11);
WHEN "100010101" => data <= conv_std_logic_vector(861,11);
WHEN "100010110" => data <= conv_std_logic_vector(859,11);
WHEN "100010111" => data <= conv_std_logic_vector(857,11);
WHEN "100011000" => data <= conv_std_logic_vector(855,11);
WHEN "100011001" => data <= conv_std_logic_vector(853,11);
WHEN "100011010" => data <= conv_std_logic_vector(851,11);
WHEN "100011011" => data <= conv_std_logic_vector(848,11);
WHEN "100011100" => data <= conv_std_logic_vector(846,11);
WHEN "100011101" => data <= conv_std_logic_vector(844,11);
WHEN "100011110" => data <= conv_std_logic_vector(842,11);
WHEN "100011111" => data <= conv_std_logic_vector(840,11);
WHEN "100100000" => data <= conv_std_logic_vector(838,11);
WHEN "100100001" => data <= conv_std_logic_vector(836,11);
WHEN "100100010" => data <= conv_std_logic_vector(834,11);
WHEN "100100011" => data <= conv_std_logic_vector(832,11);
WHEN "100100100" => data <= conv_std_logic_vector(830,11);
WHEN "100100101" => data <= conv_std_logic_vector(827,11);
WHEN "100100110" => data <= conv_std_logic_vector(825,11);
WHEN "100100111" => data <= conv_std_logic_vector(823,11);
WHEN "100101000" => data <= conv_std_logic_vector(821,11);
WHEN "100101001" => data <= conv_std_logic_vector(819,11);
WHEN "100101010" => data <= conv_std_logic_vector(817,11);
WHEN "100101011" => data <= conv_std_logic_vector(815,11);
WHEN "100101100" => data <= conv_std_logic_vector(813,11);
WHEN "100101101" => data <= conv_std_logic_vector(811,11);
WHEN "100101110" => data <= conv_std_logic_vector(809,11);
WHEN "100101111" => data <= conv_std_logic_vector(807,11);
WHEN "100110000" => data <= conv_std_logic_vector(805,11);
WHEN "100110001" => data <= conv_std_logic_vector(803,11);
WHEN "100110010" => data <= conv_std_logic_vector(801,11);
WHEN "100110011" => data <= conv_std_logic_vector(799,11);
WHEN "100110100" => data <= conv_std_logic_vector(797,11);
WHEN "100110101" => data <= conv_std_logic_vector(796,11);
WHEN "100110110" => data <= conv_std_logic_vector(794,11);
WHEN "100110111" => data <= conv_std_logic_vector(792,11);
WHEN "100111000" => data <= conv_std_logic_vector(790,11);
WHEN "100111001" => data <= conv_std_logic_vector(788,11);
WHEN "100111010" => data <= conv_std_logic_vector(786,11);
WHEN "100111011" => data <= conv_std_logic_vector(784,11);
WHEN "100111100" => data <= conv_std_logic_vector(782,11);
WHEN "100111101" => data <= conv_std_logic_vector(780,11);
WHEN "100111110" => data <= conv_std_logic_vector(778,11);
WHEN "100111111" => data <= conv_std_logic_vector(777,11);
WHEN "101000000" => data <= conv_std_logic_vector(775,11);
WHEN "101000001" => data <= conv_std_logic_vector(773,11);
WHEN "101000010" => data <= conv_std_logic_vector(771,11);
WHEN "101000011" => data <= conv_std_logic_vector(769,11);
WHEN "101000100" => data <= conv_std_logic_vector(767,11);
WHEN "101000101" => data <= conv_std_logic_vector(765,11);
WHEN "101000110" => data <= conv_std_logic_vector(764,11);
WHEN "101000111" => data <= conv_std_logic_vector(762,11);
WHEN "101001000" => data <= conv_std_logic_vector(760,11);
WHEN "101001001" => data <= conv_std_logic_vector(758,11);
WHEN "101001010" => data <= conv_std_logic_vector(756,11);
WHEN "101001011" => data <= conv_std_logic_vector(755,11);
WHEN "101001100" => data <= conv_std_logic_vector(753,11);
WHEN "101001101" => data <= conv_std_logic_vector(751,11);
WHEN "101001110" => data <= conv_std_logic_vector(749,11);
WHEN "101001111" => data <= conv_std_logic_vector(747,11);
WHEN "101010000" => data <= conv_std_logic_vector(746,11);
WHEN "101010001" => data <= conv_std_logic_vector(744,11);
WHEN "101010010" => data <= conv_std_logic_vector(742,11);
WHEN "101010011" => data <= conv_std_logic_vector(740,11);
WHEN "101010100" => data <= conv_std_logic_vector(739,11);
WHEN "101010101" => data <= conv_std_logic_vector(737,11);
WHEN "101010110" => data <= conv_std_logic_vector(735,11);
WHEN "101010111" => data <= conv_std_logic_vector(734,11);
WHEN "101011000" => data <= conv_std_logic_vector(732,11);
WHEN "101011001" => data <= conv_std_logic_vector(730,11);
WHEN "101011010" => data <= conv_std_logic_vector(728,11);
WHEN "101011011" => data <= conv_std_logic_vector(727,11);
WHEN "101011100" => data <= conv_std_logic_vector(725,11);
WHEN "101011101" => data <= conv_std_logic_vector(723,11);
WHEN "101011110" => data <= conv_std_logic_vector(722,11);
WHEN "101011111" => data <= conv_std_logic_vector(720,11);
WHEN "101100000" => data <= conv_std_logic_vector(718,11);
WHEN "101100001" => data <= conv_std_logic_vector(717,11);
WHEN "101100010" => data <= conv_std_logic_vector(715,11);
WHEN "101100011" => data <= conv_std_logic_vector(713,11);
WHEN "101100100" => data <= conv_std_logic_vector(712,11);
WHEN "101100101" => data <= conv_std_logic_vector(710,11);
WHEN "101100110" => data <= conv_std_logic_vector(708,11);
WHEN "101100111" => data <= conv_std_logic_vector(707,11);
WHEN "101101000" => data <= conv_std_logic_vector(705,11);
WHEN "101101001" => data <= conv_std_logic_vector(704,11);
WHEN "101101010" => data <= conv_std_logic_vector(702,11);
WHEN "101101011" => data <= conv_std_logic_vector(700,11);
WHEN "101101100" => data <= conv_std_logic_vector(699,11);
WHEN "101101101" => data <= conv_std_logic_vector(697,11);
WHEN "101101110" => data <= conv_std_logic_vector(696,11);
WHEN "101101111" => data <= conv_std_logic_vector(694,11);
WHEN "101110000" => data <= conv_std_logic_vector(692,11);
WHEN "101110001" => data <= conv_std_logic_vector(691,11);
WHEN "101110010" => data <= conv_std_logic_vector(689,11);
WHEN "101110011" => data <= conv_std_logic_vector(688,11);
WHEN "101110100" => data <= conv_std_logic_vector(686,11);
WHEN "101110101" => data <= conv_std_logic_vector(685,11);
WHEN "101110110" => data <= conv_std_logic_vector(683,11);
WHEN "101110111" => data <= conv_std_logic_vector(682,11);
WHEN "101111000" => data <= conv_std_logic_vector(680,11);
WHEN "101111001" => data <= conv_std_logic_vector(679,11);
WHEN "101111010" => data <= conv_std_logic_vector(677,11);
WHEN "101111011" => data <= conv_std_logic_vector(676,11);
WHEN "101111100" => data <= conv_std_logic_vector(674,11);
WHEN "101111101" => data <= conv_std_logic_vector(672,11);
WHEN "101111110" => data <= conv_std_logic_vector(671,11);
WHEN "101111111" => data <= conv_std_logic_vector(669,11);
WHEN "110000000" => data <= conv_std_logic_vector(668,11);
WHEN "110000001" => data <= conv_std_logic_vector(667,11);
WHEN "110000010" => data <= conv_std_logic_vector(665,11);
WHEN "110000011" => data <= conv_std_logic_vector(664,11);
WHEN "110000100" => data <= conv_std_logic_vector(662,11);
WHEN "110000101" => data <= conv_std_logic_vector(661,11);
WHEN "110000110" => data <= conv_std_logic_vector(659,11);
WHEN "110000111" => data <= conv_std_logic_vector(658,11);
WHEN "110001000" => data <= conv_std_logic_vector(656,11);
WHEN "110001001" => data <= conv_std_logic_vector(655,11);
WHEN "110001010" => data <= conv_std_logic_vector(653,11);
WHEN "110001011" => data <= conv_std_logic_vector(652,11);
WHEN "110001100" => data <= conv_std_logic_vector(650,11);
WHEN "110001101" => data <= conv_std_logic_vector(649,11);
WHEN "110001110" => data <= conv_std_logic_vector(648,11);
WHEN "110001111" => data <= conv_std_logic_vector(646,11);
WHEN "110010000" => data <= conv_std_logic_vector(645,11);
WHEN "110010001" => data <= conv_std_logic_vector(643,11);
WHEN "110010010" => data <= conv_std_logic_vector(642,11);
WHEN "110010011" => data <= conv_std_logic_vector(641,11);
WHEN "110010100" => data <= conv_std_logic_vector(639,11);
WHEN "110010101" => data <= conv_std_logic_vector(638,11);
WHEN "110010110" => data <= conv_std_logic_vector(636,11);
WHEN "110010111" => data <= conv_std_logic_vector(635,11);
WHEN "110011000" => data <= conv_std_logic_vector(634,11);
WHEN "110011001" => data <= conv_std_logic_vector(632,11);
WHEN "110011010" => data <= conv_std_logic_vector(631,11);
WHEN "110011011" => data <= conv_std_logic_vector(630,11);
WHEN "110011100" => data <= conv_std_logic_vector(628,11);
WHEN "110011101" => data <= conv_std_logic_vector(627,11);
WHEN "110011110" => data <= conv_std_logic_vector(625,11);
WHEN "110011111" => data <= conv_std_logic_vector(624,11);
WHEN "110100000" => data <= conv_std_logic_vector(623,11);
WHEN "110100001" => data <= conv_std_logic_vector(621,11);
WHEN "110100010" => data <= conv_std_logic_vector(620,11);
WHEN "110100011" => data <= conv_std_logic_vector(619,11);
WHEN "110100100" => data <= conv_std_logic_vector(617,11);
WHEN "110100101" => data <= conv_std_logic_vector(616,11);
WHEN "110100110" => data <= conv_std_logic_vector(615,11);
WHEN "110100111" => data <= conv_std_logic_vector(613,11);
WHEN "110101000" => data <= conv_std_logic_vector(612,11);
WHEN "110101001" => data <= conv_std_logic_vector(611,11);
WHEN "110101010" => data <= conv_std_logic_vector(610,11);
WHEN "110101011" => data <= conv_std_logic_vector(608,11);
WHEN "110101100" => data <= conv_std_logic_vector(607,11);
WHEN "110101101" => data <= conv_std_logic_vector(606,11);
WHEN "110101110" => data <= conv_std_logic_vector(604,11);
WHEN "110101111" => data <= conv_std_logic_vector(603,11);
WHEN "110110000" => data <= conv_std_logic_vector(602,11);
WHEN "110110001" => data <= conv_std_logic_vector(601,11);
WHEN "110110010" => data <= conv_std_logic_vector(599,11);
WHEN "110110011" => data <= conv_std_logic_vector(598,11);
WHEN "110110100" => data <= conv_std_logic_vector(597,11);
WHEN "110110101" => data <= conv_std_logic_vector(595,11);
WHEN "110110110" => data <= conv_std_logic_vector(594,11);
WHEN "110110111" => data <= conv_std_logic_vector(593,11);
WHEN "110111000" => data <= conv_std_logic_vector(592,11);
WHEN "110111001" => data <= conv_std_logic_vector(591,11);
WHEN "110111010" => data <= conv_std_logic_vector(589,11);
WHEN "110111011" => data <= conv_std_logic_vector(588,11);
WHEN "110111100" => data <= conv_std_logic_vector(587,11);
WHEN "110111101" => data <= conv_std_logic_vector(586,11);
WHEN "110111110" => data <= conv_std_logic_vector(584,11);
WHEN "110111111" => data <= conv_std_logic_vector(583,11);
WHEN "111000000" => data <= conv_std_logic_vector(582,11);
WHEN "111000001" => data <= conv_std_logic_vector(581,11);
WHEN "111000010" => data <= conv_std_logic_vector(580,11);
WHEN "111000011" => data <= conv_std_logic_vector(578,11);
WHEN "111000100" => data <= conv_std_logic_vector(577,11);
WHEN "111000101" => data <= conv_std_logic_vector(576,11);
WHEN "111000110" => data <= conv_std_logic_vector(575,11);
WHEN "111000111" => data <= conv_std_logic_vector(574,11);
WHEN "111001000" => data <= conv_std_logic_vector(572,11);
WHEN "111001001" => data <= conv_std_logic_vector(571,11);
WHEN "111001010" => data <= conv_std_logic_vector(570,11);
WHEN "111001011" => data <= conv_std_logic_vector(569,11);
WHEN "111001100" => data <= conv_std_logic_vector(568,11);
WHEN "111001101" => data <= conv_std_logic_vector(566,11);
WHEN "111001110" => data <= conv_std_logic_vector(565,11);
WHEN "111001111" => data <= conv_std_logic_vector(564,11);
WHEN "111010000" => data <= conv_std_logic_vector(563,11);
WHEN "111010001" => data <= conv_std_logic_vector(562,11);
WHEN "111010010" => data <= conv_std_logic_vector(561,11);
WHEN "111010011" => data <= conv_std_logic_vector(560,11);
WHEN "111010100" => data <= conv_std_logic_vector(558,11);
WHEN "111010101" => data <= conv_std_logic_vector(557,11);
WHEN "111010110" => data <= conv_std_logic_vector(556,11);
WHEN "111010111" => data <= conv_std_logic_vector(555,11);
WHEN "111011000" => data <= conv_std_logic_vector(554,11);
WHEN "111011001" => data <= conv_std_logic_vector(553,11);
WHEN "111011010" => data <= conv_std_logic_vector(552,11);
WHEN "111011011" => data <= conv_std_logic_vector(551,11);
WHEN "111011100" => data <= conv_std_logic_vector(549,11);
WHEN "111011101" => data <= conv_std_logic_vector(548,11);
WHEN "111011110" => data <= conv_std_logic_vector(547,11);
WHEN "111011111" => data <= conv_std_logic_vector(546,11);
WHEN "111100000" => data <= conv_std_logic_vector(545,11);
WHEN "111100001" => data <= conv_std_logic_vector(544,11);
WHEN "111100010" => data <= conv_std_logic_vector(543,11);
WHEN "111100011" => data <= conv_std_logic_vector(542,11);
WHEN "111100100" => data <= conv_std_logic_vector(541,11);
WHEN "111100101" => data <= conv_std_logic_vector(540,11);
WHEN "111100110" => data <= conv_std_logic_vector(538,11);
WHEN "111100111" => data <= conv_std_logic_vector(537,11);
WHEN "111101000" => data <= conv_std_logic_vector(536,11);
WHEN "111101001" => data <= conv_std_logic_vector(535,11);
WHEN "111101010" => data <= conv_std_logic_vector(534,11);
WHEN "111101011" => data <= conv_std_logic_vector(533,11);
WHEN "111101100" => data <= conv_std_logic_vector(532,11);
WHEN "111101101" => data <= conv_std_logic_vector(531,11);
WHEN "111101110" => data <= conv_std_logic_vector(530,11);
WHEN "111101111" => data <= conv_std_logic_vector(529,11);
WHEN "111110000" => data <= conv_std_logic_vector(528,11);
WHEN "111110001" => data <= conv_std_logic_vector(527,11);
WHEN "111110010" => data <= conv_std_logic_vector(526,11);
WHEN "111110011" => data <= conv_std_logic_vector(525,11);
WHEN "111110100" => data <= conv_std_logic_vector(524,11);
WHEN "111110101" => data <= conv_std_logic_vector(523,11);
WHEN "111110110" => data <= conv_std_logic_vector(522,11);
WHEN "111110111" => data <= conv_std_logic_vector(521,11);
WHEN "111111000" => data <= conv_std_logic_vector(520,11);
WHEN "111111001" => data <= conv_std_logic_vector(519,11);
WHEN "111111010" => data <= conv_std_logic_vector(518,11);
WHEN "111111011" => data <= conv_std_logic_vector(517,11);
WHEN "111111100" => data <= conv_std_logic_vector(516,11);
WHEN "111111101" => data <= conv_std_logic_vector(515,11);
WHEN "111111110" => data <= conv_std_logic_vector(514,11);
WHEN "111111111" => data <= conv_std_logic_vector(513,11);
WHEN others => data <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_atanpi_s5.vhd | 10 | 525003 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_atanpi_s5
-- VHDL created on Tue Mar 12 11:23:23 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_atanpi_s5 is
port (
a : in std_logic_vector(31 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(31 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_atanpi_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstBiasM2_uid6_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal ooPi_uid9_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal cstBias_uid22_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal piO2_uid46_atanX_uid8_fpArctanPiTest_q : std_logic_vector (25 downto 0);
signal piO4_uid47_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal biasMwShift_uid62_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal shiftBias_uid64_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal zS_uid67_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0);
signal cst01pWShift_uid69_atanX_uid8_fpArctanPiTest_q : std_logic_vector (12 downto 0);
signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a : std_logic_vector (23 downto 0);
signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b : std_logic_vector (26 downto 0);
signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1 : std_logic_vector (50 downto 0);
signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_pr : UNSIGNED (50 downto 0);
signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q : std_logic_vector (50 downto 0);
signal fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q : std_logic_vector(1 downto 0);
signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(8 downto 0);
signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(8 downto 0);
signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (8 downto 0);
signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (8 downto 0);
signal biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (9 downto 0);
signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(11 downto 0);
signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(11 downto 0);
signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (11 downto 0);
signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (10 downto 0);
signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector (23 downto 0);
signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1 : std_logic_vector (47 downto 0);
signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_pr : UNSIGNED (47 downto 0);
signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (47 downto 0);
signal roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (2 downto 0);
signal signR_uid190_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal signR_uid190_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal signR_uid190_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (11 downto 0);
signal leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0);
signal rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (15 downto 0);
signal rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (5 downto 0);
signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_a : std_logic_vector (12 downto 0);
signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_b : std_logic_vector (12 downto 0);
signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1 : std_logic_vector (25 downto 0);
signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_pr : SIGNED (26 downto 0);
signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_q : std_logic_vector (25 downto 0);
signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_a : std_logic_vector (17 downto 0);
signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_b : std_logic_vector (22 downto 0);
signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1 : std_logic_vector (40 downto 0);
signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_pr : SIGNED (41 downto 0);
signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_q : std_logic_vector (40 downto 0);
signal prodXY_uid366_pT1_uid348_invPolyEval_a : std_logic_vector (11 downto 0);
signal prodXY_uid366_pT1_uid348_invPolyEval_b : std_logic_vector (11 downto 0);
signal prodXY_uid366_pT1_uid348_invPolyEval_s1 : std_logic_vector (23 downto 0);
signal prodXY_uid366_pT1_uid348_invPolyEval_pr : SIGNED (24 downto 0);
signal prodXY_uid366_pT1_uid348_invPolyEval_q : std_logic_vector (23 downto 0);
signal prodXY_uid369_pT2_uid354_invPolyEval_a : std_logic_vector (14 downto 0);
signal prodXY_uid369_pT2_uid354_invPolyEval_b : std_logic_vector (21 downto 0);
signal prodXY_uid369_pT2_uid354_invPolyEval_s1 : std_logic_vector (36 downto 0);
signal prodXY_uid369_pT2_uid354_invPolyEval_pr : SIGNED (37 downto 0);
signal prodXY_uid369_pT2_uid354_invPolyEval_q : std_logic_vector (36 downto 0);
signal memoryC0_uid299_atanXOXTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid299_atanXOXTabGen_lutmem_ia : std_logic_vector (30 downto 0);
signal memoryC0_uid299_atanXOXTabGen_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid299_atanXOXTabGen_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid299_atanXOXTabGen_lutmem_iq : std_logic_vector (30 downto 0);
signal memoryC0_uid299_atanXOXTabGen_lutmem_q : std_logic_vector (30 downto 0);
signal memoryC1_uid300_atanXOXTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid300_atanXOXTabGen_lutmem_ia : std_logic_vector (20 downto 0);
signal memoryC1_uid300_atanXOXTabGen_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid300_atanXOXTabGen_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid300_atanXOXTabGen_lutmem_iq : std_logic_vector (20 downto 0);
signal memoryC1_uid300_atanXOXTabGen_lutmem_q : std_logic_vector (20 downto 0);
signal memoryC2_uid301_atanXOXTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid301_atanXOXTabGen_lutmem_ia : std_logic_vector (12 downto 0);
signal memoryC2_uid301_atanXOXTabGen_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC2_uid301_atanXOXTabGen_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC2_uid301_atanXOXTabGen_lutmem_iq : std_logic_vector (12 downto 0);
signal memoryC2_uid301_atanXOXTabGen_lutmem_q : std_logic_vector (12 downto 0);
signal memoryC0_uid344_invTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid344_invTabGen_lutmem_ia : std_logic_vector (28 downto 0);
signal memoryC0_uid344_invTabGen_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid344_invTabGen_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid344_invTabGen_lutmem_iq : std_logic_vector (28 downto 0);
signal memoryC0_uid344_invTabGen_lutmem_q : std_logic_vector (28 downto 0);
signal memoryC1_uid345_invTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid345_invTabGen_lutmem_ia : std_logic_vector (19 downto 0);
signal memoryC1_uid345_invTabGen_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid345_invTabGen_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid345_invTabGen_lutmem_iq : std_logic_vector (19 downto 0);
signal memoryC1_uid345_invTabGen_lutmem_q : std_logic_vector (19 downto 0);
signal memoryC2_uid346_invTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid346_invTabGen_lutmem_ia : std_logic_vector (11 downto 0);
signal memoryC2_uid346_invTabGen_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC2_uid346_invTabGen_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC2_uid346_invTabGen_lutmem_iq : std_logic_vector (11 downto 0);
signal memoryC2_uid346_invTabGen_lutmem_q : std_logic_vector (11 downto 0);
signal reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (2 downto 0);
signal reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (2 downto 0);
signal reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q : std_logic_vector (11 downto 0);
signal reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q : std_logic_vector (11 downto 0);
signal reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q : std_logic_vector (19 downto 0);
signal reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q : std_logic_vector (14 downto 0);
signal reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q : std_logic_vector (21 downto 0);
signal reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q : std_logic_vector (28 downto 0);
signal reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (0 downto 0);
signal reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (7 downto 0);
signal reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (2 downto 0);
signal reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (36 downto 0);
signal reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q : std_logic_vector (36 downto 0);
signal reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q : std_logic_vector (36 downto 0);
signal reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q : std_logic_vector (36 downto 0);
signal reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (36 downto 0);
signal reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q : std_logic_vector (12 downto 0);
signal reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q : std_logic_vector (12 downto 0);
signal reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q : std_logic_vector (20 downto 0);
signal reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q : std_logic_vector (17 downto 0);
signal reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q : std_logic_vector (22 downto 0);
signal reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q : std_logic_vector (30 downto 0);
signal reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (23 downto 0);
signal reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (26 downto 0);
signal reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (8 downto 0);
signal reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (24 downto 0);
signal reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (25 downto 0);
signal reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (31 downto 0);
signal reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (32 downto 0);
signal reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (22 downto 0);
signal reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q : std_logic_vector (22 downto 0);
signal reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q : std_logic_vector (22 downto 0);
signal reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q : std_logic_vector (22 downto 0);
signal reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (7 downto 0);
signal reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q : std_logic_vector (7 downto 0);
signal reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q : std_logic_vector (7 downto 0);
signal reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q : std_logic_vector (7 downto 0);
signal reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (7 downto 0);
signal reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (22 downto 0);
signal reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q : std_logic_vector (23 downto 0);
signal reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (23 downto 0);
signal reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q : std_logic_vector (34 downto 0);
signal reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (25 downto 0);
signal reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (11 downto 0);
signal reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q : std_logic_vector (2 downto 0);
signal ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (22 downto 0);
signal ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (23 downto 0);
signal ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q : std_logic_vector (7 downto 0);
signal ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (7 downto 0);
signal ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (8 downto 0);
signal ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q : std_logic_vector (22 downto 0);
signal ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q : std_logic_vector (7 downto 0);
signal ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (22 downto 0);
signal ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (1 downto 0);
signal ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (35 downto 0);
signal ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (34 downto 0);
signal ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (33 downto 0);
signal ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (22 downto 0);
signal ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (20 downto 0);
signal ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (18 downto 0);
signal ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a_q : std_logic_vector (7 downto 0);
signal ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_q : std_logic_vector (12 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a_q : std_logic_vector (7 downto 0);
signal ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic;
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq : std_logic;
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top_q : std_logic_vector (6 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve : boolean;
attribute preserve of ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true;
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 : std_logic;
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : signal is true;
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (31 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic;
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (31 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (31 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (31 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq : std_logic;
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top_q : std_logic_vector (4 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true;
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (23 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic;
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (23 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (23 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (23 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq : std_logic;
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true;
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic;
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true;
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (2 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic;
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (2 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (2 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (2 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq : std_logic;
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true;
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (22 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic;
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (22 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (22 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (22 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true;
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg_q : std_logic_vector (22 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_reset0 : std_logic;
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ia : std_logic_vector (22 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_iq : std_logic_vector (22 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q : std_logic_vector (22 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q : signal is true;
signal ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic;
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true;
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic;
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true;
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic;
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq : std_logic;
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top_q : std_logic_vector (6 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true;
signal ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (22 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 : std_logic;
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : signal is true;
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg_q : std_logic_vector (17 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ia : std_logic_vector (17 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_iq : std_logic_vector (17 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_q : std_logic_vector (17 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q : signal is true;
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_reset0 : std_logic;
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q : signal is true;
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg_q : std_logic_vector (14 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_reset0 : std_logic;
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ia : std_logic_vector (14 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_iq : std_logic_vector (14 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_q : std_logic_vector (14 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q : signal is true;
signal ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg_q : std_logic_vector (12 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q : signal is true;
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_reset0 : std_logic;
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q : signal is true;
signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0);
signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0);
signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0);
signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_cin : std_logic_vector (0 downto 0);
signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_n : std_logic_vector (0 downto 0);
signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0);
signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0);
signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0);
signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_cin : std_logic_vector (0 downto 0);
signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_c : std_logic_vector (0 downto 0);
signal excSelBits_uid114_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0);
signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(14 downto 0);
signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(14 downto 0);
signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (14 downto 0);
signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_cin : std_logic_vector (0 downto 0);
signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_n : std_logic_vector (0 downto 0);
signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(14 downto 0);
signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(14 downto 0);
signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (14 downto 0);
signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_cin : std_logic_vector (0 downto 0);
signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_n : std_logic_vector (0 downto 0);
signal leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_a : std_logic_vector(33 downto 0);
signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_b : std_logic_vector(33 downto 0);
signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_o : std_logic_vector (33 downto 0);
signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q : std_logic_vector (33 downto 0);
signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_a : std_logic_vector(32 downto 0);
signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_b : std_logic_vector(32 downto 0);
signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_o : std_logic_vector (32 downto 0);
signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q : std_logic_vector (32 downto 0);
signal InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_a : std_logic_vector(0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q : std_logic_vector(0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal expX_uid15_atanX_uid8_fpArctanPiTest_in : std_logic_vector (30 downto 0);
signal expX_uid15_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal fracX_uid16_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal fracX_uid16_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal singX_uid17_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0);
signal singX_uid17_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal expXIsZero_uid31_atanX_uid8_fpArctanPiTest_a : std_logic_vector(7 downto 0);
signal expXIsZero_uid31_atanX_uid8_fpArctanPiTest_b : std_logic_vector(7 downto 0);
signal expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid33_atanX_uid8_fpArctanPiTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid33_atanX_uid8_fpArctanPiTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid36_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid36_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid36_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expXIsBias_uid44_atanX_uid8_fpArctanPiTest_a : std_logic_vector(7 downto 0);
signal expXIsBias_uid44_atanX_uid8_fpArctanPiTest_b : std_logic_vector(7 downto 0);
signal expXIsBias_uid44_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal inIsOne_uid45_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal inIsOne_uid45_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal inIsOne_uid45_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal path2_uid56_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0);
signal path2_uid56_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0);
signal path2_uid56_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0);
signal path2_uid56_atanX_uid8_fpArctanPiTest_cin : std_logic_vector (0 downto 0);
signal path2_uid56_atanX_uid8_fpArctanPiTest_n : std_logic_vector (0 downto 0);
signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0);
signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0);
signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0);
signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0);
signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0);
signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0);
signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0);
signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_q : std_logic_vector (9 downto 0);
signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_a : std_logic_vector(26 downto 0);
signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_b : std_logic_vector(26 downto 0);
signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_o : std_logic_vector (26 downto 0);
signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_q : std_logic_vector (26 downto 0);
signal fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal expRCalc_uid113_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal expRCalc_uid113_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q : std_logic_vector(1 downto 0);
signal fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0);
signal expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0);
signal expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(36 downto 0);
signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(36 downto 0);
signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (36 downto 0);
signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (35 downto 0);
signal excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_d : std_logic_vector(0 downto 0);
signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_d : std_logic_vector(0 downto 0);
signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(1 downto 0);
signal fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0);
signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0);
signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0);
signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0);
signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0);
signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0);
signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0);
signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0);
signal outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(1 downto 0);
signal fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_q : std_logic_vector(0 downto 0);
signal fracOOPi_uid10_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal fracOOPi_uid10_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal cstPiO2_uid48_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal cstPiO2_uid48_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal cstPiO4_uid51_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal cstPiO4_uid51_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal normBit_uid80_atanX_uid8_fpArctanPiTest_in : std_logic_vector (49 downto 0);
signal normBit_uid80_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_in : std_logic_vector (48 downto 0);
signal fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_in : std_logic_vector (47 downto 0);
signal fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (47 downto 0);
signal normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (46 downto 0);
signal fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (45 downto 0);
signal fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (21 downto 0);
signal stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (21 downto 0);
signal Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_in : std_logic_vector (25 downto 0);
signal prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b : std_logic_vector (13 downto 0);
signal prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_in : std_logic_vector (40 downto 0);
signal prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b : std_logic_vector (23 downto 0);
signal prodXYTruncFR_uid367_pT1_uid348_invPolyEval_in : std_logic_vector (23 downto 0);
signal prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b : std_logic_vector (12 downto 0);
signal prodXYTruncFR_uid370_pT2_uid354_invPolyEval_in : std_logic_vector (36 downto 0);
signal prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b : std_logic_vector (22 downto 0);
signal leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal pathSelBits_uid108_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0);
signal concExc_uid208_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (2 downto 0);
signal R_uid221_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (14 downto 0);
signal yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (14 downto 0);
signal R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_a : std_logic_vector(6 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_b : std_logic_vector(6 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_q : std_logic_vector(0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal constOut_uid54_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal constOut_uid54_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal u_uid58_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal u_uid58_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_a : std_logic_vector(4 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_b : std_logic_vector(4 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_q : std_logic_vector(0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_q : std_logic_vector(0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal R_uid120_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_a : std_logic_vector(6 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_b : std_logic_vector(6 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_q : std_logic_vector(0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_q : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_q : std_logic_vector(0 downto 0);
signal fracRPath3_uid88_atanX_uid8_fpArctanPiTest_in : std_logic_vector (23 downto 0);
signal fracRPath3_uid88_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal expRPath3_uid89_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0);
signal expRPath3_uid89_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal fracRPath2_uid106_atanX_uid8_fpArctanPiTest_in : std_logic_vector (23 downto 0);
signal fracRPath2_uid106_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal expRPath2_uid107_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0);
signal expRPath2_uid107_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (16 downto 0);
signal X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (8 downto 0);
signal X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_in : std_logic_vector (8 downto 0);
signal ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0);
signal shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_in : std_logic_vector (7 downto 0);
signal shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_in : std_logic_vector (25 downto 0);
signal normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_in : std_logic_vector (23 downto 0);
signal path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (23 downto 0);
signal fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (35 downto 0);
signal expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (11 downto 0);
signal expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (7 downto 0);
signal expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (9 downto 0);
signal udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (7 downto 0);
signal expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (35 downto 0);
signal LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (35 downto 0);
signal LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (34 downto 0);
signal LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (34 downto 0);
signal LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (33 downto 0);
signal LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (33 downto 0);
signal fpOOPi_uid11_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (32 downto 0);
signal X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (32 downto 0);
signal X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (28 downto 0);
signal X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (28 downto 0);
signal X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (24 downto 0);
signal fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal InvNormBit_uid84_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvNormBit_uid84_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (0 downto 0);
signal stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (22 downto 0);
signal lowRangeB_uid304_atanXOXPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid304_atanXOXPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid305_atanXOXPolyEval_in : std_logic_vector (13 downto 0);
signal highBBits_uid305_atanXOXPolyEval_b : std_logic_vector (12 downto 0);
signal lowRangeB_uid310_atanXOXPolyEval_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid310_atanXOXPolyEval_b : std_logic_vector (1 downto 0);
signal highBBits_uid311_atanXOXPolyEval_in : std_logic_vector (23 downto 0);
signal highBBits_uid311_atanXOXPolyEval_b : std_logic_vector (21 downto 0);
signal lowRangeB_uid349_invPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid349_invPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid350_invPolyEval_in : std_logic_vector (12 downto 0);
signal highBBits_uid350_invPolyEval_b : std_logic_vector (11 downto 0);
signal lowRangeB_uid355_invPolyEval_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid355_invPolyEval_b : std_logic_vector (1 downto 0);
signal highBBits_uid356_invPolyEval_in : std_logic_vector (22 downto 0);
signal highBBits_uid356_invPolyEval_b : std_logic_vector (20 downto 0);
signal y_uid73_atanX_uid8_fpArctanPiTest_in : std_logic_vector (35 downto 0);
signal y_uid73_atanX_uid8_fpArctanPiTest_b : std_logic_vector (34 downto 0);
signal RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal yT1_uid347_invPolyEval_in : std_logic_vector (14 downto 0);
signal yT1_uid347_invPolyEval_b : std_logic_vector (11 downto 0);
signal fracOutCst_uid110_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal fracOutCst_uid110_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal expOutCst_uid112_atanX_uid8_fpArctanPiTest_in : std_logic_vector (30 downto 0);
signal expOutCst_uid112_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal expU_uid59_atanX_uid8_fpArctanPiTest_in : std_logic_vector (30 downto 0);
signal expU_uid59_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal fracU_uid60_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal fracU_uid60_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal expX_uid122_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (30 downto 0);
signal expX_uid122_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal signX_uid124_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (31 downto 0);
signal signX_uid124_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal fracX_uid126_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal exc_N_uid38_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid38_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid38_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_in : std_logic_vector (3 downto 0);
signal fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b : std_logic_vector (3 downto 0);
signal sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal expRPath2_uid103_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal expRPath2_uid103_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0);
signal exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (7 downto 0);
signal expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal expY_uid123_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (30 downto 0);
signal expY_uid123_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal signY_uid125_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (31 downto 0);
signal signY_uid125_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal fracY_uid128_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0);
signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0);
signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0);
signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0);
signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0);
signal FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (1 downto 0);
signal FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (1 downto 0);
signal expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (34 downto 0);
signal stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(22 downto 0);
signal stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(22 downto 0);
signal stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal sumAHighB_uid306_atanXOXPolyEval_a : std_logic_vector(21 downto 0);
signal sumAHighB_uid306_atanXOXPolyEval_b : std_logic_vector(21 downto 0);
signal sumAHighB_uid306_atanXOXPolyEval_o : std_logic_vector (21 downto 0);
signal sumAHighB_uid306_atanXOXPolyEval_q : std_logic_vector (21 downto 0);
signal sumAHighB_uid312_atanXOXPolyEval_a : std_logic_vector(31 downto 0);
signal sumAHighB_uid312_atanXOXPolyEval_b : std_logic_vector(31 downto 0);
signal sumAHighB_uid312_atanXOXPolyEval_o : std_logic_vector (31 downto 0);
signal sumAHighB_uid312_atanXOXPolyEval_q : std_logic_vector (31 downto 0);
signal sumAHighB_uid351_invPolyEval_a : std_logic_vector(20 downto 0);
signal sumAHighB_uid351_invPolyEval_b : std_logic_vector(20 downto 0);
signal sumAHighB_uid351_invPolyEval_o : std_logic_vector (20 downto 0);
signal sumAHighB_uid351_invPolyEval_q : std_logic_vector (20 downto 0);
signal sumAHighB_uid357_invPolyEval_a : std_logic_vector(29 downto 0);
signal sumAHighB_uid357_invPolyEval_b : std_logic_vector(29 downto 0);
signal sumAHighB_uid357_invPolyEval_o : std_logic_vector (29 downto 0);
signal sumAHighB_uid357_invPolyEval_q : std_logic_vector (29 downto 0);
signal yAddr_uid75_atanX_uid8_fpArctanPiTest_in : std_logic_vector (34 downto 0);
signal yAddr_uid75_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_in : std_logic_vector (26 downto 0);
signal yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b : std_logic_vector (17 downto 0);
signal rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0);
signal rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0);
signal InvExc_N_uid118_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0);
signal sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_in : std_logic_vector (4 downto 0);
signal sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b : std_logic_vector (4 downto 0);
signal expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0);
signal InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0);
signal expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0);
signal expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (23 downto 0);
signal fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_q : std_logic_vector (32 downto 0);
signal sticky_uid177_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal sticky_uid177_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal s1_uid304_uid307_atanXOXPolyEval_q : std_logic_vector (22 downto 0);
signal s2_uid310_uid313_atanXOXPolyEval_q : std_logic_vector (33 downto 0);
signal s1_uid349_uid352_invPolyEval_q : std_logic_vector (21 downto 0);
signal s2_uid355_uid358_invPolyEval_q : std_logic_vector (31 downto 0);
signal yT1_uid302_atanXOXPolyEval_in : std_logic_vector (17 downto 0);
signal yT1_uid302_atanXOXPolyEval_b : std_logic_vector (12 downto 0);
signal rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0);
signal rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0);
signal RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (20 downto 0);
signal RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (18 downto 0);
signal signR_uid119_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal signR_uid119_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal signR_uid119_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (4 downto 0);
signal rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (2 downto 0);
signal rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (0 downto 0);
signal rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0);
signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal lrs_uid179_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (2 downto 0);
signal fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0);
signal fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_b : std_logic_vector (26 downto 0);
signal fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (28 downto 0);
signal fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0);
signal pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_q : std_logic_vector (25 downto 0);
signal xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(2 downto 0);
signal roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(2 downto 0);
signal roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0);
signal fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0);
signal xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0);
signal xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0);
signal roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0);
signal excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0);
signal roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (25 downto 0);
begin
--xIn(GPIN,3)@0
--cstAllZWF_uid19_atanX_uid8_fpArctanPiTest(CONSTANT,18)
cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q <= "00000000000000000000000";
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable(LOGICAL,878)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_a <= en;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q <= not ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_a;
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,1008)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_a or ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_b);
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top(CONSTANT,1004)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top_q <= "0100001";
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp(LOGICAL,1005)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_a <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top_q;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q);
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_q <= "1" when ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_a = ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_b else "0";
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg(REG,1006)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,1009)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,1010)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_b;
--fracX_uid16_atanX_uid8_fpArctanPiTest(BITSELECT,15)@0
fracX_uid16_atanX_uid8_fpArctanPiTest_in <= a(22 downto 0);
fracX_uid16_atanX_uid8_fpArctanPiTest_b <= fracX_uid16_atanX_uid8_fpArctanPiTest_in(22 downto 0);
--fracXIsZero_uid35_atanX_uid8_fpArctanPiTest(LOGICAL,34)@0
fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_a <= fracX_uid16_atanX_uid8_fpArctanPiTest_b;
fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q <= "1" when fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_a = fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_b else "0";
--InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest(LOGICAL,36)@0
InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_a <= fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q;
InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_q <= not InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_a;
--cstAllOWE_uid18_atanX_uid8_fpArctanPiTest(CONSTANT,17)
cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q <= "11111111";
--expX_uid15_atanX_uid8_fpArctanPiTest(BITSELECT,14)@0
expX_uid15_atanX_uid8_fpArctanPiTest_in <= a(30 downto 0);
expX_uid15_atanX_uid8_fpArctanPiTest_b <= expX_uid15_atanX_uid8_fpArctanPiTest_in(30 downto 23);
--expXIsMax_uid33_atanX_uid8_fpArctanPiTest(LOGICAL,32)@0
expXIsMax_uid33_atanX_uid8_fpArctanPiTest_a <= expX_uid15_atanX_uid8_fpArctanPiTest_b;
expXIsMax_uid33_atanX_uid8_fpArctanPiTest_b <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q <= "1" when expXIsMax_uid33_atanX_uid8_fpArctanPiTest_a = expXIsMax_uid33_atanX_uid8_fpArctanPiTest_b else "0";
--exc_N_uid38_atanX_uid8_fpArctanPiTest(LOGICAL,37)@0
exc_N_uid38_atanX_uid8_fpArctanPiTest_a <= expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q;
exc_N_uid38_atanX_uid8_fpArctanPiTest_b <= InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_q;
exc_N_uid38_atanX_uid8_fpArctanPiTest_q <= exc_N_uid38_atanX_uid8_fpArctanPiTest_a and exc_N_uid38_atanX_uid8_fpArctanPiTest_b;
--InvExc_N_uid118_atanX_uid8_fpArctanPiTest(LOGICAL,117)@0
InvExc_N_uid118_atanX_uid8_fpArctanPiTest_a <= exc_N_uid38_atanX_uid8_fpArctanPiTest_q;
InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q <= not InvExc_N_uid118_atanX_uid8_fpArctanPiTest_a;
--singX_uid17_atanX_uid8_fpArctanPiTest(BITSELECT,16)@0
singX_uid17_atanX_uid8_fpArctanPiTest_in <= a;
singX_uid17_atanX_uid8_fpArctanPiTest_b <= singX_uid17_atanX_uid8_fpArctanPiTest_in(31 downto 31);
--signR_uid119_atanX_uid8_fpArctanPiTest(LOGICAL,118)@0
signR_uid119_atanX_uid8_fpArctanPiTest_a <= singX_uid17_atanX_uid8_fpArctanPiTest_b;
signR_uid119_atanX_uid8_fpArctanPiTest_b <= InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q;
signR_uid119_atanX_uid8_fpArctanPiTest_q <= signR_uid119_atanX_uid8_fpArctanPiTest_a and signR_uid119_atanX_uid8_fpArctanPiTest_b;
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,998)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => signR_uid119_atanX_uid8_fpArctanPiTest_q, xout => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt(COUNTER,1000)
-- every=1, low=0, high=33, step=1, init=1
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i = 32 THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '1';
ELSE
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0';
END IF;
IF (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq = '1') THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i - 33;
ELSE
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i,6));
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg(REG,1001)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux(MUX,1002)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s <= en;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux: PROCESS (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s, ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q, ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q)
BEGIN
CASE ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s IS
WHEN "0" => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
WHEN "1" => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,999)
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg_q;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 34,
width_b => 1,
widthad_b => 6,
numwords_b => 34,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_iq,
address_a => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_aa,
data_a => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ia
);
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset;
ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(0 downto 0);
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,879)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_a or ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_b);
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top(CONSTANT,875)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top_q <= "0100000";
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp(LOGICAL,876)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top_q;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q);
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_q <= "1" when ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_a = ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_b else "0";
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg(REG,877)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,880)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,881)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_b;
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,869)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => singX_uid17_atanX_uid8_fpArctanPiTest_b, xout => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt(COUNTER,871)
-- every=1, low=0, high=32, step=1, init=1
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i = 31 THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '1';
ELSE
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0';
END IF;
IF (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq = '1') THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i - 32;
ELSE
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i,6));
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg(REG,872)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux(MUX,873)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s <= en;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux: PROCESS (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s, ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q, ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q)
BEGIN
CASE ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s IS
WHEN "0" => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
WHEN "1" => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,870)
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg_q;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 33,
width_b => 1,
widthad_b => 6,
numwords_b => 33,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_iq,
address_a => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_aa,
data_a => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ia
);
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset;
ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(0 downto 0);
--cstBias_uid22_atanX_uid8_fpArctanPiTest(CONSTANT,21)
cstBias_uid22_atanX_uid8_fpArctanPiTest_q <= "01111111";
--piO2_uid46_atanX_uid8_fpArctanPiTest(CONSTANT,45)
piO2_uid46_atanX_uid8_fpArctanPiTest_q <= "11001001000011111101101011";
--cstPiO2_uid48_atanX_uid8_fpArctanPiTest(BITSELECT,47)@35
cstPiO2_uid48_atanX_uid8_fpArctanPiTest_in <= piO2_uid46_atanX_uid8_fpArctanPiTest_q(24 downto 0);
cstPiO2_uid48_atanX_uid8_fpArctanPiTest_b <= cstPiO2_uid48_atanX_uid8_fpArctanPiTest_in(24 downto 2);
--fpPiO2C_uid49_atanX_uid8_fpArctanPiTest(BITJOIN,48)@35
fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q & cstBias_uid22_atanX_uid8_fpArctanPiTest_q & cstPiO2_uid48_atanX_uid8_fpArctanPiTest_b;
--cstBiasM1_uid23_atanX_uid8_fpArctanPiTest(CONSTANT,22)
cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q <= "01111110";
--piO4_uid47_atanX_uid8_fpArctanPiTest(CONSTANT,46)
piO4_uid47_atanX_uid8_fpArctanPiTest_q <= "110010010000111111011011";
--cstPiO4_uid51_atanX_uid8_fpArctanPiTest(BITSELECT,50)@35
cstPiO4_uid51_atanX_uid8_fpArctanPiTest_in <= piO4_uid47_atanX_uid8_fpArctanPiTest_q(22 downto 0);
cstPiO4_uid51_atanX_uid8_fpArctanPiTest_b <= cstPiO4_uid51_atanX_uid8_fpArctanPiTest_in(22 downto 0);
--fpPiO4C_uid52_atanX_uid8_fpArctanPiTest(BITJOIN,51)@35
fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q & cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q & cstPiO4_uid51_atanX_uid8_fpArctanPiTest_b;
--ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor(LOGICAL,892)
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_b <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_q <= not (ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_a or ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_b);
--ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena(REG,893)
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_q = "1") THEN
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd(LOGICAL,894)
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_a <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_b <= en;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_q <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_a and ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_b;
--exc_I_uid36_atanX_uid8_fpArctanPiTest(LOGICAL,35)@0
exc_I_uid36_atanX_uid8_fpArctanPiTest_a <= expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q;
exc_I_uid36_atanX_uid8_fpArctanPiTest_b <= fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q;
exc_I_uid36_atanX_uid8_fpArctanPiTest_q <= exc_I_uid36_atanX_uid8_fpArctanPiTest_a and exc_I_uid36_atanX_uid8_fpArctanPiTest_b;
--ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg(DELAY,882)
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_I_uid36_atanX_uid8_fpArctanPiTest_q, xout => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem(DUALMEM,883)
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ia <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg_q;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 33,
width_b => 1,
widthad_b => 6,
numwords_b => 33,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_iq,
address_a => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_aa,
data_a => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ia
);
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 <= areset;
ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_q <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_iq(0 downto 0);
--constOut_uid54_atanX_uid8_fpArctanPiTest(MUX,53)@35
constOut_uid54_atanX_uid8_fpArctanPiTest_s <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_q;
constOut_uid54_atanX_uid8_fpArctanPiTest: PROCESS (constOut_uid54_atanX_uid8_fpArctanPiTest_s, en, fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q, fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE constOut_uid54_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => constOut_uid54_atanX_uid8_fpArctanPiTest_q <= fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q;
WHEN "1" => constOut_uid54_atanX_uid8_fpArctanPiTest_q <= fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => constOut_uid54_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--expOutCst_uid112_atanX_uid8_fpArctanPiTest(BITSELECT,111)@35
expOutCst_uid112_atanX_uid8_fpArctanPiTest_in <= constOut_uid54_atanX_uid8_fpArctanPiTest_q(30 downto 0);
expOutCst_uid112_atanX_uid8_fpArctanPiTest_b <= expOutCst_uid112_atanX_uid8_fpArctanPiTest_in(30 downto 23);
--reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5(REG,428)@35
reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q <= expOutCst_uid112_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--cst01pWShift_uid69_atanX_uid8_fpArctanPiTest(CONSTANT,68)
cst01pWShift_uid69_atanX_uid8_fpArctanPiTest_q <= "0000000000000";
--reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2(REG,389)@0
reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q <= signR_uid119_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c(DELAY,707)@1
ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 11 )
PORT MAP ( xin => reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q, xout => ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor(LOGICAL,1022)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_b <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_q <= not (ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_a or ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_b);
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top(CONSTANT,1018)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top_q <= "0111";
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp(LOGICAL,1019)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_a <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top_q;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q);
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_q <= "1" when ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_a = ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_b else "0";
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg(REG,1020)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena(REG,1023)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_q = "1") THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd(LOGICAL,1024)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_a <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_b <= en;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_a and ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_b;
--cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest(CONSTANT,230)
cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest_q <= "11111110";
--expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest(SUB,259)@0
expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest_q);
expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & expX_uid15_atanX_uid8_fpArctanPiTest_b);
expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_b));
expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_o(8 downto 0);
--expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,260)@0
expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_in <= expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_q(7 downto 0);
expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_b <= expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_in(7 downto 0);
--cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest(CONSTANT,229)
cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest_q <= "11111101";
--expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest(SUB,256)@0
expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest_q);
expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & expX_uid15_atanX_uid8_fpArctanPiTest_b);
expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_b));
expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_o(8 downto 0);
--expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,257)@0
expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_in <= expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q(7 downto 0);
expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_b <= expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_in(7 downto 0);
--GND(CONSTANT,0)
GND_q <= "0";
--fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,249)@0
fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_a <= fracX_uid16_atanX_uid8_fpArctanPiTest_b;
fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0000000000000000000000" & GND_q);
fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q <= "1" when fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_a = fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_b else "0";
--expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest(MUX,263)@0
expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_s <= fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q;
expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_b;
WHEN "1" => expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_b;
WHEN OTHERS => expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--expXIsZero_uid31_atanX_uid8_fpArctanPiTest(LOGICAL,30)@0
expXIsZero_uid31_atanX_uid8_fpArctanPiTest_a <= expX_uid15_atanX_uid8_fpArctanPiTest_b;
expXIsZero_uid31_atanX_uid8_fpArctanPiTest_b <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q;
expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q <= "1" when expXIsZero_uid31_atanX_uid8_fpArctanPiTest_a = expXIsZero_uid31_atanX_uid8_fpArctanPiTest_b else "0";
--udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,258)@0
udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_in <= STD_LOGIC_VECTOR((9 downto 9 => expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q(8)) & expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q);
udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_b <= udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_in(9 downto 9);
--InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,245)@0
InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_a <= exc_I_uid36_atanX_uid8_fpArctanPiTest_q;
InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_q <= not InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_a;
--InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,246)@0
InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_a <= expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q;
InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_q <= not InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_a;
--exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,247)@0
exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_a <= InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_q;
exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_b <= InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_q;
exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_c <= InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q;
exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_q <= exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_a and exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_b and exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_c;
--xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,264)@0
xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_a <= exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_q;
xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_b <= udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_b;
xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_q <= xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_a and xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_b;
--xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,265)@0
xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_a <= exc_I_uid36_atanX_uid8_fpArctanPiTest_q;
xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_b <= xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_q;
xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_q <= xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_a or xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_b;
--excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest(BITJOIN,266)@0
excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_q <= exc_N_uid38_atanX_uid8_fpArctanPiTest_q & expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q & xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_q;
--reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0(REG,378)@0
reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q <= excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest(LOOKUP,267)@1
outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q) IS
WHEN "000" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "001" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "00";
WHEN "010" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "10";
WHEN "011" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "100" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "11";
WHEN "101" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "110" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "111" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN OTHERS =>
outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '-');
END CASE;
-- End reserved scope level
END PROCESS;
--expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest(MUX,269)@1
expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_s <= outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q;
expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q;
WHEN "01" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q;
WHEN "10" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg(DELAY,1012)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q, xout => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt(COUNTER,1014)
-- every=1, low=0, high=7, step=1, init=1
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i,3));
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg(REG,1015)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux(MUX,1016)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s <= en;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux: PROCESS (ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s, ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q, ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q;
WHEN "1" => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem(DUALMEM,1013)
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ia <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg_q;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_aa <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ab <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 8,
width_b => 8,
widthad_b => 3,
numwords_b => 8,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_iq,
address_a => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_aa,
data_a => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ia
);
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 <= areset;
ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_iq(7 downto 0);
--cstNaNWF_uid20_atanX_uid8_fpArctanPiTest(CONSTANT,19)
cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q <= "00000000000000000000001";
--oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest(BITJOIN,248)@0
oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest_q <= VCC_q & fracX_uid16_atanX_uid8_fpArctanPiTest_b;
--y_uid251_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,250)@0
y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_in <= oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest_q(22 downto 0);
y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b <= y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_in(22 downto 0);
--yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,252)@0
yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_in <= y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b;
yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_b <= yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_in(22 downto 15);
--reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0(REG,379)@0
reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q <= yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--memoryC2_uid346_invTabGen_lutmem(DUALMEM,376)@1
memoryC2_uid346_invTabGen_lutmem_ia <= (others => '0');
memoryC2_uid346_invTabGen_lutmem_aa <= (others => '0');
memoryC2_uid346_invTabGen_lutmem_ab <= reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q;
memoryC2_uid346_invTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 12,
widthad_a => 8,
numwords_a => 256,
width_b => 12,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_atanpi_s5_memoryC2_uid346_invTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid346_invTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid346_invTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid346_invTabGen_lutmem_iq,
address_a => memoryC2_uid346_invTabGen_lutmem_aa,
data_a => memoryC2_uid346_invTabGen_lutmem_ia
);
memoryC2_uid346_invTabGen_lutmem_reset0 <= areset;
memoryC2_uid346_invTabGen_lutmem_q <= memoryC2_uid346_invTabGen_lutmem_iq(11 downto 0);
--reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1(REG,381)@3
reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q <= "000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q <= memoryC2_uid346_invTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,1011)
ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b, xout => ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a(DELAY,680)@0
ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 23, depth => 2 )
PORT MAP ( xin => ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg_q, xout => ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,253)@3
yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_in <= ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_q(14 downto 0);
yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b <= yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_in(14 downto 0);
--yT1_uid347_invPolyEval(BITSELECT,346)@3
yT1_uid347_invPolyEval_in <= yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b;
yT1_uid347_invPolyEval_b <= yT1_uid347_invPolyEval_in(14 downto 3);
--reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0(REG,380)@3
reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q <= "000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q <= yT1_uid347_invPolyEval_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid366_pT1_uid348_invPolyEval(MULT,365)@4
prodXY_uid366_pT1_uid348_invPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid366_pT1_uid348_invPolyEval_a),13)) * SIGNED(prodXY_uid366_pT1_uid348_invPolyEval_b);
prodXY_uid366_pT1_uid348_invPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid366_pT1_uid348_invPolyEval_a <= (others => '0');
prodXY_uid366_pT1_uid348_invPolyEval_b <= (others => '0');
prodXY_uid366_pT1_uid348_invPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid366_pT1_uid348_invPolyEval_a <= reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q;
prodXY_uid366_pT1_uid348_invPolyEval_b <= reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q;
prodXY_uid366_pT1_uid348_invPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid366_pT1_uid348_invPolyEval_pr,24));
END IF;
END IF;
END PROCESS;
prodXY_uid366_pT1_uid348_invPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid366_pT1_uid348_invPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid366_pT1_uid348_invPolyEval_q <= prodXY_uid366_pT1_uid348_invPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid367_pT1_uid348_invPolyEval(BITSELECT,366)@7
prodXYTruncFR_uid367_pT1_uid348_invPolyEval_in <= prodXY_uid366_pT1_uid348_invPolyEval_q;
prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b <= prodXYTruncFR_uid367_pT1_uid348_invPolyEval_in(23 downto 11);
--highBBits_uid350_invPolyEval(BITSELECT,349)@7
highBBits_uid350_invPolyEval_in <= prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b;
highBBits_uid350_invPolyEval_b <= highBBits_uid350_invPolyEval_in(12 downto 1);
--ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a(DELAY,804)@1
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q, xout => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a_q, ena => en(0), clk => clk, aclr => areset );
--memoryC1_uid345_invTabGen_lutmem(DUALMEM,375)@4
memoryC1_uid345_invTabGen_lutmem_ia <= (others => '0');
memoryC1_uid345_invTabGen_lutmem_aa <= (others => '0');
memoryC1_uid345_invTabGen_lutmem_ab <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a_q;
memoryC1_uid345_invTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 20,
widthad_a => 8,
numwords_a => 256,
width_b => 20,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_atanpi_s5_memoryC1_uid345_invTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid345_invTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid345_invTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid345_invTabGen_lutmem_iq,
address_a => memoryC1_uid345_invTabGen_lutmem_aa,
data_a => memoryC1_uid345_invTabGen_lutmem_ia
);
memoryC1_uid345_invTabGen_lutmem_reset0 <= areset;
memoryC1_uid345_invTabGen_lutmem_q <= memoryC1_uid345_invTabGen_lutmem_iq(19 downto 0);
--reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0(REG,383)@6
reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q <= "00000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q <= memoryC1_uid345_invTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid351_invPolyEval(ADD,350)@7
sumAHighB_uid351_invPolyEval_a <= STD_LOGIC_VECTOR((20 downto 20 => reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q(19)) & reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q);
sumAHighB_uid351_invPolyEval_b <= STD_LOGIC_VECTOR((20 downto 12 => highBBits_uid350_invPolyEval_b(11)) & highBBits_uid350_invPolyEval_b);
sumAHighB_uid351_invPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid351_invPolyEval_a) + SIGNED(sumAHighB_uid351_invPolyEval_b));
sumAHighB_uid351_invPolyEval_q <= sumAHighB_uid351_invPolyEval_o(20 downto 0);
--lowRangeB_uid349_invPolyEval(BITSELECT,348)@7
lowRangeB_uid349_invPolyEval_in <= prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b(0 downto 0);
lowRangeB_uid349_invPolyEval_b <= lowRangeB_uid349_invPolyEval_in(0 downto 0);
--s1_uid349_uid352_invPolyEval(BITJOIN,351)@7
s1_uid349_uid352_invPolyEval_q <= sumAHighB_uid351_invPolyEval_q & lowRangeB_uid349_invPolyEval_b;
--reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1(REG,385)@7
reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q <= "0000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q <= s1_uid349_uid352_invPolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor(LOGICAL,1059)
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_b <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_q <= not (ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_a or ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_b);
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg(REG,966)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena(REG,1060)
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_q = "1") THEN
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd(LOGICAL,1061)
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_a <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_b <= en;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_q <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_a and ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_b;
--ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg(DELAY,1051)
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 15, depth => 1 )
PORT MAP ( xin => yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b, xout => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt(COUNTER,962)
-- every=1, low=0, high=1, step=1, init=1
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i,1));
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg(REG,963)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux(MUX,964)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s <= en;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux: PROCESS (ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s, ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q, ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q)
BEGIN
CASE ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s IS
WHEN "0" => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q;
WHEN "1" => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q;
WHEN OTHERS => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem(DUALMEM,1052)
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ia <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg_q;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_aa <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ab <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 15,
widthad_a => 1,
numwords_a => 2,
width_b => 15,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_iq,
address_a => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_aa,
data_a => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ia
);
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_reset0 <= areset;
ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_q <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_iq(14 downto 0);
--reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0(REG,384)@7
reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q <= "000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid369_pT2_uid354_invPolyEval(MULT,368)@8
prodXY_uid369_pT2_uid354_invPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid369_pT2_uid354_invPolyEval_a),16)) * SIGNED(prodXY_uid369_pT2_uid354_invPolyEval_b);
prodXY_uid369_pT2_uid354_invPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid369_pT2_uid354_invPolyEval_a <= (others => '0');
prodXY_uid369_pT2_uid354_invPolyEval_b <= (others => '0');
prodXY_uid369_pT2_uid354_invPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid369_pT2_uid354_invPolyEval_a <= reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q;
prodXY_uid369_pT2_uid354_invPolyEval_b <= reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q;
prodXY_uid369_pT2_uid354_invPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid369_pT2_uid354_invPolyEval_pr,37));
END IF;
END IF;
END PROCESS;
prodXY_uid369_pT2_uid354_invPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid369_pT2_uid354_invPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid369_pT2_uid354_invPolyEval_q <= prodXY_uid369_pT2_uid354_invPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid370_pT2_uid354_invPolyEval(BITSELECT,369)@11
prodXYTruncFR_uid370_pT2_uid354_invPolyEval_in <= prodXY_uid369_pT2_uid354_invPolyEval_q;
prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b <= prodXYTruncFR_uid370_pT2_uid354_invPolyEval_in(36 downto 14);
--highBBits_uid356_invPolyEval(BITSELECT,355)@11
highBBits_uid356_invPolyEval_in <= prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b;
highBBits_uid356_invPolyEval_b <= highBBits_uid356_invPolyEval_in(22 downto 2);
--ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor(LOGICAL,1048)
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_b <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_q <= not (ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_a or ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_b);
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top(CONSTANT,1031)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top_q <= "0100";
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp(LOGICAL,1032)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_a <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top_q;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q);
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_q <= "1" when ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_a = ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_b else "0";
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg(REG,1033)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena(REG,1049)
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_q = "1") THEN
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd(LOGICAL,1050)
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_a <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_b <= en;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_q <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_a and ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_b;
--ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg(DELAY,1038)
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q, xout => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt(COUNTER,1027)
-- every=1, low=0, high=4, step=1, init=1
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i = 3 THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i - 4;
ELSE
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i,3));
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg(REG,1028)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux(MUX,1029)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s <= en;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux: PROCESS (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s, ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q, ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem(DUALMEM,1039)
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ia <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg_q;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_aa <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ab <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 5,
width_b => 8,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_iq,
address_a => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_aa,
data_a => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ia
);
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_reset0 <= areset;
ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_q <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_iq(7 downto 0);
--memoryC0_uid344_invTabGen_lutmem(DUALMEM,374)@8
memoryC0_uid344_invTabGen_lutmem_ia <= (others => '0');
memoryC0_uid344_invTabGen_lutmem_aa <= (others => '0');
memoryC0_uid344_invTabGen_lutmem_ab <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_q;
memoryC0_uid344_invTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 29,
widthad_a => 8,
numwords_a => 256,
width_b => 29,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_atanpi_s5_memoryC0_uid344_invTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid344_invTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid344_invTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid344_invTabGen_lutmem_iq,
address_a => memoryC0_uid344_invTabGen_lutmem_aa,
data_a => memoryC0_uid344_invTabGen_lutmem_ia
);
memoryC0_uid344_invTabGen_lutmem_reset0 <= areset;
memoryC0_uid344_invTabGen_lutmem_q <= memoryC0_uid344_invTabGen_lutmem_iq(28 downto 0);
--reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0(REG,387)@10
reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q <= "00000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q <= memoryC0_uid344_invTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid357_invPolyEval(ADD,356)@11
sumAHighB_uid357_invPolyEval_a <= STD_LOGIC_VECTOR((29 downto 29 => reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q(28)) & reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q);
sumAHighB_uid357_invPolyEval_b <= STD_LOGIC_VECTOR((29 downto 21 => highBBits_uid356_invPolyEval_b(20)) & highBBits_uid356_invPolyEval_b);
sumAHighB_uid357_invPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid357_invPolyEval_a) + SIGNED(sumAHighB_uid357_invPolyEval_b));
sumAHighB_uid357_invPolyEval_q <= sumAHighB_uid357_invPolyEval_o(29 downto 0);
--lowRangeB_uid355_invPolyEval(BITSELECT,354)@11
lowRangeB_uid355_invPolyEval_in <= prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b(1 downto 0);
lowRangeB_uid355_invPolyEval_b <= lowRangeB_uid355_invPolyEval_in(1 downto 0);
--s2_uid355_uid358_invPolyEval(BITJOIN,357)@11
s2_uid355_uid358_invPolyEval_q <= sumAHighB_uid357_invPolyEval_q & lowRangeB_uid355_invPolyEval_b;
--fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,255)@11
fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_in <= s2_uid355_uid358_invPolyEval_q(28 downto 0);
fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_b <= fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_in(28 downto 5);
--fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,261)@11
fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_in <= fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_b(22 downto 0);
fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_b <= fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_in(22 downto 0);
--ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b(DELAY,688)@0
ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 11 )
PORT MAP ( xin => fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q, xout => ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest(MUX,262)@11
fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_s <= ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b_q;
fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_b;
WHEN "1" => fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1(REG,388)@1
reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q <= outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b(DELAY,701)@2
ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 10 )
PORT MAP ( xin => reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q, xout => ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest(MUX,268)@12
fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s <= ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b_q;
fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s, en, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
WHEN "01" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q;
WHEN "10" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--R_uid273_z_uid57_atanX_uid8_fpArctanPiTest(BITJOIN,272)@12
R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q <= ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c_q & ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_q & fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q;
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,905)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_a or ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_b);
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top(CONSTANT,901)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top_q <= "01001";
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp(LOGICAL,902)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_a <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top_q;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q);
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_q <= "1" when ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_a = ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_b else "0";
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg(REG,903)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,906)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,907)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_b;
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,895)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => a, xout => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt(COUNTER,897)
-- every=1, low=0, high=9, step=1, init=1
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i = 8 THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '1';
ELSE
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq = '1') THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i - 9;
ELSE
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i,4));
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg(REG,898)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux(MUX,899)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s <= en;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux: PROCESS (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s, ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q, ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q)
BEGIN
CASE ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s IS
WHEN "0" => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
WHEN "1" => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,896)
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg_q;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 32,
widthad_a => 4,
numwords_a => 10,
width_b => 32,
widthad_b => 4,
numwords_b => 10,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_iq,
address_a => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_aa,
data_a => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ia
);
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset;
ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(31 downto 0);
--path2_uid56_atanX_uid8_fpArctanPiTest(COMPARE,55)@0
path2_uid56_atanX_uid8_fpArctanPiTest_cin <= GND_q;
path2_uid56_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("00" & expX_uid15_atanX_uid8_fpArctanPiTest_b) & '0';
path2_uid56_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00" & cstBias_uid22_atanX_uid8_fpArctanPiTest_q) & path2_uid56_atanX_uid8_fpArctanPiTest_cin(0);
path2_uid56_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(path2_uid56_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(path2_uid56_atanX_uid8_fpArctanPiTest_b));
path2_uid56_atanX_uid8_fpArctanPiTest_n(0) <= not path2_uid56_atanX_uid8_fpArctanPiTest_o(10);
--reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1(REG,390)@0
reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q <= path2_uid56_atanX_uid8_fpArctanPiTest_n;
END IF;
END IF;
END PROCESS;
--ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b(DELAY,466)@1
ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 11 )
PORT MAP ( xin => reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q, xout => ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--u_uid58_atanX_uid8_fpArctanPiTest(MUX,57)@12
u_uid58_atanX_uid8_fpArctanPiTest_s <= ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b_q;
u_uid58_atanX_uid8_fpArctanPiTest: PROCESS (u_uid58_atanX_uid8_fpArctanPiTest_s, en, ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q, R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE u_uid58_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => u_uid58_atanX_uid8_fpArctanPiTest_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q;
WHEN "1" => u_uid58_atanX_uid8_fpArctanPiTest_q <= R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => u_uid58_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracU_uid60_atanX_uid8_fpArctanPiTest(BITSELECT,59)@12
fracU_uid60_atanX_uid8_fpArctanPiTest_in <= u_uid58_atanX_uid8_fpArctanPiTest_q(22 downto 0);
fracU_uid60_atanX_uid8_fpArctanPiTest_b <= fracU_uid60_atanX_uid8_fpArctanPiTest_in(22 downto 0);
--ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a(DELAY,471)@12
ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => fracU_uid60_atanX_uid8_fpArctanPiTest_b, xout => ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest(BITJOIN,60)@13
oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q <= VCC_q & ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a_q;
--oFracUExt_uid70_atanX_uid8_fpArctanPiTest(BITJOIN,69)@13
oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q <= cst01pWShift_uid69_atanX_uid8_fpArctanPiTest_q & oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q;
--X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,282)@13
X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q(24 downto 0);
X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(24 downto 0);
--leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,281)
leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "000000000000";
--leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,283)@13
leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_b & leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
--reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5(REG,399)@13
reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q <= leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,279)@13
X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q(28 downto 0);
X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(28 downto 0);
--leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,280)@13
leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_b & cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q;
--reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4(REG,398)@13
reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q <= leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,276)@13
X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q(32 downto 0);
X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(32 downto 0);
--leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,275)
leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "0000";
--leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,277)@13
leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_b & leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
--reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3(REG,397)@13
reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q <= leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2(REG,396)@13
reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--zS_uid67_atanX_uid8_fpArctanPiTest(CONSTANT,66)
zS_uid67_atanX_uid8_fpArctanPiTest_q <= "000000000";
--shiftBias_uid64_atanX_uid8_fpArctanPiTest(CONSTANT,63)
shiftBias_uid64_atanX_uid8_fpArctanPiTest_q <= "01110010";
--expU_uid59_atanX_uid8_fpArctanPiTest(BITSELECT,58)@12
expU_uid59_atanX_uid8_fpArctanPiTest_in <= u_uid58_atanX_uid8_fpArctanPiTest_q(30 downto 0);
expU_uid59_atanX_uid8_fpArctanPiTest_b <= expU_uid59_atanX_uid8_fpArctanPiTest_in(30 downto 23);
--reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1(REG,391)@12
reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q <= expU_uid59_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--shiftValue_uid65_atanX_uid8_fpArctanPiTest(SUB,64)@13
shiftValue_uid65_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q);
shiftValue_uid65_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & shiftBias_uid64_atanX_uid8_fpArctanPiTest_q);
shiftValue_uid65_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftValue_uid65_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(shiftValue_uid65_atanX_uid8_fpArctanPiTest_b));
shiftValue_uid65_atanX_uid8_fpArctanPiTest_q <= shiftValue_uid65_atanX_uid8_fpArctanPiTest_o(8 downto 0);
--ShiftValue8_uid66_atanX_uid8_fpArctanPiTest(BITSELECT,65)@13
ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_in <= shiftValue_uid65_atanX_uid8_fpArctanPiTest_q;
ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_b <= ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_in(8 downto 8);
--shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest(MUX,67)@13
shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s <= ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_b;
shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest: PROCESS (shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s, en, shiftValue_uid65_atanX_uid8_fpArctanPiTest_q, zS_uid67_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q <= shiftValue_uid65_atanX_uid8_fpArctanPiTest_q;
WHEN "1" => shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q <= zS_uid67_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest(BITSELECT,70)@13
fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_in <= shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q(3 downto 0);
fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b <= fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_in(3 downto 0);
--leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,284)@13
leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b;
leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(3 downto 2);
--reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1(REG,395)@13
reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest(MUX,285)@14
leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s <= reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q;
leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest: PROCESS (leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s, en, reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q, reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q, reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q, reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q)
BEGIN
CASE leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q;
WHEN "01" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q;
WHEN "10" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q;
WHEN "11" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q;
WHEN OTHERS => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,293)@14
LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(33 downto 0);
LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(33 downto 0);
--ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b(DELAY,725)@14
ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 34, depth => 1 )
PORT MAP ( xin => LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,292)
leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "000";
--leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,294)@15
leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q & leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
--LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,290)@14
LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(34 downto 0);
LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(34 downto 0);
--ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b(DELAY,723)@14
ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 35, depth => 1 )
PORT MAP ( xin => LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,289)
leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "00";
--leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,291)@15
leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q & leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
--LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,287)@14
LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(35 downto 0);
LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(35 downto 0);
--ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b(DELAY,721)@14
ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 36, depth => 1 )
PORT MAP ( xin => LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,288)@15
leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q & GND_q;
--reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2(REG,401)@14
reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,295)@13
leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b(1 downto 0);
leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(1 downto 0);
--ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a(DELAY,829)@13
ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1(REG,400)@14
reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest(MUX,296)@15
leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s <= reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q;
leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest: PROCESS (leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s, en, reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q, leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q, leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q, leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q;
WHEN "01" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
WHEN "10" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--y_uid73_atanX_uid8_fpArctanPiTest(BITSELECT,72)@15
y_uid73_atanX_uid8_fpArctanPiTest_in <= leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(35 downto 0);
y_uid73_atanX_uid8_fpArctanPiTest_b <= y_uid73_atanX_uid8_fpArctanPiTest_in(35 downto 1);
--yAddr_uid75_atanX_uid8_fpArctanPiTest(BITSELECT,74)@15
yAddr_uid75_atanX_uid8_fpArctanPiTest_in <= y_uid73_atanX_uid8_fpArctanPiTest_b;
yAddr_uid75_atanX_uid8_fpArctanPiTest_b <= yAddr_uid75_atanX_uid8_fpArctanPiTest_in(34 downto 27);
--reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0(REG,402)@15
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q <= yAddr_uid75_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--memoryC2_uid301_atanXOXTabGen_lutmem(DUALMEM,373)@16
memoryC2_uid301_atanXOXTabGen_lutmem_ia <= (others => '0');
memoryC2_uid301_atanXOXTabGen_lutmem_aa <= (others => '0');
memoryC2_uid301_atanXOXTabGen_lutmem_ab <= reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q;
memoryC2_uid301_atanXOXTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 13,
widthad_a => 8,
numwords_a => 256,
width_b => 13,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_atanpi_s5_memoryC2_uid301_atanXOXTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid301_atanXOXTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid301_atanXOXTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid301_atanXOXTabGen_lutmem_iq,
address_a => memoryC2_uid301_atanXOXTabGen_lutmem_aa,
data_a => memoryC2_uid301_atanXOXTabGen_lutmem_ia
);
memoryC2_uid301_atanXOXTabGen_lutmem_reset0 <= areset;
memoryC2_uid301_atanXOXTabGen_lutmem_q <= memoryC2_uid301_atanXOXTabGen_lutmem_iq(12 downto 0);
--reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1(REG,404)@18
reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q <= memoryC2_uid301_atanXOXTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--yPPolyEval_uid76_atanX_uid8_fpArctanPiTest(BITSELECT,75)@15
yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_in <= y_uid73_atanX_uid8_fpArctanPiTest_b(26 downto 0);
yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b <= yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_in(26 downto 9);
--yT1_uid302_atanXOXPolyEval(BITSELECT,301)@15
yT1_uid302_atanXOXPolyEval_in <= yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b;
yT1_uid302_atanXOXPolyEval_b <= yT1_uid302_atanXOXPolyEval_in(17 downto 5);
--ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg(DELAY,1062)
ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 13, depth => 1 )
PORT MAP ( xin => yT1_uid302_atanXOXPolyEval_b, xout => ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a(DELAY,832)@15
ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a : dspba_delay
GENERIC MAP ( width => 13, depth => 2 )
PORT MAP ( xin => ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg_q, xout => ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0(REG,403)@18
reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q <= ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid360_pT1_uid303_atanXOXPolyEval(MULT,359)@19
prodXY_uid360_pT1_uid303_atanXOXPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid360_pT1_uid303_atanXOXPolyEval_a),14)) * SIGNED(prodXY_uid360_pT1_uid303_atanXOXPolyEval_b);
prodXY_uid360_pT1_uid303_atanXOXPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid360_pT1_uid303_atanXOXPolyEval_a <= (others => '0');
prodXY_uid360_pT1_uid303_atanXOXPolyEval_b <= (others => '0');
prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid360_pT1_uid303_atanXOXPolyEval_a <= reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q;
prodXY_uid360_pT1_uid303_atanXOXPolyEval_b <= reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q;
prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid360_pT1_uid303_atanXOXPolyEval_pr,26));
END IF;
END IF;
END PROCESS;
prodXY_uid360_pT1_uid303_atanXOXPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid360_pT1_uid303_atanXOXPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid360_pT1_uid303_atanXOXPolyEval_q <= prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval(BITSELECT,360)@22
prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_in <= prodXY_uid360_pT1_uid303_atanXOXPolyEval_q;
prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b <= prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_in(25 downto 12);
--highBBits_uid305_atanXOXPolyEval(BITSELECT,304)@22
highBBits_uid305_atanXOXPolyEval_in <= prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b;
highBBits_uid305_atanXOXPolyEval_b <= highBBits_uid305_atanXOXPolyEval_in(13 downto 1);
--ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a(DELAY,834)@15
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => yAddr_uid75_atanX_uid8_fpArctanPiTest_b, xout => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0(REG,405)@18
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid300_atanXOXTabGen_lutmem(DUALMEM,372)@19
memoryC1_uid300_atanXOXTabGen_lutmem_ia <= (others => '0');
memoryC1_uid300_atanXOXTabGen_lutmem_aa <= (others => '0');
memoryC1_uid300_atanXOXTabGen_lutmem_ab <= reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q;
memoryC1_uid300_atanXOXTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 21,
widthad_a => 8,
numwords_a => 256,
width_b => 21,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_atanpi_s5_memoryC1_uid300_atanXOXTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid300_atanXOXTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid300_atanXOXTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid300_atanXOXTabGen_lutmem_iq,
address_a => memoryC1_uid300_atanXOXTabGen_lutmem_aa,
data_a => memoryC1_uid300_atanXOXTabGen_lutmem_ia
);
memoryC1_uid300_atanXOXTabGen_lutmem_reset0 <= areset;
memoryC1_uid300_atanXOXTabGen_lutmem_q <= memoryC1_uid300_atanXOXTabGen_lutmem_iq(20 downto 0);
--reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0(REG,406)@21
reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q <= "000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q <= memoryC1_uid300_atanXOXTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid306_atanXOXPolyEval(ADD,305)@22
sumAHighB_uid306_atanXOXPolyEval_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q(20)) & reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q);
sumAHighB_uid306_atanXOXPolyEval_b <= STD_LOGIC_VECTOR((21 downto 13 => highBBits_uid305_atanXOXPolyEval_b(12)) & highBBits_uid305_atanXOXPolyEval_b);
sumAHighB_uid306_atanXOXPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid306_atanXOXPolyEval_a) + SIGNED(sumAHighB_uid306_atanXOXPolyEval_b));
sumAHighB_uid306_atanXOXPolyEval_q <= sumAHighB_uid306_atanXOXPolyEval_o(21 downto 0);
--lowRangeB_uid304_atanXOXPolyEval(BITSELECT,303)@22
lowRangeB_uid304_atanXOXPolyEval_in <= prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b(0 downto 0);
lowRangeB_uid304_atanXOXPolyEval_b <= lowRangeB_uid304_atanXOXPolyEval_in(0 downto 0);
--s1_uid304_uid307_atanXOXPolyEval(BITJOIN,306)@22
s1_uid304_uid307_atanXOXPolyEval_q <= sumAHighB_uid306_atanXOXPolyEval_q & lowRangeB_uid304_atanXOXPolyEval_b;
--reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1(REG,408)@22
reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q <= s1_uid304_uid307_atanXOXPolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor(LOGICAL,1035)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_b <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_q <= not (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_a or ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_b);
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena(REG,1036)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_q = "1") THEN
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd(LOGICAL,1037)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_a <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_b <= en;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_a and ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_b;
--reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0(REG,407)@15
reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q <= yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg(DELAY,1025)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 18, depth => 1 )
PORT MAP ( xin => reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q, xout => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem(DUALMEM,1026)
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ia <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg_q;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_aa <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ab <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 18,
widthad_a => 3,
numwords_a => 5,
width_b => 18,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_iq,
address_a => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_aa,
data_a => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ia
);
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_reset0 <= areset;
ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_iq(17 downto 0);
--prodXY_uid363_pT2_uid309_atanXOXPolyEval(MULT,362)@23
prodXY_uid363_pT2_uid309_atanXOXPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid363_pT2_uid309_atanXOXPolyEval_a),19)) * SIGNED(prodXY_uid363_pT2_uid309_atanXOXPolyEval_b);
prodXY_uid363_pT2_uid309_atanXOXPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid363_pT2_uid309_atanXOXPolyEval_a <= (others => '0');
prodXY_uid363_pT2_uid309_atanXOXPolyEval_b <= (others => '0');
prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid363_pT2_uid309_atanXOXPolyEval_a <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_q;
prodXY_uid363_pT2_uid309_atanXOXPolyEval_b <= reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q;
prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid363_pT2_uid309_atanXOXPolyEval_pr,41));
END IF;
END IF;
END PROCESS;
prodXY_uid363_pT2_uid309_atanXOXPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid363_pT2_uid309_atanXOXPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid363_pT2_uid309_atanXOXPolyEval_q <= prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval(BITSELECT,363)@26
prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_in <= prodXY_uid363_pT2_uid309_atanXOXPolyEval_q;
prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b <= prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_in(40 downto 17);
--highBBits_uid311_atanXOXPolyEval(BITSELECT,310)@26
highBBits_uid311_atanXOXPolyEval_in <= prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b;
highBBits_uid311_atanXOXPolyEval_b <= highBBits_uid311_atanXOXPolyEval_in(23 downto 2);
--ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor(LOGICAL,1073)
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_b <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_q <= not (ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_a or ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_b);
--ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena(REG,1074)
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_q = "1") THEN
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd(LOGICAL,1075)
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_a <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_b <= en;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_a and ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_b;
--ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg(DELAY,1063)
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => yAddr_uid75_atanX_uid8_fpArctanPiTest_b, xout => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem(DUALMEM,1064)
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ia <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg_q;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_aa <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ab <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 5,
width_b => 8,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_iq,
address_a => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_aa,
data_a => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ia
);
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_reset0 <= areset;
ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0(REG,409)@22
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid299_atanXOXTabGen_lutmem(DUALMEM,371)@23
memoryC0_uid299_atanXOXTabGen_lutmem_ia <= (others => '0');
memoryC0_uid299_atanXOXTabGen_lutmem_aa <= (others => '0');
memoryC0_uid299_atanXOXTabGen_lutmem_ab <= reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q;
memoryC0_uid299_atanXOXTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 31,
widthad_a => 8,
numwords_a => 256,
width_b => 31,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_atanpi_s5_memoryC0_uid299_atanXOXTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid299_atanXOXTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid299_atanXOXTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid299_atanXOXTabGen_lutmem_iq,
address_a => memoryC0_uid299_atanXOXTabGen_lutmem_aa,
data_a => memoryC0_uid299_atanXOXTabGen_lutmem_ia
);
memoryC0_uid299_atanXOXTabGen_lutmem_reset0 <= areset;
memoryC0_uid299_atanXOXTabGen_lutmem_q <= memoryC0_uid299_atanXOXTabGen_lutmem_iq(30 downto 0);
--reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0(REG,410)@25
reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q <= "0000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q <= memoryC0_uid299_atanXOXTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid312_atanXOXPolyEval(ADD,311)@26
sumAHighB_uid312_atanXOXPolyEval_a <= STD_LOGIC_VECTOR((31 downto 31 => reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q(30)) & reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q);
sumAHighB_uid312_atanXOXPolyEval_b <= STD_LOGIC_VECTOR((31 downto 22 => highBBits_uid311_atanXOXPolyEval_b(21)) & highBBits_uid311_atanXOXPolyEval_b);
sumAHighB_uid312_atanXOXPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid312_atanXOXPolyEval_a) + SIGNED(sumAHighB_uid312_atanXOXPolyEval_b));
sumAHighB_uid312_atanXOXPolyEval_q <= sumAHighB_uid312_atanXOXPolyEval_o(31 downto 0);
--lowRangeB_uid310_atanXOXPolyEval(BITSELECT,309)@26
lowRangeB_uid310_atanXOXPolyEval_in <= prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b(1 downto 0);
lowRangeB_uid310_atanXOXPolyEval_b <= lowRangeB_uid310_atanXOXPolyEval_in(1 downto 0);
--s2_uid310_uid313_atanXOXPolyEval(BITJOIN,312)@26
s2_uid310_uid313_atanXOXPolyEval_q <= sumAHighB_uid312_atanXOXPolyEval_q & lowRangeB_uid310_atanXOXPolyEval_b;
--fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest(BITSELECT,77)@26
fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_in <= s2_uid310_uid313_atanXOXPolyEval_q(31 downto 0);
fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_b <= fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_in(31 downto 5);
--reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1(REG,412)@26
reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q <= fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,918)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_a or ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_b);
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top(CONSTANT,914)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top_q <= "01010";
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp(LOGICAL,915)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_a <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top_q;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q);
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_q <= "1" when ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_a = ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_b else "0";
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg(REG,916)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,919)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,920)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_b;
--reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0(REG,411)@13
reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q <= oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,908)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q, xout => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt(COUNTER,910)
-- every=1, low=0, high=10, step=1, init=1
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i = 9 THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq = '1') THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i - 10;
ELSE
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i,4));
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg(REG,911)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux(MUX,912)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s <= en;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux: PROCESS (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s, ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q, ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s IS
WHEN "0" => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q;
WHEN "1" => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,909)
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg_q;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 24,
widthad_a => 4,
numwords_a => 11,
width_b => 24,
widthad_b => 4,
numwords_b => 11,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_iq,
address_a => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_aa,
data_a => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ia
);
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset;
ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(23 downto 0);
--mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest(MULT,78)@27
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_pr <= UNSIGNED(mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a) * UNSIGNED(mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b);
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a <= (others => '0');
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b <= (others => '0');
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_q;
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b <= reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q;
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1 <= STD_LOGIC_VECTOR(mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_pr);
END IF;
END IF;
END PROCESS;
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1;
END IF;
END IF;
END PROCESS;
--normBit_uid80_atanX_uid8_fpArctanPiTest(BITSELECT,79)@30
normBit_uid80_atanX_uid8_fpArctanPiTest_in <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q(49 downto 0);
normBit_uid80_atanX_uid8_fpArctanPiTest_b <= normBit_uid80_atanX_uid8_fpArctanPiTest_in(49 downto 49);
--InvNormBit_uid84_atanX_uid8_fpArctanPiTest(LOGICAL,83)@30
InvNormBit_uid84_atanX_uid8_fpArctanPiTest_a <= normBit_uid80_atanX_uid8_fpArctanPiTest_b;
InvNormBit_uid84_atanX_uid8_fpArctanPiTest_q <= not InvNormBit_uid84_atanX_uid8_fpArctanPiTest_a;
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,931)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_a or ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_b);
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top(CONSTANT,927)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top_q <= "01111";
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp(LOGICAL,928)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_a <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top_q;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q);
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_q <= "1" when ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_a = ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_b else "0";
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg(REG,929)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,932)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,933)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_b;
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,921)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expU_uid59_atanX_uid8_fpArctanPiTest_b, xout => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt(COUNTER,923)
-- every=1, low=0, high=15, step=1, init=1
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i,4));
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg(REG,924)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux(MUX,925)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s <= en;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux: PROCESS (ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s, ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q, ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q)
BEGIN
CASE ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s IS
WHEN "0" => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q;
WHEN "1" => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,922)
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg_q;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 4,
numwords_a => 16,
width_b => 8,
widthad_b => 4,
numwords_b => 16,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_iq,
address_a => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_aa,
data_a => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ia
);
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset;
ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(7 downto 0);
--expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest(SUB,84)@30
expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_q);
expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00000000" & InvNormBit_uid84_atanX_uid8_fpArctanPiTest_q);
expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_b));
expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q <= expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_o(8 downto 0);
--fracRPath3High_uid81_atanX_uid8_fpArctanPiTest(BITSELECT,80)@30
fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_in <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q(48 downto 0);
fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b <= fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_in(48 downto 25);
--fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest(BITSELECT,81)@30
fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_in <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q(47 downto 0);
fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b <= fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_in(47 downto 24);
--fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest(MUX,82)@30
fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s <= normBit_uid80_atanX_uid8_fpArctanPiTest_b;
fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest: PROCESS (fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s, en, fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b, fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b)
BEGIN
CASE fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q <= fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b;
WHEN "1" => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q <= fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b;
WHEN OTHERS => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest(BITJOIN,85)@30
expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_q <= expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q & fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q;
--reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0(REG,420)@30
reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q <= "000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q <= expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest(ADD,86)@31
expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q);
expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("000000000000000000000000000000000" & VCC_q);
expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_a) + UNSIGNED(expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_b));
expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q <= expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_o(33 downto 0);
--expRPath3_uid89_atanX_uid8_fpArctanPiTest(BITSELECT,88)@31
expRPath3_uid89_atanX_uid8_fpArctanPiTest_in <= expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q(31 downto 0);
expRPath3_uid89_atanX_uid8_fpArctanPiTest_b <= expRPath3_uid89_atanX_uid8_fpArctanPiTest_in(31 downto 24);
--reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4(REG,427)@31
reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q <= expRPath3_uid89_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg(DELAY,971)
ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q, xout => ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e(DELAY,534)@32
ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg_q, xout => ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q, ena => en(0), clk => clk, aclr => areset );
--RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,337)@33
RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 1);
--rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,339)@33
rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= GND_q & RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b;
--rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(CONSTANT,333)
rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= "000000";
--rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(CONSTANT,322)
rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= "000000000000000000000000";
--X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,321)@32
X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q;
X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 24);
--rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,323)@32
rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b;
--rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(CONSTANT,319)
rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= "0000000000000000";
--X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,318)@32
X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q;
X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 16);
--rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,320)@32
rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b;
--X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,315)@32
X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q;
X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 8);
--rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,317)@32
rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q & X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b;
--ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a(DELAY,504)@30
ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 24, depth => 2 )
PORT MAP ( xin => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q, xout => ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest(BITJOIN,93)@32
oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q <= VCC_q & ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a_q;
--cstWFP2_uid25_atanX_uid8_fpArctanPiTest(CONSTANT,24)
cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q <= "00011001";
--reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1(REG,413)@30
reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q <= "000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q <= expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest(SUB,89)@31
shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR('0' & "00" & cstBias_uid22_atanX_uid8_fpArctanPiTest_q);
shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR((10 downto 9 => reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q(8)) & reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q);
shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_a) - SIGNED(shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_b));
shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_q <= shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_o(9 downto 0);
--shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest(BITSELECT,91)@31
shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_in <= shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_q(7 downto 0);
shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b <= shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_in(7 downto 0);
--cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest(CONSTANT,23)
cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest_q <= "01101000";
--shiftOut_uid91_atanX_uid8_fpArctanPiTest(COMPARE,90)@13
shiftOut_uid91_atanX_uid8_fpArctanPiTest_cin <= GND_q;
shiftOut_uid91_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("00" & reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q) & '0';
shiftOut_uid91_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00" & cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest_q) & shiftOut_uid91_atanX_uid8_fpArctanPiTest_cin(0);
shiftOut_uid91_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftOut_uid91_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(shiftOut_uid91_atanX_uid8_fpArctanPiTest_b));
shiftOut_uid91_atanX_uid8_fpArctanPiTest_c(0) <= shiftOut_uid91_atanX_uid8_fpArctanPiTest_o(10);
--ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b(DELAY,502)@13
ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 18 )
PORT MAP ( xin => shiftOut_uid91_atanX_uid8_fpArctanPiTest_c, xout => ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--sValPostSOut_uid93_atanX_uid8_fpArctanPiTest(MUX,92)@31
sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s <= ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b_q;
sValPostSOut_uid93_atanX_uid8_fpArctanPiTest: PROCESS (sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s, en, shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b, cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q <= shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b;
WHEN "1" => sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q <= cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest(BITSELECT,94)@31
sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_in <= sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q(4 downto 0);
sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_in(4 downto 0);
--rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,324)@31
rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b;
rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(4 downto 3);
--reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1(REG,414)@31
reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(MUX,325)@32
rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s <= reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q;
rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest: PROCESS (rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s, en, oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q, rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q;
WHEN "01" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN "10" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,332)@32
RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 6);
--ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a(DELAY,762)@32
ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 19, depth => 1 )
PORT MAP ( xin => RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,334)@33
rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q;
--RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,329)@32
RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 4);
--ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a(DELAY,760)@32
ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 21, depth => 1 )
PORT MAP ( xin => RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,331)@33
rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q & ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q;
--RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,326)@32
RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 2);
--ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a(DELAY,758)@32
ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,328)@33
rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q & ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q;
--reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2(REG,416)@32
reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,335)@31
rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b(2 downto 0);
rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(2 downto 1);
--ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a(DELAY,844)@31
ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1(REG,415)@32
reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(MUX,336)@33
rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s <= reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q;
rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest: PROCESS (rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s, en, reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q, rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q;
WHEN "01" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN "10" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,340)@31
rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b(0 downto 0);
rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(0 downto 0);
--reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1(REG,417)@31
reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b(DELAY,772)@32
ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q, xout => ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(MUX,341)@33
rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s <= ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_q;
rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest: PROCESS (rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s, en, rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN "1" => rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest(BITJOIN,96)@33
pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_q <= rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & GND_q;
--reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1(REG,418)@33
reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q <= pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--path2Diff_uid97_atanX_uid8_fpArctanPiTest(SUB,97)@34
path2Diff_uid97_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & piO2_uid46_atanX_uid8_fpArctanPiTest_q);
path2Diff_uid97_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q);
path2Diff_uid97_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(path2Diff_uid97_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(path2Diff_uid97_atanX_uid8_fpArctanPiTest_b));
path2Diff_uid97_atanX_uid8_fpArctanPiTest_q <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_o(26 downto 0);
--normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest(BITSELECT,98)@34
normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_in <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_q(25 downto 0);
normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b <= normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_in(25 downto 25);
--expRPath2_uid103_atanX_uid8_fpArctanPiTest(MUX,102)@34
expRPath2_uid103_atanX_uid8_fpArctanPiTest_s <= normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b;
expRPath2_uid103_atanX_uid8_fpArctanPiTest: PROCESS (expRPath2_uid103_atanX_uid8_fpArctanPiTest_s, en, cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q, cstBias_uid22_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE expRPath2_uid103_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => expRPath2_uid103_atanX_uid8_fpArctanPiTest_q <= cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q;
WHEN "1" => expRPath2_uid103_atanX_uid8_fpArctanPiTest_q <= cstBias_uid22_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => expRPath2_uid103_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest(BITSELECT,99)@34
path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_in <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_q(24 downto 0);
path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b <= path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_in(24 downto 1);
--path2DiffLow_uid101_atanX_uid8_fpArctanPiTest(BITSELECT,100)@34
path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_in <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_q(23 downto 0);
path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b <= path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_in(23 downto 0);
--fracRPath2_uid102_atanX_uid8_fpArctanPiTest(MUX,101)@34
fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s <= normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b;
fracRPath2_uid102_atanX_uid8_fpArctanPiTest: PROCESS (fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s, en, path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b, path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b)
BEGIN
CASE fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s IS
WHEN "0" => fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q <= path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b;
WHEN "1" => fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q <= path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b;
WHEN OTHERS => fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest(BITJOIN,103)@34
expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_q <= expRPath2_uid103_atanX_uid8_fpArctanPiTest_q & fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q;
--reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0(REG,419)@34
reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q <= expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest(ADD,104)@35
expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q);
expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00000000000000000000000000000000" & VCC_q);
expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_a) + UNSIGNED(expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_b));
expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q <= expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_o(32 downto 0);
--expRPath2_uid107_atanX_uid8_fpArctanPiTest(BITSELECT,106)@35
expRPath2_uid107_atanX_uid8_fpArctanPiTest_in <= expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q(31 downto 0);
expRPath2_uid107_atanX_uid8_fpArctanPiTest_b <= expRPath2_uid107_atanX_uid8_fpArctanPiTest_in(31 downto 24);
--reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3(REG,426)@35
reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q <= expRPath2_uid107_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor(LOGICAL,1086)
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_b <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_q <= not (ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_a or ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_b);
--ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena(REG,1087)
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_q = "1") THEN
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd(LOGICAL,1088)
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_a <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_b <= en;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_q <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_a and ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_b;
--ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg(DELAY,1076)
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expX_uid15_atanX_uid8_fpArctanPiTest_b, xout => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem(DUALMEM,1077)
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ia <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg_q;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 6,
numwords_a => 33,
width_b => 8,
widthad_b => 6,
numwords_b => 33,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_iq,
address_a => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_aa,
data_a => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ia
);
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_reset0 <= areset;
ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_q <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_iq(7 downto 0);
--reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2(REG,425)@35
reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,944)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_a or ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_b);
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top(CONSTANT,940)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top_q <= "010010";
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp(LOGICAL,941)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_a <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top_q;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q);
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_q <= "1" when ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_a = ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_b else "0";
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg(REG,942)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,945)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,946)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_b;
--expXIsBias_uid44_atanX_uid8_fpArctanPiTest(LOGICAL,43)@0
expXIsBias_uid44_atanX_uid8_fpArctanPiTest_a <= expX_uid15_atanX_uid8_fpArctanPiTest_b;
expXIsBias_uid44_atanX_uid8_fpArctanPiTest_b <= cstBias_uid22_atanX_uid8_fpArctanPiTest_q;
expXIsBias_uid44_atanX_uid8_fpArctanPiTest_q <= "1" when expXIsBias_uid44_atanX_uid8_fpArctanPiTest_a = expXIsBias_uid44_atanX_uid8_fpArctanPiTest_b else "0";
--inIsOne_uid45_atanX_uid8_fpArctanPiTest(LOGICAL,44)@0
inIsOne_uid45_atanX_uid8_fpArctanPiTest_a <= fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q;
inIsOne_uid45_atanX_uid8_fpArctanPiTest_b <= expXIsBias_uid44_atanX_uid8_fpArctanPiTest_q;
inIsOne_uid45_atanX_uid8_fpArctanPiTest_q <= inIsOne_uid45_atanX_uid8_fpArctanPiTest_a and inIsOne_uid45_atanX_uid8_fpArctanPiTest_b;
--arctanIsConst_uid55_atanX_uid8_fpArctanPiTest(LOGICAL,54)@0
arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_a <= exc_I_uid36_atanX_uid8_fpArctanPiTest_q;
arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_b <= inIsOne_uid45_atanX_uid8_fpArctanPiTest_q;
arctanIsConst_uid55_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q <= arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_a or arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c(DELAY,522)@1
ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 12 )
PORT MAP ( xin => arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q, xout => ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--biasMwShift_uid62_atanX_uid8_fpArctanPiTest(CONSTANT,61)
biasMwShift_uid62_atanX_uid8_fpArctanPiTest_q <= "01110011";
--atanUIsU_uid63_atanX_uid8_fpArctanPiTest(COMPARE,62)@13
atanUIsU_uid63_atanX_uid8_fpArctanPiTest_cin <= GND_q;
atanUIsU_uid63_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("00" & biasMwShift_uid62_atanX_uid8_fpArctanPiTest_q) & '0';
atanUIsU_uid63_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00" & reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q) & atanUIsU_uid63_atanX_uid8_fpArctanPiTest_cin(0);
atanUIsU_uid63_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(atanUIsU_uid63_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(atanUIsU_uid63_atanX_uid8_fpArctanPiTest_b));
atanUIsU_uid63_atanX_uid8_fpArctanPiTest_n(0) <= not atanUIsU_uid63_atanX_uid8_fpArctanPiTest_o(10);
--ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a(DELAY,520)@0
ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 13 )
PORT MAP ( xin => path2_uid56_atanX_uid8_fpArctanPiTest_n, xout => ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--pathSelBits_uid108_atanX_uid8_fpArctanPiTest(BITJOIN,107)@13
pathSelBits_uid108_atanX_uid8_fpArctanPiTest_q <= ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c_q & atanUIsU_uid63_atanX_uid8_fpArctanPiTest_n & ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a_q;
--reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0(REG,392)@13
reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q <= pathSelBits_uid108_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,934)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 3, depth => 1 )
PORT MAP ( xin => reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q, xout => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt(COUNTER,936)
-- every=1, low=0, high=18, step=1, init=1
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i = 17 THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq = '1') THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i - 18;
ELSE
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i,5));
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg(REG,937)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux(MUX,938)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s <= en;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux: PROCESS (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s, ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q, ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s IS
WHEN "0" => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q;
WHEN "1" => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,935)
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg_q;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 3,
widthad_a => 5,
numwords_a => 19,
width_b => 3,
widthad_b => 5,
numwords_b => 19,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_iq,
address_a => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_aa,
data_a => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ia
);
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset;
ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(2 downto 0);
--fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest(LOOKUP,108)@35
fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "10";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_q) IS
WHEN "000" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "10";
WHEN "001" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "010" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "00";
WHEN "011" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "100" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11";
WHEN "101" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11";
WHEN "110" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11";
WHEN "111" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11";
WHEN OTHERS =>
fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--expRCalc_uid113_atanX_uid8_fpArctanPiTest(MUX,112)@36
expRCalc_uid113_atanX_uid8_fpArctanPiTest_s <= fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q;
expRCalc_uid113_atanX_uid8_fpArctanPiTest: PROCESS (expRCalc_uid113_atanX_uid8_fpArctanPiTest_s, en, reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q, reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q, ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q, reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q)
BEGIN
CASE expRCalc_uid113_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q;
WHEN "01" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q;
WHEN "10" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q;
WHEN "11" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q;
WHEN OTHERS => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--cstAllZWE_uid21_atanX_uid8_fpArctanPiTest(CONSTANT,20)
cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q <= "00000000";
--ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,995)
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_a or ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_b);
--ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,996)
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,997)
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_b;
--ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,985)
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_N_uid38_atanX_uid8_fpArctanPiTest_q, xout => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,986)
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg_q;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 33,
width_b => 1,
widthad_b => 6,
numwords_b => 33,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_iq,
address_a => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_aa,
data_a => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ia
);
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset;
ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(0 downto 0);
--ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,982)
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_a or ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_b);
--ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,983)
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,984)
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_b;
--ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,972)
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q, xout => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,973)
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg_q;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 33,
width_b => 1,
widthad_b => 6,
numwords_b => 33,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_iq,
address_a => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_aa,
data_a => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ia
);
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset;
ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(0 downto 0);
--excSelBits_uid114_atanX_uid8_fpArctanPiTest(BITJOIN,113)@35
excSelBits_uid114_atanX_uid8_fpArctanPiTest_q <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_q & GND_q & ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_q;
--reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0(REG,377)@35
reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q <= excSelBits_uid114_atanX_uid8_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest(LOOKUP,114)@36
outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest: PROCESS (reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q) IS
WHEN "000" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "001" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "00";
WHEN "010" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "10";
WHEN "011" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "100" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "11";
WHEN "101" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "110" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN "111" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01";
WHEN OTHERS =>
outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= (others => '-');
END CASE;
-- End reserved scope level
END PROCESS;
--expRPostExc_uid117_atanX_uid8_fpArctanPiTest(MUX,116)@36
expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s <= outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q;
expRPostExc_uid117_atanX_uid8_fpArctanPiTest: PROCESS (expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s, en, cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q, expRCalc_uid113_atanX_uid8_fpArctanPiTest_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q;
WHEN "01" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= expRCalc_uid113_atanX_uid8_fpArctanPiTest_q;
WHEN "10" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracOutCst_uid110_atanX_uid8_fpArctanPiTest(BITSELECT,109)@35
fracOutCst_uid110_atanX_uid8_fpArctanPiTest_in <= constOut_uid54_atanX_uid8_fpArctanPiTest_q(22 downto 0);
fracOutCst_uid110_atanX_uid8_fpArctanPiTest_b <= fracOutCst_uid110_atanX_uid8_fpArctanPiTest_in(22 downto 0);
--reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5(REG,424)@35
reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q <= fracOutCst_uid110_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor(LOGICAL,968)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_b <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_q <= not (ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_a or ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_b);
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena(REG,969)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_q = "1") THEN
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd(LOGICAL,970)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_a <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_b <= en;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_a and ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_b;
--fracRPath3_uid88_atanX_uid8_fpArctanPiTest(BITSELECT,87)@31
fracRPath3_uid88_atanX_uid8_fpArctanPiTest_in <= expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q(23 downto 0);
fracRPath3_uid88_atanX_uid8_fpArctanPiTest_b <= fracRPath3_uid88_atanX_uid8_fpArctanPiTest_in(23 downto 1);
--reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4(REG,423)@31
reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q <= fracRPath3_uid88_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg(DELAY,960)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q, xout => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem(DUALMEM,961)
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ia <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg_q;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_aa <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ab <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 23,
widthad_a => 1,
numwords_a => 2,
width_b => 23,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_iq,
address_a => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_aa,
data_a => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ia
);
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_reset0 <= areset;
ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_iq(22 downto 0);
--fracRPath2_uid106_atanX_uid8_fpArctanPiTest(BITSELECT,105)@35
fracRPath2_uid106_atanX_uid8_fpArctanPiTest_in <= expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q(23 downto 0);
fracRPath2_uid106_atanX_uid8_fpArctanPiTest_b <= fracRPath2_uid106_atanX_uid8_fpArctanPiTest_in(23 downto 1);
--reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3(REG,422)@35
reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q <= fracRPath2_uid106_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,957)
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_a or ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_b);
--ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,958)
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,959)
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_b;
--reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2(REG,421)@0
reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q <= fracX_uid16_atanX_uid8_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,947)
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q, xout => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,948)
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg_q;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 23,
widthad_a => 6,
numwords_a => 33,
width_b => 23,
widthad_b => 6,
numwords_b => 33,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_iq,
address_a => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_aa,
data_a => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ia
);
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset;
ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(22 downto 0);
--fracRCalc_uid111_atanX_uid8_fpArctanPiTest(MUX,110)@36
fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s <= fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q;
fracRCalc_uid111_atanX_uid8_fpArctanPiTest: PROCESS (fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s, en, ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q, reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q, ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q, reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q)
BEGIN
CASE fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q;
WHEN "01" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q;
WHEN "10" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q;
WHEN "11" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q;
WHEN OTHERS => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracRPostExc_uid116_atanX_uid8_fpArctanPiTest(MUX,115)@36
fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s <= outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q;
fracRPostExc_uid116_atanX_uid8_fpArctanPiTest: PROCESS (fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s, en, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s IS
WHEN "00" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
WHEN "01" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q;
WHEN "10" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--R_uid120_atanX_uid8_fpArctanPiTest(BITJOIN,119)@36
R_uid120_atanX_uid8_fpArctanPiTest_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_q & expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q & fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q;
--fracX_uid126_rAtanPi_uid13_fpArctanPiTest(BITSELECT,125)@36
fracX_uid126_rAtanPi_uid13_fpArctanPiTest_in <= R_uid120_atanX_uid8_fpArctanPiTest_q(22 downto 0);
fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b <= fracX_uid126_rAtanPi_uid13_fpArctanPiTest_in(22 downto 0);
--reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1(REG,431)@36
reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q <= fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest(LOGICAL,137)@37
fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_a <= reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q;
fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q <= "1" when fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_a = fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_b else "0";
--expX_uid122_rAtanPi_uid13_fpArctanPiTest(BITSELECT,121)@36
expX_uid122_rAtanPi_uid13_fpArctanPiTest_in <= R_uid120_atanX_uid8_fpArctanPiTest_q(30 downto 0);
expX_uid122_rAtanPi_uid13_fpArctanPiTest_b <= expX_uid122_rAtanPi_uid13_fpArctanPiTest_in(30 downto 23);
--reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1(REG,429)@36
reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q <= expX_uid122_rAtanPi_uid13_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest(LOGICAL,135)@37
expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_a <= reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q;
expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_b <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_a = expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_b else "0";
--exc_I_uid139_rAtanPi_uid13_fpArctanPiTest(LOGICAL,138)@37
exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_a <= expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q;
exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_b <= fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q;
exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_a and exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_b;
--cstBiasM2_uid6_fpArctanPiTest(CONSTANT,5)
cstBiasM2_uid6_fpArctanPiTest_q <= "01111101";
--ooPi_uid9_fpArctanPiTest(CONSTANT,8)
ooPi_uid9_fpArctanPiTest_q <= "101000101111100110000011";
--fracOOPi_uid10_fpArctanPiTest(BITSELECT,9)@36
fracOOPi_uid10_fpArctanPiTest_in <= ooPi_uid9_fpArctanPiTest_q(22 downto 0);
fracOOPi_uid10_fpArctanPiTest_b <= fracOOPi_uid10_fpArctanPiTest_in(22 downto 0);
--fpOOPi_uid11_fpArctanPiTest(BITJOIN,10)@36
fpOOPi_uid11_fpArctanPiTest_q <= GND_q & cstBiasM2_uid6_fpArctanPiTest_q & fracOOPi_uid10_fpArctanPiTest_b;
--expY_uid123_rAtanPi_uid13_fpArctanPiTest(BITSELECT,122)@36
expY_uid123_rAtanPi_uid13_fpArctanPiTest_in <= fpOOPi_uid11_fpArctanPiTest_q(30 downto 0);
expY_uid123_rAtanPi_uid13_fpArctanPiTest_b <= expY_uid123_rAtanPi_uid13_fpArctanPiTest_in(30 downto 23);
--expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest(LOGICAL,149)@36
expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_a <= expY_uid123_rAtanPi_uid13_fpArctanPiTest_b;
expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q;
expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_a = expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_b else "0";
--ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a(DELAY,581)@36
ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest(LOGICAL,203)@37
excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q;
excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q;
excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_q <= excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_a and excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_b;
--fracY_uid128_rAtanPi_uid13_fpArctanPiTest(BITSELECT,127)@36
fracY_uid128_rAtanPi_uid13_fpArctanPiTest_in <= fpOOPi_uid11_fpArctanPiTest_q(22 downto 0);
fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b <= fracY_uid128_rAtanPi_uid13_fpArctanPiTest_in(22 downto 0);
--fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest(LOGICAL,153)@36
fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_a <= fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b;
fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q <= "1" when fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_a = fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_b else "0";
--ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b(DELAY,575)@36
ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest(LOGICAL,151)@36
expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_a <= expY_uid123_rAtanPi_uid13_fpArctanPiTest_b;
expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_b <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_a = expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_b else "0";
--ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a(DELAY,574)@36
ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--exc_I_uid155_rAtanPi_uid13_fpArctanPiTest(LOGICAL,154)@37
exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a_q;
exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b <= ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b_q;
exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a and exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b;
--expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest(LOGICAL,133)@37
expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_a <= reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q;
expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q;
expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_a = expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_b else "0";
--excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest(LOGICAL,204)@37
excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q;
excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q;
excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_q <= excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_a and excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_b;
--ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest(LOGICAL,205)@37
ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_a <= excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_q;
ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_b <= excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_q;
ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_q <= ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_a or ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_b;
--InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest(LOGICAL,155)@36
InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_a <= fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q;
InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_q <= not InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_a;
--exc_N_uid157_rAtanPi_uid13_fpArctanPiTest(LOGICAL,156)@36
exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_a <= expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q;
exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_b <= InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_q;
exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q <= exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_a and exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_b;
--ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a(DELAY,579)@36
ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest(LOGICAL,139)@37
InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_a <= fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q;
InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_q <= not InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_a;
--exc_N_uid141_rAtanPi_uid13_fpArctanPiTest(LOGICAL,140)@37
exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_a <= expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q;
exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_b <= InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_q;
exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q <= exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_a and exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_b;
--excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest(LOGICAL,206)@37
excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_a <= exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q;
excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_b <= ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q;
excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_c <= ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_q;
excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q <= excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_a or excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_b or excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_c;
--VCC(CONSTANT,1)
VCC_q <= "1";
--InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest(LOGICAL,218)@37
InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_a <= excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q;
InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q <= not InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_a;
END IF;
END PROCESS;
--signY_uid125_rAtanPi_uid13_fpArctanPiTest(BITSELECT,124)@36
signY_uid125_rAtanPi_uid13_fpArctanPiTest_in <= fpOOPi_uid11_fpArctanPiTest_q;
signY_uid125_rAtanPi_uid13_fpArctanPiTest_b <= signY_uid125_rAtanPi_uid13_fpArctanPiTest_in(31 downto 31);
--signX_uid124_rAtanPi_uid13_fpArctanPiTest(BITSELECT,123)@36
signX_uid124_rAtanPi_uid13_fpArctanPiTest_in <= R_uid120_atanX_uid8_fpArctanPiTest_q;
signX_uid124_rAtanPi_uid13_fpArctanPiTest_b <= signX_uid124_rAtanPi_uid13_fpArctanPiTest_in(31 downto 31);
--signR_uid190_rAtanPi_uid13_fpArctanPiTest(LOGICAL,189)@36
signR_uid190_rAtanPi_uid13_fpArctanPiTest_a <= signX_uid124_rAtanPi_uid13_fpArctanPiTest_b;
signR_uid190_rAtanPi_uid13_fpArctanPiTest_b <= signY_uid125_rAtanPi_uid13_fpArctanPiTest_b;
signR_uid190_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
signR_uid190_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
signR_uid190_rAtanPi_uid13_fpArctanPiTest_q <= signR_uid190_rAtanPi_uid13_fpArctanPiTest_a xor signR_uid190_rAtanPi_uid13_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a(DELAY,666)@37
ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => signR_uid190_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest(LOGICAL,219)@38
signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a <= ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a_q;
signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_b <= InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q;
signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q <= signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a and signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_b;
--ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c(DELAY,670)@38
ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest(BITJOIN,128)@36
add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_q <= VCC_q & fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b;
--reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1(REG,433)@36
reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q <= add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest(BITJOIN,126)@36
add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_q <= VCC_q & fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b;
--reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0(REG,432)@36
reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q <= add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--prod_uid165_rAtanPi_uid13_fpArctanPiTest(MULT,164)@37
prod_uid165_rAtanPi_uid13_fpArctanPiTest_pr <= UNSIGNED(prod_uid165_rAtanPi_uid13_fpArctanPiTest_a) * UNSIGNED(prod_uid165_rAtanPi_uid13_fpArctanPiTest_b);
prod_uid165_rAtanPi_uid13_fpArctanPiTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid165_rAtanPi_uid13_fpArctanPiTest_a <= (others => '0');
prod_uid165_rAtanPi_uid13_fpArctanPiTest_b <= (others => '0');
prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid165_rAtanPi_uid13_fpArctanPiTest_a <= reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q;
prod_uid165_rAtanPi_uid13_fpArctanPiTest_b <= reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q;
prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1 <= STD_LOGIC_VECTOR(prod_uid165_rAtanPi_uid13_fpArctanPiTest_pr);
END IF;
END IF;
END PROCESS;
prod_uid165_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid165_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid165_rAtanPi_uid13_fpArctanPiTest_q <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1;
END IF;
END IF;
END PROCESS;
--normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest(BITSELECT,165)@40
normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q;
normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b <= normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_in(47 downto 47);
--roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest(CONSTANT,179)
roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest_q <= "010";
--fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest(BITSELECT,167)@40
fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(46 downto 0);
fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b <= fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_in(46 downto 23);
--fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest(BITSELECT,168)@40
fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(45 downto 0);
fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b <= fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_in(45 downto 22);
--fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest(MUX,169)@40
fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s <= normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b;
fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest: PROCESS (fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s, en, fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b, fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b)
BEGIN
CASE fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s IS
WHEN "0" => fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q <= fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b;
WHEN "1" => fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q <= fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b;
WHEN OTHERS => fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest(BITSELECT,177)@40
FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_in <= fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q(1 downto 0);
FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_b <= FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_in(1 downto 0);
--Prod22_uid172_rAtanPi_uid13_fpArctanPiTest(BITSELECT,171)@40
Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(22 downto 0);
Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b <= Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_in(22 downto 22);
--extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest(MUX,172)@40
extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s <= normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b;
extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest: PROCESS (extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s, en, GND_q, Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b)
BEGIN
CASE extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s IS
WHEN "0" => extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q <= GND_q;
WHEN "1" => extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q <= Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b;
WHEN OTHERS => extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest(BITSELECT,170)@40
stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(21 downto 0);
stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_b <= stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_in(21 downto 0);
--stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest(BITJOIN,173)@40
stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest_q <= extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q & stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_b;
--stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest(LOGICAL,175)@40
stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_a <= stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest_q;
stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_q <= "1" when stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_a = stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_b else "0";
--sticky_uid177_rAtanPi_uid13_fpArctanPiTest(LOGICAL,176)@40
sticky_uid177_rAtanPi_uid13_fpArctanPiTest_a <= stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_q;
sticky_uid177_rAtanPi_uid13_fpArctanPiTest_q <= not sticky_uid177_rAtanPi_uid13_fpArctanPiTest_a;
--lrs_uid179_rAtanPi_uid13_fpArctanPiTest(BITJOIN,178)@40
lrs_uid179_rAtanPi_uid13_fpArctanPiTest_q <= FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_b & sticky_uid177_rAtanPi_uid13_fpArctanPiTest_q;
--roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest(LOGICAL,180)@40
roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_a <= lrs_uid179_rAtanPi_uid13_fpArctanPiTest_q;
roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_b <= roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest_q;
roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_q <= "1" when roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_a = roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_b else "0";
--roundBit_uid182_rAtanPi_uid13_fpArctanPiTest(LOGICAL,181)@40
roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_a <= roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_q;
roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_q <= not roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_a;
--roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest(BITJOIN,184)@40
roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_q <= GND_q & normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b & cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q & roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_q;
--reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1(REG,436)@40
reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q <= roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--biasInc_uid163_rAtanPi_uid13_fpArctanPiTest(CONSTANT,162)
biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q <= "0001111111";
--ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b(DELAY,586)@36
ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expY_uid123_rAtanPi_uid13_fpArctanPiTest_b, xout => ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expSum_uid162_rAtanPi_uid13_fpArctanPiTest(ADD,161)@37
expSum_uid162_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q);
expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b_q);
expSum_uid162_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expSum_uid162_rAtanPi_uid13_fpArctanPiTest_a) + UNSIGNED(expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b));
END IF;
END IF;
END PROCESS;
expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q <= expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o(8 downto 0);
--ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a(DELAY,587)@38
ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 9, depth => 1 )
PORT MAP ( xin => expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest(SUB,163)@39
expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR('0' & "00" & ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a_q);
expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR((11 downto 10 => biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q(9)) & biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q);
expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a) - SIGNED(expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_b));
END IF;
END IF;
END PROCESS;
expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_q <= expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o(10 downto 0);
--expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest(BITJOIN,182)@40
expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_q <= expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_q & fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q;
--reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0(REG,435)@40
reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q <= "00000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q <= expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest(ADD,185)@41
expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR((36 downto 35 => reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q(34)) & reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q);
expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR('0' & "0000000000" & reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q);
expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_a) + SIGNED(expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_b));
expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q <= expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_o(35 downto 0);
--expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest(BITSELECT,187)@41
expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_in <= expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q;
expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b <= expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_in(35 downto 24);
--expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest(BITSELECT,188)@41
expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_in <= expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b(7 downto 0);
expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b <= expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_in(7 downto 0);
--ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d(DELAY,664)@41
ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d : dspba_delay
GENERIC MAP ( width => 8, depth => 2 )
PORT MAP ( xin => expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b, xout => ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q, ena => en(0), clk => clk, aclr => areset );
--ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c(DELAY,659)@37
ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1(REG,437)@41
reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q <= "000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q <= expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b;
END IF;
END IF;
END PROCESS;
--expOvf_uid193_rAtanPi_uid13_fpArctanPiTest(COMPARE,192)@42
expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_cin <= GND_q;
expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR((13 downto 12 => reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q(11)) & reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q) & '0';
expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR('0' & "00000" & cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q) & expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_cin(0);
expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_a) - SIGNED(expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_b));
expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_n(0) <= not expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_o(14);
--InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest(LOGICAL,157)@37
InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a <= ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q;
InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a;
--InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest(LOGICAL,158)@37
InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_a <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q;
InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_a;
--InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest(LOGICAL,159)@37
InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q;
InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_q <= not InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a;
--exc_R_uid161_rAtanPi_uid13_fpArctanPiTest(LOGICAL,160)@37
exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_a <= InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_q;
exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_b <= InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_q;
exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_c <= InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_q;
exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q <= exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_a and exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_b and exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_c;
--ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b(DELAY,629)@37
ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest(LOGICAL,141)@37
InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_a <= exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q;
InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_a;
--InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest(LOGICAL,142)@37
InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_a <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q;
InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_a;
--InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest(LOGICAL,143)@37
InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q;
InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_q <= not InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_a;
--exc_R_uid145_rAtanPi_uid13_fpArctanPiTest(LOGICAL,144)@37
exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_a <= InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_q;
exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_b <= InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_q;
exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_c <= InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_q;
exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q <= exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_a and exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_b and exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_c;
--ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a(DELAY,628)@37
ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest(LOGICAL,201)@42
ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_a <= ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q;
ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_b <= ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q;
ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_c <= expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_n;
ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_q <= ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_a and ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_b and ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_c;
--excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest(LOGICAL,200)@37
excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_a <= exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q;
excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q;
excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q <= excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_a and excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_b;
--ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c(DELAY,646)@37
ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest(LOGICAL,199)@37
excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_a <= exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q;
excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q;
excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q <= excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_a and excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_b;
--ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b(DELAY,645)@37
ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest(LOGICAL,198)@37
excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_a <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q;
excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q;
excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q <= excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_a and excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_b;
--ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a(DELAY,644)@37
ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--excRInf_uid203_rAtanPi_uid13_fpArctanPiTest(LOGICAL,202)@42
excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a <= ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a_q;
excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b <= ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b_q;
excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c <= ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c_q;
excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_d <= ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_q;
excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_q <= excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a or excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b or excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c or excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_d;
--expUdf_uid191_rAtanPi_uid13_fpArctanPiTest(COMPARE,190)@42
expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_cin <= GND_q;
expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR('0' & "000000000000" & GND_q) & '0';
expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR((13 downto 12 => reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q(11)) & reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q) & expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_cin(0);
expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_a) - SIGNED(expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_b));
expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_n(0) <= not expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_o(14);
--excZC3_uid197_rAtanPi_uid13_fpArctanPiTest(LOGICAL,196)@42
excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a <= ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q;
excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b <= ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q;
excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_c <= expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_n;
excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_q <= excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a and excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b and excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_c;
--excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest(LOGICAL,195)@37
excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q;
excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_b <= exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q;
excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q <= excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_a and excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_b;
--ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c(DELAY,633)@37
ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest(LOGICAL,194)@37
excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q;
excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_b <= exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q;
excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q <= excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_a and excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_b;
--ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b(DELAY,632)@37
ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest(LOGICAL,193)@37
excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q;
excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_b <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q;
excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q <= excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_a and excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_b;
--ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a(DELAY,631)@37
ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--excRZero_uid198_rAtanPi_uid13_fpArctanPiTest(LOGICAL,197)@42
excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a <= ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a_q;
excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b <= ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b_q;
excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c <= ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c_q;
excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_d <= excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_q;
excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_q <= excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a or excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b or excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c or excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_d;
--concExc_uid208_rAtanPi_uid13_fpArctanPiTest(BITJOIN,207)@42
concExc_uid208_rAtanPi_uid13_fpArctanPiTest_q <= ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c_q & excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_q & excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_q;
--reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0(REG,439)@42
reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q <= concExc_uid208_rAtanPi_uid13_fpArctanPiTest_q;
END IF;
END IF;
END PROCESS;
--excREnc_uid209_rAtanPi_uid13_fpArctanPiTest(LOOKUP,208)@43
excREnc_uid209_rAtanPi_uid13_fpArctanPiTest: PROCESS (reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q) IS
WHEN "000" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "01";
WHEN "001" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00";
WHEN "010" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "10";
WHEN "011" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00";
WHEN "100" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "11";
WHEN "101" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00";
WHEN "110" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00";
WHEN "111" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00";
WHEN OTHERS =>
excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= (others => '-');
END CASE;
-- End reserved scope level
END PROCESS;
--expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest(MUX,217)@43
expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s <= excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q;
expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest: PROCESS (expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s, en, cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q, ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s IS
WHEN "00" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q;
WHEN "01" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q;
WHEN "10" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest(BITSELECT,186)@41
fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_in <= expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q(23 downto 0);
fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b <= fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_in(23 downto 1);
--ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d(DELAY,662)@41
ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d : dspba_delay
GENERIC MAP ( width => 23, depth => 2 )
PORT MAP ( xin => fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b, xout => ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q, ena => en(0), clk => clk, aclr => areset );
--fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest(MUX,212)@43
fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s <= excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q;
fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest: PROCESS (fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s, en, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q)
BEGIN
CASE fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s IS
WHEN "00" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
WHEN "01" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q;
WHEN "10" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q;
WHEN "11" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q;
WHEN OTHERS => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--R_uid221_rAtanPi_uid13_fpArctanPiTest(BITJOIN,220)@43
R_uid221_rAtanPi_uid13_fpArctanPiTest_q <= ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c_q & expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q & fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q;
--xOut(GPOUT,4)@43
q <= R_uid221_rAtanPi_uid13_fpArctanPiTest_q;
end normal;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_tanlut2.vhd | 10 | 45103 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_TANLUT2.VHD ***
--*** ***
--*** Function: Tangent Look Up Table ***
--*** (Generated by MATLAB Utility) ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_tanlut2 IS
PORT (
add : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
tanfraction : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_tanlut2;
ARCHITECTURE rtl OF fp_tanlut2 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "00000000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(0,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(0,18);
WHEN "00000001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(1024,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(0,18);
WHEN "00000010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(2048,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(0,18);
WHEN "00000011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(3072,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1,18);
WHEN "00000100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(4096,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1,18);
WHEN "00000101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(5120,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(3,18);
WHEN "00000110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(6144,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(5,18);
WHEN "00000111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(7168,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(7,18);
WHEN "00001000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(8192,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(11,18);
WHEN "00001001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(9216,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(15,18);
WHEN "00001010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(10240,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(21,18);
WHEN "00001011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(11264,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(28,18);
WHEN "00001100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(12288,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(36,18);
WHEN "00001101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(13312,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(46,18);
WHEN "00001110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(14336,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(57,18);
WHEN "00001111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(15360,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(70,18);
WHEN "00010000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(16384,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(85,18);
WHEN "00010001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(17408,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(102,18);
WHEN "00010010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(18432,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(122,18);
WHEN "00010011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(19456,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(143,18);
WHEN "00010100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(20480,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(167,18);
WHEN "00010101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(21504,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(193,18);
WHEN "00010110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(22528,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(222,18);
WHEN "00010111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(23552,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(253,18);
WHEN "00011000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(24576,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(288,18);
WHEN "00011001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(25600,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(326,18);
WHEN "00011010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(26624,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(366,18);
WHEN "00011011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(27648,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(410,18);
WHEN "00011100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(28672,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(457,18);
WHEN "00011101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(29696,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(508,18);
WHEN "00011110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(30720,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(563,18);
WHEN "00011111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(31744,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(621,18);
WHEN "00100000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(32768,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(683,18);
WHEN "00100001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(33792,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(749,18);
WHEN "00100010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(34816,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(819,18);
WHEN "00100011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(35840,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(893,18);
WHEN "00100100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(36864,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(972,18);
WHEN "00100101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(37888,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1055,18);
WHEN "00100110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(38912,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1143,18);
WHEN "00100111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(39936,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1236,18);
WHEN "00101000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(40960,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1333,18);
WHEN "00101001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(41984,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1436,18);
WHEN "00101010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(43008,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1544,18);
WHEN "00101011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(44032,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1656,18);
WHEN "00101100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(45056,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1775,18);
WHEN "00101101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(46080,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1898,18);
WHEN "00101110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(47104,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(2028,18);
WHEN "00101111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(48128,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(2163,18);
WHEN "00110000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(49152,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(2304,18);
WHEN "00110001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(50176,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(2451,18);
WHEN "00110010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(51200,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(2604,18);
WHEN "00110011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(52224,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(2764,18);
WHEN "00110100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(53248,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(2929,18);
WHEN "00110101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(54272,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(3102,18);
WHEN "00110110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(55296,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(3281,18);
WHEN "00110111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(56320,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(3466,18);
WHEN "00111000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(57344,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(3659,18);
WHEN "00111001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(58368,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(3858,18);
WHEN "00111010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(59392,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(4065,18);
WHEN "00111011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(60416,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(4279,18);
WHEN "00111100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(61440,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(4500,18);
WHEN "00111101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(62464,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(4729,18);
WHEN "00111110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(63488,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(4965,18);
WHEN "00111111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(64512,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(5209,18);
WHEN "01000000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(65536,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(5461,18);
WHEN "01000001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(66560,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(5721,18);
WHEN "01000010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(67584,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(5990,18);
WHEN "01000011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(68608,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(6266,18);
WHEN "01000100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(69632,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(6551,18);
WHEN "01000101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(70656,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(6844,18);
WHEN "01000110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(71680,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(7146,18);
WHEN "01000111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(72704,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(7456,18);
WHEN "01001000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(73728,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(7776,18);
WHEN "01001001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(74752,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(8105,18);
WHEN "01001010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(75776,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(8442,18);
WHEN "01001011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(76800,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(8789,18);
WHEN "01001100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(77824,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(9145,18);
WHEN "01001101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(78848,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(9511,18);
WHEN "01001110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(79872,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(9887,18);
WHEN "01001111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(80896,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(10272,18);
WHEN "01010000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(81920,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(10667,18);
WHEN "01010001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(82944,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(11072,18);
WHEN "01010010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(83968,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(11487,18);
WHEN "01010011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(84992,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(11912,18);
WHEN "01010100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(86016,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(12348,18);
WHEN "01010101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(87040,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(12794,18);
WHEN "01010110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(88064,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(13251,18);
WHEN "01010111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(89088,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(13719,18);
WHEN "01011000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(90112,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(14197,18);
WHEN "01011001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(91136,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(14687,18);
WHEN "01011010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(92160,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(15188,18);
WHEN "01011011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(93184,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(15699,18);
WHEN "01011100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(94208,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(16223,18);
WHEN "01011101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(95232,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(16757,18);
WHEN "01011110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(96256,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(17304,18);
WHEN "01011111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(97280,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(17862,18);
WHEN "01100000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(98304,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(18432,18);
WHEN "01100001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(99328,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(19014,18);
WHEN "01100010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(100352,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(19608,18);
WHEN "01100011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(101376,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(20215,18);
WHEN "01100100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(102400,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(20833,18);
WHEN "01100101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(103424,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(21465,18);
WHEN "01100110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(104448,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(22109,18);
WHEN "01100111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(105472,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(22765,18);
WHEN "01101000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(106496,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(23435,18);
WHEN "01101001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(107520,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(24117,18);
WHEN "01101010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(108544,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(24813,18);
WHEN "01101011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(109568,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(25522,18);
WHEN "01101100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(110592,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(26244,18);
WHEN "01101101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(111616,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(26980,18);
WHEN "01101110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(112640,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(27729,18);
WHEN "01101111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(113664,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(28492,18);
WHEN "01110000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(114688,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(29269,18);
WHEN "01110001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(115712,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(30060,18);
WHEN "01110010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(116736,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(30866,18);
WHEN "01110011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(117760,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(31685,18);
WHEN "01110100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(118784,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(32519,18);
WHEN "01110101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(119808,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(33367,18);
WHEN "01110110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(120832,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(34230,18);
WHEN "01110111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(121856,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(35108,18);
WHEN "01111000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(122880,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(36000,18);
WHEN "01111001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(123904,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(36908,18);
WHEN "01111010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(124928,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(37830,18);
WHEN "01111011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(125952,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(38768,18);
WHEN "01111100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(126976,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(39721,18);
WHEN "01111101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(128000,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(40690,18);
WHEN "01111110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(129024,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(41675,18);
WHEN "01111111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(130048,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(42675,18);
WHEN "10000000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(131072,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(43691,18);
WHEN "10000001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(132096,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(44723,18);
WHEN "10000010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(133120,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(45771,18);
WHEN "10000011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(134144,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(46835,18);
WHEN "10000100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(135168,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(47916,18);
WHEN "10000101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(136192,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(49013,18);
WHEN "10000110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(137216,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(50127,18);
WHEN "10000111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(138240,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(51258,18);
WHEN "10001000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(139264,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(52405,18);
WHEN "10001001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(140288,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(53570,18);
WHEN "10001010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(141312,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(54752,18);
WHEN "10001011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(142336,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(55950,18);
WHEN "10001100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(143360,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(57167,18);
WHEN "10001101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(144384,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(58401,18);
WHEN "10001110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(145408,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(59652,18);
WHEN "10001111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(146432,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(60921,18);
WHEN "10010000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(147456,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(62208,18);
WHEN "10010001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(148480,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(63513,18);
WHEN "10010010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(149504,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(64836,18);
WHEN "10010011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(150528,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(66178,18);
WHEN "10010100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(151552,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(67537,18);
WHEN "10010101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(152576,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(68916,18);
WHEN "10010110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(153600,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(70313,18);
WHEN "10010111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(154624,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(71728,18);
WHEN "10011000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(155648,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(73163,18);
WHEN "10011001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(156672,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(74616,18);
WHEN "10011010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(157696,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(76089,18);
WHEN "10011011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(158720,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(77581,18);
WHEN "10011100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(159744,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(79092,18);
WHEN "10011101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(160768,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(80623,18);
WHEN "10011110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(161792,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(82173,18);
WHEN "10011111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(162816,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(83744,18);
WHEN "10100000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(163840,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(85334,18);
WHEN "10100001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(164864,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(86944,18);
WHEN "10100010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(165888,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(88574,18);
WHEN "10100011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(166912,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(90224,18);
WHEN "10100100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(167936,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(91895,18);
WHEN "10100101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(168960,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(93586,18);
WHEN "10100110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(169984,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(95298,18);
WHEN "10100111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(171008,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(97031,18);
WHEN "10101000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(172032,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(98784,18);
WHEN "10101001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(173056,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(100559,18);
WHEN "10101010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(174080,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(102354,18);
WHEN "10101011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(175104,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(104171,18);
WHEN "10101100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(176128,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(106010,18);
WHEN "10101101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(177152,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(107869,18);
WHEN "10101110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(178176,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(109751,18);
WHEN "10101111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(179200,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(111654,18);
WHEN "10110000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(180224,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(113579,18);
WHEN "10110001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(181248,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(115526,18);
WHEN "10110010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(182272,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(117495,18);
WHEN "10110011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(183296,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(119487,18);
WHEN "10110100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(184320,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(121500,18);
WHEN "10110101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(185344,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(123537,18);
WHEN "10110110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(186368,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(125596,18);
WHEN "10110111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(187392,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(127677,18);
WHEN "10111000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(188416,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(129782,18);
WHEN "10111001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(189440,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(131909,18);
WHEN "10111010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(190464,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(134060,18);
WHEN "10111011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(191488,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(136234,18);
WHEN "10111100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(192512,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(138431,18);
WHEN "10111101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(193536,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(140652,18);
WHEN "10111110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(194560,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(142896,18);
WHEN "10111111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(195584,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(145164,18);
WHEN "11000000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(196608,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(147457,18);
WHEN "11000001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(197632,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(149773,18);
WHEN "11000010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(198656,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(152113,18);
WHEN "11000011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(199680,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(154477,18);
WHEN "11000100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(200704,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(156866,18);
WHEN "11000101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(201728,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(159279,18);
WHEN "11000110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(202752,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(161717,18);
WHEN "11000111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(203776,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(164180,18);
WHEN "11001000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(204800,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(166667,18);
WHEN "11001001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(205824,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(169180,18);
WHEN "11001010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(206848,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(171717,18);
WHEN "11001011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(207872,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(174280,18);
WHEN "11001100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(208896,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(176869,18);
WHEN "11001101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(209920,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(179482,18);
WHEN "11001110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(210944,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(182122,18);
WHEN "11001111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(211968,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(184787,18);
WHEN "11010000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(212992,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(187478,18);
WHEN "11010001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(214016,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(190195,18);
WHEN "11010010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(215040,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(192938,18);
WHEN "11010011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(216064,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(195708,18);
WHEN "11010100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(217088,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(198503,18);
WHEN "11010101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(218112,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(201326,18);
WHEN "11010110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(219136,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(204175,18);
WHEN "11010111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(220160,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(207050,18);
WHEN "11011000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(221184,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(209953,18);
WHEN "11011001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(222208,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(212882,18);
WHEN "11011010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(223232,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(215839,18);
WHEN "11011011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(224256,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(218823,18);
WHEN "11011100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(225280,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(221834,18);
WHEN "11011101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(226304,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(224873,18);
WHEN "11011110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(227328,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(227940,18);
WHEN "11011111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(228352,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(231034,18);
WHEN "11100000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(229376,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(234156,18);
WHEN "11100001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(230400,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(237306,18);
WHEN "11100010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(231424,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(240484,18);
WHEN "11100011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(232448,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(243690,18);
WHEN "11100100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(233472,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(246925,18);
WHEN "11100101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(234496,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(250188,18);
WHEN "11100110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(235520,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(253480,18);
WHEN "11100111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(236544,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(256801,18);
WHEN "11101000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(237568,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(260151,18);
WHEN "11101001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(238593,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(1385,18);
WHEN "11101010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(239617,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(4793,18);
WHEN "11101011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(240641,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(8230,18);
WHEN "11101100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(241665,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(11696,18);
WHEN "11101101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(242689,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(15192,18);
WHEN "11101110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(243713,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(18717,18);
WHEN "11101111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(244737,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(22272,18);
WHEN "11110000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(245761,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(25858,18);
WHEN "11110001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(246785,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(29473,18);
WHEN "11110010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(247809,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(33118,18);
WHEN "11110011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(248833,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(36793,18);
WHEN "11110100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(249857,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(40499,18);
WHEN "11110101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(250881,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(44235,18);
WHEN "11110110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(251905,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(48002,18);
WHEN "11110111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(252929,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(51800,18);
WHEN "11111000" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(253953,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(55628,18);
WHEN "11111001" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(254977,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(59488,18);
WHEN "11111010" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(256001,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(63379,18);
WHEN "11111011" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(257025,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(67301,18);
WHEN "11111100" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(258049,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(71254,18);
WHEN "11111101" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(259073,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(75239,18);
WHEN "11111110" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(260097,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(79255,18);
WHEN "11111111" =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(261121,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(83303,18);
WHEN others =>
tanfraction(36 DOWNTO 19) <= conv_std_logic_vector(0,18);
tanfraction(18 DOWNTO 1) <= conv_std_logic_vector(0,18);
END CASE;
END PROCESS;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dp_adds.vhd | 10 | 2500 |
LIBRARY ieee;
LIBRARY work;
LIBRARY lpm;
USE lpm.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** DOUBLE PRECISION CORE LIBRARY ***
--*** ***
--*** DP_ADDS.VHD ***
--*** ***
--*** Function: Synthesizable Fixed Point Adder ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_adds IS
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END dp_adds;
ARCHITECTURE syn of dp_adds IS
component lpm_add_sub
GENERIC (
lpm_direction : STRING;
lpm_hint : STRING;
lpm_pipeline : NATURAL;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
cin : IN STD_LOGIC ;
clken : IN STD_LOGIC ;
aclr : IN STD_LOGIC ;
clock : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0)
);
end component;
BEGIN
addtwo: lpm_add_sub
GENERIC MAP (
lpm_direction => "ADD",
lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=YES",
lpm_pipeline => pipes,
lpm_type => "LPM_ADD_SUB",
lpm_width => width
)
PORT MAP (
dataa => aa,
datab => bb,
cin => carryin,
clken => enable,
aclr => reset,
clock => sysclk,
result => cc
);
END syn;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/dp_adds.vhd | 10 | 2500 |
LIBRARY ieee;
LIBRARY work;
LIBRARY lpm;
USE lpm.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** DOUBLE PRECISION CORE LIBRARY ***
--*** ***
--*** DP_ADDS.VHD ***
--*** ***
--*** Function: Synthesizable Fixed Point Adder ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_adds IS
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END dp_adds;
ARCHITECTURE syn of dp_adds IS
component lpm_add_sub
GENERIC (
lpm_direction : STRING;
lpm_hint : STRING;
lpm_pipeline : NATURAL;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
cin : IN STD_LOGIC ;
clken : IN STD_LOGIC ;
aclr : IN STD_LOGIC ;
clock : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0)
);
end component;
BEGIN
addtwo: lpm_add_sub
GENERIC MAP (
lpm_direction => "ADD",
lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=YES",
lpm_pipeline => pipes,
lpm_type => "LPM_ADD_SUB",
lpm_width => width
)
PORT MAP (
dataa => aa,
datab => bb,
cin => carryin,
clken => enable,
aclr => reset,
clock => sysclk,
result => cc
);
END syn;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_cntuspipe64.vhd | 10 | 6914 |
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CNTUSPIPE64.VHD ***
--*** ***
--*** Function: Count leading bits in an ***
--*** unsigned 64 bit number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_cntuspipe64 IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
frac : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
count : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
END hcc_cntuspipe64;
ARCHITECTURE rtl of hcc_cntuspipe64 IS
type positiontype IS ARRAY (11 DOWNTO 1) OF STD_LOGIC_VECTOR (6 DOWNTO 1);
signal position, positionff, positionmux : positiontype;
signal zerogroup, firstzeroff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal lastfrac : STD_LOGIC_VECTOR (6 DOWNTO 1);
component hcc_usgnpos
GENERIC (start: integer := 0);
PORT
(
ingroup : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
position : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
end component;
BEGIN
pp: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 11 LOOP
FOR j IN 1 TO 6 LOOP
positionff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
firstzeroff(1) <= zerogroup(1);
firstzeroff(2) <= NOT(zerogroup(1)) AND zerogroup(2);
firstzeroff(3) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND zerogroup(3);
firstzeroff(4) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND zerogroup(4);
firstzeroff(5) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND NOT(zerogroup(4))
AND zerogroup(5);
firstzeroff(6) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND NOT(zerogroup(4))
AND NOT(zerogroup(5)) AND zerogroup(6);
firstzeroff(7) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND NOT(zerogroup(4))
AND NOT(zerogroup(5)) AND NOT(zerogroup(6)) AND zerogroup(7);
firstzeroff(8) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND NOT(zerogroup(4))
AND NOT(zerogroup(5)) AND NOT(zerogroup(6)) AND NOT(zerogroup(7)) AND zerogroup(8);
firstzeroff(9) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND NOT(zerogroup(4))
AND NOT(zerogroup(5)) AND NOT(zerogroup(6)) AND NOT(zerogroup(7)) AND NOT(zerogroup(8))
AND zerogroup(9);
firstzeroff(10) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND NOT(zerogroup(4))
AND NOT(zerogroup(5)) AND NOT(zerogroup(6)) AND NOT(zerogroup(7)) AND NOT(zerogroup(8))
AND NOT(zerogroup(9)) AND zerogroup(10);
firstzeroff(11) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND NOT(zerogroup(4))
AND NOT(zerogroup(5)) AND NOT(zerogroup(6)) AND NOT(zerogroup(7)) AND NOT(zerogroup(8))
AND NOT(zerogroup(9)) AND NOT(zerogroup(10)) AND zerogroup(11);
FOR k IN 1 TO 11 LOOP
positionff(k)(6 DOWNTO 1) <= position(k)(6 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
zerogroup(1) <= frac(63) OR frac(62) OR frac(61) OR frac(60) OR frac(59) OR frac(58);
zerogroup(2) <= frac(57) OR frac(56) OR frac(55) OR frac(54) OR frac(53) OR frac(52);
zerogroup(3) <= frac(51) OR frac(50) OR frac(49) OR frac(48) OR frac(47) OR frac(46);
zerogroup(4) <= frac(45) OR frac(44) OR frac(43) OR frac(42) OR frac(41) OR frac(40);
zerogroup(5) <= frac(39) OR frac(38) OR frac(37) OR frac(36) OR frac(35) OR frac(34);
zerogroup(6) <= frac(33) OR frac(32) OR frac(31) OR frac(30) OR frac(29) OR frac(28);
zerogroup(7) <= frac(27) OR frac(26) OR frac(25) OR frac(24) OR frac(23) OR frac(22);
zerogroup(8) <= frac(21) OR frac(20) OR frac(19) OR frac(18) OR frac(17) OR frac(16);
zerogroup(9) <= frac(15) OR frac(14) OR frac(13) OR frac(12) OR frac(11) OR frac(10);
zerogroup(10) <= frac(9) OR frac(8) OR frac(7) OR frac(6) OR frac(5) OR frac(4);
zerogroup(11) <= frac(3) OR frac(2) OR frac(1);
pone: hcc_usgnpos
GENERIC MAP (start=>0)
PORT MAP (ingroup=>frac(63 DOWNTO 58),position=>position(1)(6 DOWNTO 1));
ptwo: hcc_usgnpos
GENERIC MAP (start=>6)
PORT MAP (ingroup=>frac(57 DOWNTO 52),position=>position(2)(6 DOWNTO 1));
pthr: hcc_usgnpos
GENERIC MAP (start=>12)
PORT MAP (ingroup=>frac(51 DOWNTO 46),position=>position(3)(6 DOWNTO 1));
pfor: hcc_usgnpos
GENERIC MAP (start=>18)
PORT MAP (ingroup=>frac(45 DOWNTO 40),position=>position(4)(6 DOWNTO 1));
pfiv: hcc_usgnpos
GENERIC MAP (start=>24)
PORT MAP (ingroup=>frac(39 DOWNTO 34),position=>position(5)(6 DOWNTO 1));
psix: hcc_usgnpos
GENERIC MAP (start=>30)
PORT MAP (ingroup=>frac(33 DOWNTO 28),position=>position(6)(6 DOWNTO 1));
psev: hcc_usgnpos
GENERIC MAP (start=>36)
PORT MAP (ingroup=>frac(27 DOWNTO 22),position=>position(7)(6 DOWNTO 1));
pegt: hcc_usgnpos
GENERIC MAP (start=>42)
PORT MAP (ingroup=>frac(21 DOWNTO 16),position=>position(8)(6 DOWNTO 1));
pnin: hcc_usgnpos
GENERIC MAP (start=>48)
PORT MAP (ingroup=>frac(15 DOWNTO 10),position=>position(9)(6 DOWNTO 1));
pten: hcc_usgnpos
GENERIC MAP (start=>54)
PORT MAP (ingroup=>frac(9 DOWNTO 4),position=>position(10)(6 DOWNTO 1));
pelv: hcc_usgnpos
GENERIC MAP (start=>60)
PORT MAP (ingroup=>lastfrac,position=>position(11)(6 DOWNTO 1));
lastfrac <= frac(3 DOWNTO 1) & "000";
gma: FOR k IN 1 TO 6 GENERATE
positionmux(1)(k) <= positionff(1)(k) AND firstzeroff(1);
gmb: FOR j IN 2 TO 11 GENERATE
positionmux(j)(k) <= positionmux(j-1)(k) OR (positionff(j)(k) AND firstzeroff(j));
END GENERATE;
END GENERATE;
count <= positionmux(11)(6 DOWNTO 1);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dspba_library_package.vhd | 22 | 1323 | -- (C) 2012 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
library IEEE;
use IEEE.std_logic_1164.all;
package dspba_library_package is
component dspba_delay is
generic (
width : natural;
depth : natural;
reset_high : std_logic := '1'
);
port (
clk : in std_logic;
aclr : in std_logic;
ena : in std_logic := '1';
xin : in std_logic_vector(width-1 downto 0);
xout : out std_logic_vector(width-1 downto 0)
);
end component;
end dspba_library_package;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dp_rsft64x64.vhd | 10 | 5109 |
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOAT CONVERT - CORE LEVEL ***
--*** ***
--*** DP_RSFT64X64.VHD ***
--*** ***
--*** Function: Combinatorial Right Shift ***
--*** (max 64.0 to 1.52) ***
--*** ***
--*** 07/12/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 29/07/09 - signed number problem fixed ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_rsft64x64 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (116 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (116 DOWNTO 1)
);
END dp_rsft64x64;
ARCHITECTURE rtl of dp_rsft64x64 IS
signal levzip, levone, levtwo, levthr : STD_LOGIC_VECTOR (116 DOWNTO 1);
BEGIN
levzip <= inbus;
-- unsigned input
gla: FOR k IN 1 TO 113 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(k+1) AND NOT(shift(2)) AND shift(1)) OR
(levzip(k+2) AND shift(2) AND NOT(shift(1))) OR
(levzip(k+3) AND shift(2) AND shift(1));
END GENERATE;
-- 29/07/65 always shift 116, else will fill with zeros
-- fixed here and other lines
levone(114) <= (levzip(114) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(115) AND NOT(shift(2)) AND shift(1)) OR
(levzip(116) AND shift(2) AND NOT(shift(1))) OR
(levzip(116) AND shift(2) AND shift(1));
levone(115) <= (levzip(115) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(116) AND NOT(shift(2)) AND shift(1)) OR
(levzip(116) AND shift(2) AND NOT(shift(1))) OR
(levzip(116) AND shift(2) AND shift(1));
levone(116) <= levzip(116);
glba: FOR k IN 1 TO 104 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k+8) AND shift(4) AND NOT(shift(3))) OR
(levone(k+12) AND shift(4) AND shift(3));
END GENERATE;
glbb: FOR k IN 105 TO 108 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k+8) AND shift(4) AND NOT(shift(3))) OR
(levone(116) AND shift(4) AND shift(3));
END GENERATE;
glbc: FOR k IN 109 TO 112 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(116) AND shift(4) AND NOT(shift(3))) OR
(levone(116) AND shift(4) AND shift(3));
END GENERATE;
glbd: FOR k IN 113 TO 116 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(116) AND (shift(4) OR shift(3)));
END GENERATE;
glca: FOR k IN 1 TO 66 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(k+16) AND NOT(shift(6)) AND shift(5)) OR
(levtwo(k+32) AND shift(6) AND NOT(shift(5))) OR
(levtwo(k+48) AND shift(6) AND shift(5));
END GENERATE;
glcb: FOR k IN 67 TO 84 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(k+16) AND NOT(shift(6)) AND shift(5)) OR
(levtwo(k+32) AND shift(6) AND NOT(shift(5))) OR
(levtwo(116) AND shift(6) AND shift(5));
END GENERATE;
glcc: FOR k IN 85 TO 100 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(k+16) AND NOT(shift(6)) AND shift(5)) OR
(levtwo(116) AND shift(6) AND NOT(shift(5))) OR
(levtwo(116) AND shift(6) AND shift(5));
END GENERATE;
glcd: FOR k IN 101 TO 116 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(116) AND (shift(6) OR shift(5)));
END GENERATE;
outbus <= levthr;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dp_explutneg.vhd | 10 | 140760 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_EXPLUTNEG.VHD ***
--*** ***
--*** Function: Look Up Table - EXP() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_explutneg IS
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_explutneg;
ARCHITECTURE rtl OF dp_explutneg IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "0000000000" =>
manhi <= conv_std_logic_vector(0,24);
manlo <= conv_std_logic_vector(0,28);
exponent <= conv_std_logic_vector(1023,11);
WHEN "0000000001" =>
manhi <= conv_std_logic_vector(7910755,24);
manlo <= conv_std_logic_vector(103608120,28);
exponent <= conv_std_logic_vector(1021,11);
WHEN "0000000010" =>
manhi <= conv_std_logic_vector(1387178,24);
manlo <= conv_std_logic_vector(62882252,28);
exponent <= conv_std_logic_vector(1020,11);
WHEN "0000000011" =>
manhi <= conv_std_logic_vector(9952012,24);
manlo <= conv_std_logic_vector(214872239,28);
exponent <= conv_std_logic_vector(1018,11);
WHEN "0000000100" =>
manhi <= conv_std_logic_vector(2889051,24);
manlo <= conv_std_logic_vector(136396020,28);
exponent <= conv_std_logic_vector(1017,11);
WHEN "0000000101" =>
manhi <= conv_std_logic_vector(12162046,24);
manlo <= conv_std_logic_vector(873334,28);
exponent <= conv_std_logic_vector(1015,11);
WHEN "0000000110" =>
manhi <= conv_std_logic_vector(4515103,24);
manlo <= conv_std_logic_vector(18076886,28);
exponent <= conv_std_logic_vector(1014,11);
WHEN "0000000111" =>
manhi <= conv_std_logic_vector(14554809,24);
manlo <= conv_std_logic_vector(203729295,28);
exponent <= conv_std_logic_vector(1012,11);
WHEN "0000001000" =>
manhi <= conv_std_logic_vector(6275600,24);
manlo <= conv_std_logic_vector(68167597,28);
exponent <= conv_std_logic_vector(1011,11);
WHEN "0000001001" =>
manhi <= conv_std_logic_vector(184098,24);
manlo <= conv_std_logic_vector(86398042,28);
exponent <= conv_std_logic_vector(1010,11);
WHEN "0000001010" =>
manhi <= conv_std_logic_vector(8181659,24);
manlo <= conv_std_logic_vector(90471578,28);
exponent <= conv_std_logic_vector(1008,11);
WHEN "0000001011" =>
manhi <= conv_std_logic_vector(1586498,24);
manlo <= conv_std_logic_vector(59729764,28);
exponent <= conv_std_logic_vector(1007,11);
WHEN "0000001100" =>
manhi <= conv_std_logic_vector(10245315,24);
manlo <= conv_std_logic_vector(188988555,28);
exponent <= conv_std_logic_vector(1005,11);
WHEN "0000001101" =>
manhi <= conv_std_logic_vector(3104851,24);
manlo <= conv_std_logic_vector(194518424,28);
exponent <= conv_std_logic_vector(1004,11);
WHEN "0000001110" =>
manhi <= conv_std_logic_vector(12479599,24);
manlo <= conv_std_logic_vector(229643794,28);
exponent <= conv_std_logic_vector(1002,11);
WHEN "0000001111" =>
manhi <= conv_std_logic_vector(4748746,24);
manlo <= conv_std_logic_vector(36170808,28);
exponent <= conv_std_logic_vector(1001,11);
WHEN "0000010000" =>
manhi <= conv_std_logic_vector(14898619,24);
manlo <= conv_std_logic_vector(183403979,28);
exponent <= conv_std_logic_vector(999,11);
WHEN "0000010001" =>
manhi <= conv_std_logic_vector(6528561,24);
manlo <= conv_std_logic_vector(123365533,28);
exponent <= conv_std_logic_vector(998,11);
WHEN "0000010010" =>
manhi <= conv_std_logic_vector(370216,24);
manlo <= conv_std_logic_vector(208248737,28);
exponent <= conv_std_logic_vector(997,11);
WHEN "0000010011" =>
manhi <= conv_std_logic_vector(8455535,24);
manlo <= conv_std_logic_vector(254564210,28);
exponent <= conv_std_logic_vector(995,11);
WHEN "0000010100" =>
manhi <= conv_std_logic_vector(1788005,24);
manlo <= conv_std_logic_vector(99840615,28);
exponent <= conv_std_logic_vector(994,11);
WHEN "0000010101" =>
manhi <= conv_std_logic_vector(10541837,24);
manlo <= conv_std_logic_vector(14529516,28);
exponent <= conv_std_logic_vector(992,11);
WHEN "0000010110" =>
manhi <= conv_std_logic_vector(3323019,24);
manlo <= conv_std_logic_vector(252804514,28);
exponent <= conv_std_logic_vector(991,11);
WHEN "0000010111" =>
manhi <= conv_std_logic_vector(12800638,24);
manlo <= conv_std_logic_vector(70515377,28);
exponent <= conv_std_logic_vector(989,11);
WHEN "0000011000" =>
manhi <= conv_std_logic_vector(4984952,24);
manlo <= conv_std_logic_vector(266936970,28);
exponent <= conv_std_logic_vector(988,11);
WHEN "0000011001" =>
manhi <= conv_std_logic_vector(15246202,24);
manlo <= conv_std_logic_vector(73384750,28);
exponent <= conv_std_logic_vector(986,11);
WHEN "0000011010" =>
manhi <= conv_std_logic_vector(6784298,24);
manlo <= conv_std_logic_vector(117472834,28);
exponent <= conv_std_logic_vector(985,11);
WHEN "0000011011" =>
manhi <= conv_std_logic_vector(558377,24);
manlo <= conv_std_logic_vector(141983386,28);
exponent <= conv_std_logic_vector(984,11);
WHEN "0000011100" =>
manhi <= conv_std_logic_vector(8732417,24);
manlo <= conv_std_logic_vector(225268666,28);
exponent <= conv_std_logic_vector(982,11);
WHEN "0000011101" =>
manhi <= conv_std_logic_vector(1991723,24);
manlo <= conv_std_logic_vector(183207072,28);
exponent <= conv_std_logic_vector(981,11);
WHEN "0000011110" =>
manhi <= conv_std_logic_vector(10841612,24);
manlo <= conv_std_logic_vector(44859253,28);
exponent <= conv_std_logic_vector(979,11);
WHEN "0000011111" =>
manhi <= conv_std_logic_vector(3543582,24);
manlo <= conv_std_logic_vector(38615994,28);
exponent <= conv_std_logic_vector(978,11);
WHEN "0000100000" =>
manhi <= conv_std_logic_vector(13125199,24);
manlo <= conv_std_logic_vector(123823208,28);
exponent <= conv_std_logic_vector(976,11);
WHEN "0000100001" =>
manhi <= conv_std_logic_vector(5223751,24);
manlo <= conv_std_logic_vector(209149352,28);
exponent <= conv_std_logic_vector(975,11);
WHEN "0000100010" =>
manhi <= conv_std_logic_vector(15597598,24);
manlo <= conv_std_logic_vector(248916640,28);
exponent <= conv_std_logic_vector(973,11);
WHEN "0000100011" =>
manhi <= conv_std_logic_vector(7042841,24);
manlo <= conv_std_logic_vector(173666528,28);
exponent <= conv_std_logic_vector(972,11);
WHEN "0000100100" =>
manhi <= conv_std_logic_vector(748602,24);
manlo <= conv_std_logic_vector(266199140,28);
exponent <= conv_std_logic_vector(971,11);
WHEN "0000100101" =>
manhi <= conv_std_logic_vector(9012337,24);
manlo <= conv_std_logic_vector(264921173,28);
exponent <= conv_std_logic_vector(969,11);
WHEN "0000100110" =>
manhi <= conv_std_logic_vector(2197677,24);
manlo <= conv_std_logic_vector(112079619,28);
exponent <= conv_std_logic_vector(968,11);
WHEN "0000100111" =>
manhi <= conv_std_logic_vector(11144676,24);
manlo <= conv_std_logic_vector(200497976,28);
exponent <= conv_std_logic_vector(966,11);
WHEN "0000101000" =>
manhi <= conv_std_logic_vector(3766564,24);
manlo <= conv_std_logic_vector(161159716,28);
exponent <= conv_std_logic_vector(965,11);
WHEN "0000101001" =>
manhi <= conv_std_logic_vector(13453322,24);
manlo <= conv_std_logic_vector(28788768,28);
exponent <= conv_std_logic_vector(963,11);
WHEN "0000101010" =>
manhi <= conv_std_logic_vector(5465170,24);
manlo <= conv_std_logic_vector(249755484,28);
exponent <= conv_std_logic_vector(962,11);
WHEN "0000101011" =>
manhi <= conv_std_logic_vector(15952851,24);
manlo <= conv_std_logic_vector(133443390,28);
exponent <= conv_std_logic_vector(960,11);
WHEN "0000101100" =>
manhi <= conv_std_logic_vector(7304221,24);
manlo <= conv_std_logic_vector(236407020,28);
exponent <= conv_std_logic_vector(959,11);
WHEN "0000101101" =>
manhi <= conv_std_logic_vector(940915,24);
manlo <= conv_std_logic_vector(220198205,28);
exponent <= conv_std_logic_vector(958,11);
WHEN "0000101110" =>
manhi <= conv_std_logic_vector(9295329,24);
manlo <= conv_std_logic_vector(196124030,28);
exponent <= conv_std_logic_vector(956,11);
WHEN "0000101111" =>
manhi <= conv_std_logic_vector(2405891,24);
manlo <= conv_std_logic_vector(28613594,28);
exponent <= conv_std_logic_vector(955,11);
WHEN "0000110000" =>
manhi <= conv_std_logic_vector(11451066,24);
manlo <= conv_std_logic_vector(238698933,28);
exponent <= conv_std_logic_vector(953,11);
WHEN "0000110001" =>
manhi <= conv_std_logic_vector(3991993,24);
manlo <= conv_std_logic_vector(233279365,28);
exponent <= conv_std_logic_vector(952,11);
WHEN "0000110010" =>
manhi <= conv_std_logic_vector(13785045,24);
manlo <= conv_std_logic_vector(75368511,28);
exponent <= conv_std_logic_vector(950,11);
WHEN "0000110011" =>
manhi <= conv_std_logic_vector(5709239,24);
manlo <= conv_std_logic_vector(54173026,28);
exponent <= conv_std_logic_vector(949,11);
WHEN "0000110100" =>
manhi <= conv_std_logic_vector(16312002,24);
manlo <= conv_std_logic_vector(78993710,28);
exponent <= conv_std_logic_vector(947,11);
WHEN "0000110101" =>
manhi <= conv_std_logic_vector(7568470,24);
manlo <= conv_std_logic_vector(72422582,28);
exponent <= conv_std_logic_vector(946,11);
WHEN "0000110110" =>
manhi <= conv_std_logic_vector(1135338,24);
manlo <= conv_std_logic_vector(246889480,28);
exponent <= conv_std_logic_vector(945,11);
WHEN "0000110111" =>
manhi <= conv_std_logic_vector(9581426,24);
manlo <= conv_std_logic_vector(208117876,28);
exponent <= conv_std_logic_vector(943,11);
WHEN "0000111000" =>
manhi <= conv_std_logic_vector(2616389,24);
manlo <= conv_std_logic_vector(147217980,28);
exponent <= conv_std_logic_vector(942,11);
WHEN "0000111001" =>
manhi <= conv_std_logic_vector(11760819,24);
manlo <= conv_std_logic_vector(23037889,28);
exponent <= conv_std_logic_vector(940,11);
WHEN "0000111010" =>
manhi <= conv_std_logic_vector(4219896,24);
manlo <= conv_std_logic_vector(214481816,28);
exponent <= conv_std_logic_vector(939,11);
WHEN "0000111011" =>
manhi <= conv_std_logic_vector(14120408,24);
manlo <= conv_std_logic_vector(131761485,28);
exponent <= conv_std_logic_vector(937,11);
WHEN "0000111100" =>
manhi <= conv_std_logic_vector(5955985,24);
manlo <= conv_std_logic_vector(177821786,28);
exponent <= conv_std_logic_vector(936,11);
WHEN "0000111101" =>
manhi <= conv_std_logic_vector(16675094,24);
manlo <= conv_std_logic_vector(25356748,28);
exponent <= conv_std_logic_vector(934,11);
WHEN "0000111110" =>
manhi <= conv_std_logic_vector(7835618,24);
manlo <= conv_std_logic_vector(77011020,28);
exponent <= conv_std_logic_vector(933,11);
WHEN "0000111111" =>
manhi <= conv_std_logic_vector(1331895,24);
manlo <= conv_std_logic_vector(119779026,28);
exponent <= conv_std_logic_vector(932,11);
WHEN "0001000000" =>
manhi <= conv_std_logic_vector(9870663,24);
manlo <= conv_std_logic_vector(52552908,28);
exponent <= conv_std_logic_vector(930,11);
WHEN "0001000001" =>
manhi <= conv_std_logic_vector(2829197,24);
manlo <= conv_std_logic_vector(218477336,28);
exponent <= conv_std_logic_vector(929,11);
WHEN "0001000010" =>
manhi <= conv_std_logic_vector(12073970,24);
manlo <= conv_std_logic_vector(61450731,28);
exponent <= conv_std_logic_vector(927,11);
WHEN "0001000011" =>
manhi <= conv_std_logic_vector(4450300,24);
manlo <= conv_std_logic_vector(143360086,28);
exponent <= conv_std_logic_vector(926,11);
WHEN "0001000100" =>
manhi <= conv_std_logic_vector(14459451,24);
manlo <= conv_std_logic_vector(182543396,28);
exponent <= conv_std_logic_vector(924,11);
WHEN "0001000101" =>
manhi <= conv_std_logic_vector(6205439,24);
manlo <= conv_std_logic_vector(188004913,28);
exponent <= conv_std_logic_vector(923,11);
WHEN "0001000110" =>
manhi <= conv_std_logic_vector(132477,24);
manlo <= conv_std_logic_vector(19160299,28);
exponent <= conv_std_logic_vector(922,11);
WHEN "0001000111" =>
manhi <= conv_std_logic_vector(8105697,24);
manlo <= conv_std_logic_vector(201304075,28);
exponent <= conv_std_logic_vector(920,11);
WHEN "0001001000" =>
manhi <= conv_std_logic_vector(1530608,24);
manlo <= conv_std_logic_vector(217452229,28);
exponent <= conv_std_logic_vector(919,11);
WHEN "0001001001" =>
manhi <= conv_std_logic_vector(10163073,24);
manlo <= conv_std_logic_vector(118320126,28);
exponent <= conv_std_logic_vector(917,11);
WHEN "0001001010" =>
manhi <= conv_std_logic_vector(3044341,24);
manlo <= conv_std_logic_vector(66824260,28);
exponent <= conv_std_logic_vector(916,11);
WHEN "0001001011" =>
manhi <= conv_std_logic_vector(12390557,24);
manlo <= conv_std_logic_vector(165235674,28);
exponent <= conv_std_logic_vector(914,11);
WHEN "0001001100" =>
manhi <= conv_std_logic_vector(4683232,24);
manlo <= conv_std_logic_vector(138461149,28);
exponent <= conv_std_logic_vector(913,11);
WHEN "0001001101" =>
manhi <= conv_std_logic_vector(14802215,24);
manlo <= conv_std_logic_vector(61508170,28);
exponent <= conv_std_logic_vector(911,11);
WHEN "0001001110" =>
manhi <= conv_std_logic_vector(6457631,24);
manlo <= conv_std_logic_vector(7025744,28);
exponent <= conv_std_logic_vector(910,11);
WHEN "0001001111" =>
manhi <= conv_std_logic_vector(318029,24);
manlo <= conv_std_logic_vector(21309721,28);
exponent <= conv_std_logic_vector(909,11);
WHEN "0001010000" =>
manhi <= conv_std_logic_vector(8378740,24);
manlo <= conv_std_logic_vector(221720132,28);
exponent <= conv_std_logic_vector(907,11);
WHEN "0001010001" =>
manhi <= conv_std_logic_vector(1731502,24);
manlo <= conv_std_logic_vector(182144977,28);
exponent <= conv_std_logic_vector(906,11);
WHEN "0001010010" =>
manhi <= conv_std_logic_vector(10458692,24);
manlo <= conv_std_logic_vector(90475423,28);
exponent <= conv_std_logic_vector(904,11);
WHEN "0001010011" =>
manhi <= conv_std_logic_vector(3261845,24);
manlo <= conv_std_logic_vector(128220642,28);
exponent <= conv_std_logic_vector(903,11);
WHEN "0001010100" =>
manhi <= conv_std_logic_vector(12710618,24);
manlo <= conv_std_logic_vector(255552070,28);
exponent <= conv_std_logic_vector(901,11);
WHEN "0001010101" =>
manhi <= conv_std_logic_vector(4918720,24);
manlo <= conv_std_logic_vector(130727832,28);
exponent <= conv_std_logic_vector(900,11);
WHEN "0001010110" =>
manhi <= conv_std_logic_vector(15148739,24);
manlo <= conv_std_logic_vector(258265342,28);
exponent <= conv_std_logic_vector(898,11);
WHEN "0001010111" =>
manhi <= conv_std_logic_vector(6712589,24);
manlo <= conv_std_logic_vector(181573149,28);
exponent <= conv_std_logic_vector(897,11);
WHEN "0001011000" =>
manhi <= conv_std_logic_vector(505617,24);
manlo <= conv_std_logic_vector(45883390,28);
exponent <= conv_std_logic_vector(896,11);
WHEN "0001011001" =>
manhi <= conv_std_logic_vector(8654780,24);
manlo <= conv_std_logic_vector(9428106,28);
exponent <= conv_std_logic_vector(894,11);
WHEN "0001011010" =>
manhi <= conv_std_logic_vector(1934600,24);
manlo <= conv_std_logic_vector(262677610,28);
exponent <= conv_std_logic_vector(893,11);
WHEN "0001011011" =>
manhi <= conv_std_logic_vector(10757555,24);
manlo <= conv_std_logic_vector(25094861,28);
exponent <= conv_std_logic_vector(891,11);
WHEN "0001011100" =>
manhi <= conv_std_logic_vector(3481736,24);
manlo <= conv_std_logic_vector(108799619,28);
exponent <= conv_std_logic_vector(890,11);
WHEN "0001011101" =>
manhi <= conv_std_logic_vector(13034192,24);
manlo <= conv_std_logic_vector(96190464,28);
exponent <= conv_std_logic_vector(888,11);
WHEN "0001011110" =>
manhi <= conv_std_logic_vector(5156792,24);
manlo <= conv_std_logic_vector(132821234,28);
exponent <= conv_std_logic_vector(887,11);
WHEN "0001011111" =>
manhi <= conv_std_logic_vector(15499067,24);
manlo <= conv_std_logic_vector(40497064,28);
exponent <= conv_std_logic_vector(885,11);
WHEN "0001100000" =>
manhi <= conv_std_logic_vector(6970346,24);
manlo <= conv_std_logic_vector(4633646,28);
exponent <= conv_std_logic_vector(884,11);
WHEN "0001100001" =>
manhi <= conv_std_logic_vector(695263,24);
manlo <= conv_std_logic_vector(184734272,28);
exponent <= conv_std_logic_vector(883,11);
WHEN "0001100010" =>
manhi <= conv_std_logic_vector(8933848,24);
manlo <= conv_std_logic_vector(68258056,28);
exponent <= conv_std_logic_vector(881,11);
WHEN "0001100011" =>
manhi <= conv_std_logic_vector(2139927,24);
manlo <= conv_std_logic_vector(241478077,28);
exponent <= conv_std_logic_vector(880,11);
WHEN "0001100100" =>
manhi <= conv_std_logic_vector(11059697,24);
manlo <= conv_std_logic_vector(81964893,28);
exponent <= conv_std_logic_vector(878,11);
WHEN "0001100101" =>
manhi <= conv_std_logic_vector(3704040,24);
manlo <= conv_std_logic_vector(59435624,28);
exponent <= conv_std_logic_vector(877,11);
WHEN "0001100110" =>
manhi <= conv_std_logic_vector(13361316,24);
manlo <= conv_std_logic_vector(100097713,28);
exponent <= conv_std_logic_vector(875,11);
WHEN "0001100111" =>
manhi <= conv_std_logic_vector(5397476,24);
manlo <= conv_std_logic_vector(240017437,28);
exponent <= conv_std_logic_vector(874,11);
WHEN "0001101000" =>
manhi <= conv_std_logic_vector(15853238,24);
manlo <= conv_std_logic_vector(139632179,28);
exponent <= conv_std_logic_vector(872,11);
WHEN "0001101001" =>
manhi <= conv_std_logic_vector(7230930,24);
manlo <= conv_std_logic_vector(200816807,28);
exponent <= conv_std_logic_vector(871,11);
WHEN "0001101010" =>
manhi <= conv_std_logic_vector(886991,24);
manlo <= conv_std_logic_vector(58654941,28);
exponent <= conv_std_logic_vector(870,11);
WHEN "0001101011" =>
manhi <= conv_std_logic_vector(9215978,24);
manlo <= conv_std_logic_vector(193575030,28);
exponent <= conv_std_logic_vector(868,11);
WHEN "0001101100" =>
manhi <= conv_std_logic_vector(2347507,24);
manlo <= conv_std_logic_vector(240661664,28);
exponent <= conv_std_logic_vector(867,11);
WHEN "0001101101" =>
manhi <= conv_std_logic_vector(11365154,24);
manlo <= conv_std_logic_vector(257284930,28);
exponent <= conv_std_logic_vector(865,11);
WHEN "0001101110" =>
manhi <= conv_std_logic_vector(3928783,24);
manlo <= conv_std_logic_vector(108146246,28);
exponent <= conv_std_logic_vector(864,11);
WHEN "0001101111" =>
manhi <= conv_std_logic_vector(13692029,24);
manlo <= conv_std_logic_vector(256867284,28);
exponent <= conv_std_logic_vector(862,11);
WHEN "0001110000" =>
manhi <= conv_std_logic_vector(5640802,24);
manlo <= conv_std_logic_vector(94243132,28);
exponent <= conv_std_logic_vector(861,11);
WHEN "0001110001" =>
manhi <= conv_std_logic_vector(16211296,24);
manlo <= conv_std_logic_vector(67825654,28);
exponent <= conv_std_logic_vector(859,11);
WHEN "0001110010" =>
manhi <= conv_std_logic_vector(7494374,24);
manlo <= conv_std_logic_vector(242982196,28);
exponent <= conv_std_logic_vector(858,11);
WHEN "0001110011" =>
manhi <= conv_std_logic_vector(1080822,24);
manlo <= conv_std_logic_vector(160277009,28);
exponent <= conv_std_logic_vector(857,11);
WHEN "0001110100" =>
manhi <= conv_std_logic_vector(9501205,24);
manlo <= conv_std_logic_vector(10212621,28);
exponent <= conv_std_logic_vector(855,11);
WHEN "0001110101" =>
manhi <= conv_std_logic_vector(2557365,24);
manlo <= conv_std_logic_vector(185941944,28);
exponent <= conv_std_logic_vector(854,11);
WHEN "0001110110" =>
manhi <= conv_std_logic_vector(11673964,24);
manlo <= conv_std_logic_vector(116382402,28);
exponent <= conv_std_logic_vector(852,11);
WHEN "0001110111" =>
manhi <= conv_std_logic_vector(4155992,24);
manlo <= conv_std_logic_vector(192503267,28);
exponent <= conv_std_logic_vector(851,11);
WHEN "0001111000" =>
manhi <= conv_std_logic_vector(14026372,24);
manlo <= conv_std_logic_vector(133984890,28);
exponent <= conv_std_logic_vector(849,11);
WHEN "0001111001" =>
manhi <= conv_std_logic_vector(5886797,24);
manlo <= conv_std_logic_vector(227169396,28);
exponent <= conv_std_logic_vector(848,11);
WHEN "0001111010" =>
manhi <= conv_std_logic_vector(16573282,24);
manlo <= conv_std_logic_vector(266790864,28);
exponent <= conv_std_logic_vector(846,11);
WHEN "0001111011" =>
manhi <= conv_std_logic_vector(7760709,24);
manlo <= conv_std_logic_vector(232279832,28);
exponent <= conv_std_logic_vector(845,11);
WHEN "0001111100" =>
manhi <= conv_std_logic_vector(1276780,24);
manlo <= conv_std_logic_vector(244188460,28);
exponent <= conv_std_logic_vector(844,11);
WHEN "0001111101" =>
manhi <= conv_std_logic_vector(9789561,24);
manlo <= conv_std_logic_vector(47289108,28);
exponent <= conv_std_logic_vector(842,11);
WHEN "0001111110" =>
manhi <= conv_std_logic_vector(2769526,24);
manlo <= conv_std_logic_vector(75856665,28);
exponent <= conv_std_logic_vector(841,11);
WHEN "0001111111" =>
manhi <= conv_std_logic_vector(11986162,24);
manlo <= conv_std_logic_vector(137053172,28);
exponent <= conv_std_logic_vector(839,11);
WHEN "0010000000" =>
manhi <= conv_std_logic_vector(4385695,24);
manlo <= conv_std_logic_vector(60488459,28);
exponent <= conv_std_logic_vector(838,11);
WHEN "0010000001" =>
manhi <= conv_std_logic_vector(14364383,24);
manlo <= conv_std_logic_vector(220265084,28);
exponent <= conv_std_logic_vector(836,11);
WHEN "0010000010" =>
manhi <= conv_std_logic_vector(6135492,24);
manlo <= conv_std_logic_vector(182090040,28);
exponent <= conv_std_logic_vector(835,11);
WHEN "0010000011" =>
manhi <= conv_std_logic_vector(81012,24);
manlo <= conv_std_logic_vector(249275143,28);
exponent <= conv_std_logic_vector(834,11);
WHEN "0010000100" =>
manhi <= conv_std_logic_vector(8029967,24);
manlo <= conv_std_logic_vector(93846972,28);
exponent <= conv_std_logic_vector(832,11);
WHEN "0010000101" =>
manhi <= conv_std_logic_vector(1474889,24);
manlo <= conv_std_logic_vector(132978099,28);
exponent <= conv_std_logic_vector(831,11);
WHEN "0010000110" =>
manhi <= conv_std_logic_vector(10081081,24);
manlo <= conv_std_logic_vector(128680817,28);
exponent <= conv_std_logic_vector(829,11);
WHEN "0010000111" =>
manhi <= conv_std_logic_vector(2984014,24);
manlo <= conv_std_logic_vector(251002315,28);
exponent <= conv_std_logic_vector(828,11);
WHEN "0010001000" =>
manhi <= conv_std_logic_vector(12301786,24);
manlo <= conv_std_logic_vector(100124707,28);
exponent <= conv_std_logic_vector(826,11);
WHEN "0010001001" =>
manhi <= conv_std_logic_vector(4617918,24);
manlo <= conv_std_logic_vector(76665129,28);
exponent <= conv_std_logic_vector(825,11);
WHEN "0010001010" =>
manhi <= conv_std_logic_vector(14706104,24);
manlo <= conv_std_logic_vector(48076192,28);
exponent <= conv_std_logic_vector(823,11);
WHEN "0010001011" =>
manhi <= conv_std_logic_vector(6386916,24);
manlo <= conv_std_logic_vector(125471070,28);
exponent <= conv_std_logic_vector(822,11);
WHEN "0010001100" =>
manhi <= conv_std_logic_vector(266000,24);
manlo <= conv_std_logic_vector(57624675,28);
exponent <= conv_std_logic_vector(821,11);
WHEN "0010001101" =>
manhi <= conv_std_logic_vector(8302179,24);
manlo <= conv_std_logic_vector(114693198,28);
exponent <= conv_std_logic_vector(819,11);
WHEN "0010001110" =>
manhi <= conv_std_logic_vector(1675171,24);
manlo <= conv_std_logic_vector(254852645,28);
exponent <= conv_std_logic_vector(818,11);
WHEN "0010001111" =>
manhi <= conv_std_logic_vector(10375800,24);
manlo <= conv_std_logic_vector(179426511,28);
exponent <= conv_std_logic_vector(816,11);
WHEN "0010010000" =>
manhi <= conv_std_logic_vector(3200857,24);
manlo <= conv_std_logic_vector(52664716,28);
exponent <= conv_std_logic_vector(815,11);
WHEN "0010010001" =>
manhi <= conv_std_logic_vector(12620873,24);
manlo <= conv_std_logic_vector(164386702,28);
exponent <= conv_std_logic_vector(813,11);
WHEN "0010010010" =>
manhi <= conv_std_logic_vector(4852689,24);
manlo <= conv_std_logic_vector(149310968,28);
exponent <= conv_std_logic_vector(812,11);
WHEN "0010010011" =>
manhi <= conv_std_logic_vector(15051574,24);
manlo <= conv_std_logic_vector(73675604,28);
exponent <= conv_std_logic_vector(810,11);
WHEN "0010010100" =>
manhi <= conv_std_logic_vector(6641099,24);
manlo <= conv_std_logic_vector(42591307,28);
exponent <= conv_std_logic_vector(809,11);
WHEN "0010010101" =>
manhi <= conv_std_logic_vector(453017,24);
manlo <= conv_std_logic_vector(104016801,28);
exponent <= conv_std_logic_vector(808,11);
WHEN "0010010110" =>
manhi <= conv_std_logic_vector(8577378,24);
manlo <= conv_std_logic_vector(139419332,28);
exponent <= conv_std_logic_vector(806,11);
WHEN "0010010111" =>
manhi <= conv_std_logic_vector(1877652,24);
manlo <= conv_std_logic_vector(33778363,28);
exponent <= conv_std_logic_vector(805,11);
WHEN "0010011000" =>
manhi <= conv_std_logic_vector(10673753,24);
manlo <= conv_std_logic_vector(226837452,28);
exponent <= conv_std_logic_vector(803,11);
WHEN "0010011001" =>
manhi <= conv_std_logic_vector(3420078,24);
manlo <= conv_std_logic_vector(239554874,28);
exponent <= conv_std_logic_vector(802,11);
WHEN "0010011010" =>
manhi <= conv_std_logic_vector(12943462,24);
manlo <= conv_std_logic_vector(62486566,28);
exponent <= conv_std_logic_vector(800,11);
WHEN "0010011011" =>
manhi <= conv_std_logic_vector(5090036,24);
manlo <= conv_std_logic_vector(268173235,28);
exponent <= conv_std_logic_vector(799,11);
WHEN "0010011100" =>
manhi <= conv_std_logic_vector(15400835,24);
manlo <= conv_std_logic_vector(67898261,28);
exponent <= conv_std_logic_vector(797,11);
WHEN "0010011101" =>
manhi <= conv_std_logic_vector(6898071,24);
manlo <= conv_std_logic_vector(6935226,28);
exponent <= conv_std_logic_vector(796,11);
WHEN "0010011110" =>
manhi <= conv_std_logic_vector(642086,24);
manlo <= conv_std_logic_vector(193616024,28);
exponent <= conv_std_logic_vector(795,11);
WHEN "0010011111" =>
manhi <= conv_std_logic_vector(8855597,24);
manlo <= conv_std_logic_vector(108124901,28);
exponent <= conv_std_logic_vector(793,11);
WHEN "0010100000" =>
manhi <= conv_std_logic_vector(2082354,24);
manlo <= conv_std_logic_vector(37727361,28);
exponent <= conv_std_logic_vector(792,11);
WHEN "0010100001" =>
manhi <= conv_std_logic_vector(10974976,24);
manlo <= conv_std_logic_vector(133184200,28);
exponent <= conv_std_logic_vector(790,11);
WHEN "0010100010" =>
manhi <= conv_std_logic_vector(3641706,24);
manlo <= conv_std_logic_vector(35844662,28);
exponent <= conv_std_logic_vector(789,11);
WHEN "0010100011" =>
manhi <= conv_std_logic_vector(13269590,24);
manlo <= conv_std_logic_vector(175886280,28);
exponent <= conv_std_logic_vector(787,11);
WHEN "0010100100" =>
manhi <= conv_std_logic_vector(5329988,24);
manlo <= conv_std_logic_vector(236927280,28);
exponent <= conv_std_logic_vector(786,11);
WHEN "0010100101" =>
manhi <= conv_std_logic_vector(15753928,24);
manlo <= conv_std_logic_vector(191213976,28);
exponent <= conv_std_logic_vector(784,11);
WHEN "0010100110" =>
manhi <= conv_std_logic_vector(7157862,24);
manlo <= conv_std_logic_vector(181160855,28);
exponent <= conv_std_logic_vector(783,11);
WHEN "0010100111" =>
manhi <= conv_std_logic_vector(833230,24);
manlo <= conv_std_logic_vector(197197075,28);
exponent <= conv_std_logic_vector(782,11);
WHEN "0010101000" =>
manhi <= conv_std_logic_vector(9136869,24);
manlo <= conv_std_logic_vector(57456047,28);
exponent <= conv_std_logic_vector(780,11);
WHEN "0010101001" =>
manhi <= conv_std_logic_vector(2289302,24);
manlo <= conv_std_logic_vector(100400411,28);
exponent <= conv_std_logic_vector(779,11);
WHEN "0010101010" =>
manhi <= conv_std_logic_vector(11279504,24);
manlo <= conv_std_logic_vector(133702078,28);
exponent <= conv_std_logic_vector(777,11);
WHEN "0010101011" =>
manhi <= conv_std_logic_vector(3865765,24);
manlo <= conv_std_logic_vector(84791606,28);
exponent <= conv_std_logic_vector(776,11);
WHEN "0010101100" =>
manhi <= conv_std_logic_vector(13599297,24);
manlo <= conv_std_logic_vector(193913492,28);
exponent <= conv_std_logic_vector(774,11);
WHEN "0010101101" =>
manhi <= conv_std_logic_vector(5572573,24);
manlo <= conv_std_logic_vector(210951234,28);
exponent <= conv_std_logic_vector(773,11);
WHEN "0010101110" =>
manhi <= conv_std_logic_vector(16110896,24);
manlo <= conv_std_logic_vector(189751005,28);
exponent <= conv_std_logic_vector(771,11);
WHEN "0010101111" =>
manhi <= conv_std_logic_vector(7420505,24);
manlo <= conv_std_logic_vector(12771910,28);
exponent <= conv_std_logic_vector(770,11);
WHEN "0010110000" =>
manhi <= conv_std_logic_vector(1026472,24);
manlo <= conv_std_logic_vector(51864863,28);
exponent <= conv_std_logic_vector(769,11);
WHEN "0010110001" =>
manhi <= conv_std_logic_vector(9421227,24);
manlo <= conv_std_logic_vector(121664951,28);
exponent <= conv_std_logic_vector(767,11);
WHEN "0010110010" =>
manhi <= conv_std_logic_vector(2498521,24);
manlo <= conv_std_logic_vector(127312793,28);
exponent <= conv_std_logic_vector(766,11);
WHEN "0010110011" =>
manhi <= conv_std_logic_vector(11587374,24);
manlo <= conv_std_logic_vector(32431827,28);
exponent <= conv_std_logic_vector(764,11);
WHEN "0010110100" =>
manhi <= conv_std_logic_vector(4092283,24);
manlo <= conv_std_logic_vector(33663701,28);
exponent <= conv_std_logic_vector(763,11);
WHEN "0010110101" =>
manhi <= conv_std_logic_vector(13932622,24);
manlo <= conv_std_logic_vector(188745186,28);
exponent <= conv_std_logic_vector(761,11);
WHEN "0010110110" =>
manhi <= conv_std_logic_vector(5817820,24);
manlo <= conv_std_logic_vector(161368804,28);
exponent <= conv_std_logic_vector(760,11);
WHEN "0010110111" =>
manhi <= conv_std_logic_vector(16471781,24);
manlo <= conv_std_logic_vector(201946939,28);
exponent <= conv_std_logic_vector(758,11);
WHEN "0010111000" =>
manhi <= conv_std_logic_vector(7686029,24);
manlo <= conv_std_logic_vector(114155240,28);
exponent <= conv_std_logic_vector(757,11);
WHEN "0010111001" =>
manhi <= conv_std_logic_vector(1221834,24);
manlo <= conv_std_logic_vector(30217784,28);
exponent <= conv_std_logic_vector(756,11);
WHEN "0010111010" =>
manhi <= conv_std_logic_vector(9708705,24);
manlo <= conv_std_logic_vector(265245416,28);
exponent <= conv_std_logic_vector(754,11);
WHEN "0010111011" =>
manhi <= conv_std_logic_vector(2710036,24);
manlo <= conv_std_logic_vector(96582323,28);
exponent <= conv_std_logic_vector(753,11);
WHEN "0010111100" =>
manhi <= conv_std_logic_vector(11898622,24);
manlo <= conv_std_logic_vector(8685564,28);
exponent <= conv_std_logic_vector(751,11);
WHEN "0010111101" =>
manhi <= conv_std_logic_vector(4321286,24);
manlo <= conv_std_logic_vector(145205332,28);
exponent <= conv_std_logic_vector(750,11);
WHEN "0010111110" =>
manhi <= conv_std_logic_vector(14269605,24);
manlo <= conv_std_logic_vector(79792252,28);
exponent <= conv_std_logic_vector(748,11);
WHEN "0010111111" =>
manhi <= conv_std_logic_vector(6065758,24);
manlo <= conv_std_logic_vector(144408455,28);
exponent <= conv_std_logic_vector(747,11);
WHEN "0011000000" =>
manhi <= conv_std_logic_vector(29705,24);
manlo <= conv_std_logic_vector(111518540,28);
exponent <= conv_std_logic_vector(746,11);
WHEN "0011000001" =>
manhi <= conv_std_logic_vector(7954467,24);
manlo <= conv_std_logic_vector(116097284,28);
exponent <= conv_std_logic_vector(744,11);
WHEN "0011000010" =>
manhi <= conv_std_logic_vector(1419339,24);
manlo <= conv_std_logic_vector(204212637,28);
exponent <= conv_std_logic_vector(743,11);
WHEN "0011000011" =>
manhi <= conv_std_logic_vector(9999339,24);
manlo <= conv_std_logic_vector(15580207,28);
exponent <= conv_std_logic_vector(741,11);
WHEN "0011000100" =>
manhi <= conv_std_logic_vector(2923872,24);
manlo <= conv_std_logic_vector(59726033,28);
exponent <= conv_std_logic_vector(740,11);
WHEN "0011000101" =>
manhi <= conv_std_logic_vector(12213285,24);
manlo <= conv_std_logic_vector(81348195,28);
exponent <= conv_std_logic_vector(738,11);
WHEN "0011000110" =>
manhi <= conv_std_logic_vector(4552802,24);
manlo <= conv_std_logic_vector(224758002,28);
exponent <= conv_std_logic_vector(737,11);
WHEN "0011000111" =>
manhi <= conv_std_logic_vector(14610285,24);
manlo <= conv_std_logic_vector(171839647,28);
exponent <= conv_std_logic_vector(735,11);
WHEN "0011001000" =>
manhi <= conv_std_logic_vector(6316417,24);
manlo <= conv_std_logic_vector(33901818,28);
exponent <= conv_std_logic_vector(734,11);
WHEN "0011001001" =>
manhi <= conv_std_logic_vector(214129,24);
manlo <= conv_std_logic_vector(187432044,28);
exponent <= conv_std_logic_vector(733,11);
WHEN "0011001010" =>
manhi <= conv_std_logic_vector(8225851,24);
manlo <= conv_std_logic_vector(10972428,28);
exponent <= conv_std_logic_vector(731,11);
WHEN "0011001011" =>
manhi <= conv_std_logic_vector(1619012,24);
manlo <= conv_std_logic_vector(177473085,28);
exponent <= conv_std_logic_vector(730,11);
WHEN "0011001100" =>
manhi <= conv_std_logic_vector(10293161,24);
manlo <= conv_std_logic_vector(74648462,28);
exponent <= conv_std_logic_vector(728,11);
WHEN "0011001101" =>
manhi <= conv_std_logic_vector(3140054,24);
manlo <= conv_std_logic_vector(142465582,28);
exponent <= conv_std_logic_vector(727,11);
WHEN "0011001110" =>
manhi <= conv_std_logic_vector(12531401,24);
manlo <= conv_std_logic_vector(110062606,28);
exponent <= conv_std_logic_vector(725,11);
WHEN "0011001111" =>
manhi <= conv_std_logic_vector(4786859,24);
manlo <= conv_std_logic_vector(158003247,28);
exponent <= conv_std_logic_vector(724,11);
WHEN "0011010000" =>
manhi <= conv_std_logic_vector(14954704,24);
manlo <= conv_std_logic_vector(82587752,28);
exponent <= conv_std_logic_vector(722,11);
WHEN "0011010001" =>
manhi <= conv_std_logic_vector(6569826,24);
manlo <= conv_std_logic_vector(59098716,28);
exponent <= conv_std_logic_vector(721,11);
WHEN "0011010010" =>
manhi <= conv_std_logic_vector(400577,24);
manlo <= conv_std_logic_vector(185198176,28);
exponent <= conv_std_logic_vector(720,11);
WHEN "0011010011" =>
manhi <= conv_std_logic_vector(8500212,24);
manlo <= conv_std_logic_vector(153765179,28);
exponent <= conv_std_logic_vector(718,11);
WHEN "0011010100" =>
manhi <= conv_std_logic_vector(1820876,24);
manlo <= conv_std_logic_vector(159783545,28);
exponent <= conv_std_logic_vector(717,11);
WHEN "0011010101" =>
manhi <= conv_std_logic_vector(10590207,24);
manlo <= conv_std_logic_vector(172648734,28);
exponent <= conv_std_logic_vector(715,11);
WHEN "0011010110" =>
manhi <= conv_std_logic_vector(3358609,24);
manlo <= conv_std_logic_vector(8670608,28);
exponent <= conv_std_logic_vector(714,11);
WHEN "0011010111" =>
manhi <= conv_std_logic_vector(12853008,24);
manlo <= conv_std_logic_vector(64863304,28);
exponent <= conv_std_logic_vector(712,11);
WHEN "0011011000" =>
manhi <= conv_std_logic_vector(5023484,24);
manlo <= conv_std_logic_vector(180279682,28);
exponent <= conv_std_logic_vector(711,11);
WHEN "0011011001" =>
manhi <= conv_std_logic_vector(15302902,24);
manlo <= conv_std_logic_vector(86126918,28);
exponent <= conv_std_logic_vector(709,11);
WHEN "0011011010" =>
manhi <= conv_std_logic_vector(6826016,24);
manlo <= conv_std_logic_vector(315265,28);
exponent <= conv_std_logic_vector(708,11);
WHEN "0011011011" =>
manhi <= conv_std_logic_vector(589071,24);
manlo <= conv_std_logic_vector(160219440,28);
exponent <= conv_std_logic_vector(707,11);
WHEN "0011011100" =>
manhi <= conv_std_logic_vector(8777584,24);
manlo <= conv_std_logic_vector(189361726,28);
exponent <= conv_std_logic_vector(705,11);
WHEN "0011011101" =>
manhi <= conv_std_logic_vector(2024955,24);
manlo <= conv_std_logic_vector(162543151,28);
exponent <= conv_std_logic_vector(704,11);
WHEN "0011011110" =>
manhi <= conv_std_logic_vector(10890513,24);
manlo <= conv_std_logic_vector(142859647,28);
exponent <= conv_std_logic_vector(702,11);
WHEN "0011011111" =>
manhi <= conv_std_logic_vector(3579561,24);
manlo <= conv_std_logic_vector(203359193,28);
exponent <= conv_std_logic_vector(701,11);
WHEN "0011100000" =>
manhi <= conv_std_logic_vector(13178144,24);
manlo <= conv_std_logic_vector(27387766,28);
exponent <= conv_std_logic_vector(699,11);
WHEN "0011100001" =>
manhi <= conv_std_logic_vector(5262706,24);
manlo <= conv_std_logic_vector(72167880,28);
exponent <= conv_std_logic_vector(698,11);
WHEN "0011100010" =>
manhi <= conv_std_logic_vector(15654921,24);
manlo <= conv_std_logic_vector(40507130,28);
exponent <= conv_std_logic_vector(696,11);
WHEN "0011100011" =>
manhi <= conv_std_logic_vector(7085016,24);
manlo <= conv_std_logic_vector(263640644,28);
exponent <= conv_std_logic_vector(695,11);
WHEN "0011100100" =>
manhi <= conv_std_logic_vector(779633,24);
manlo <= conv_std_logic_vector(233308885,28);
exponent <= conv_std_logic_vector(694,11);
WHEN "0011100101" =>
manhi <= conv_std_logic_vector(9058000,24);
manlo <= conv_std_logic_vector(127336500,28);
exponent <= conv_std_logic_vector(692,11);
WHEN "0011100110" =>
manhi <= conv_std_logic_vector(2231273,24);
manlo <= conv_std_logic_vector(267969878,28);
exponent <= conv_std_logic_vector(691,11);
WHEN "0011100111" =>
manhi <= conv_std_logic_vector(11194114,24);
manlo <= conv_std_logic_vector(191206462,28);
exponent <= conv_std_logic_vector(689,11);
WHEN "0011101000" =>
manhi <= conv_std_logic_vector(3802939,24);
manlo <= conv_std_logic_vector(6046440,28);
exponent <= conv_std_logic_vector(688,11);
WHEN "0011101001" =>
manhi <= conv_std_logic_vector(13506847,24);
manlo <= conv_std_logic_vector(192101060,28);
exponent <= conv_std_logic_vector(686,11);
WHEN "0011101010" =>
manhi <= conv_std_logic_vector(5504552,24);
manlo <= conv_std_logic_vector(234133234,28);
exponent <= conv_std_logic_vector(685,11);
WHEN "0011101011" =>
manhi <= conv_std_logic_vector(16010802,24);
manlo <= conv_std_logic_vector(194370273,28);
exponent <= conv_std_logic_vector(683,11);
WHEN "0011101100" =>
manhi <= conv_std_logic_vector(7346860,24);
manlo <= conv_std_logic_vector(2864438,28);
exponent <= conv_std_logic_vector(682,11);
WHEN "0011101101" =>
manhi <= conv_std_logic_vector(972287,24);
manlo <= conv_std_logic_vector(54536955,28);
exponent <= conv_std_logic_vector(681,11);
WHEN "0011101110" =>
manhi <= conv_std_logic_vector(9341493,24);
manlo <= conv_std_logic_vector(74572905,28);
exponent <= conv_std_logic_vector(679,11);
WHEN "0011101111" =>
manhi <= conv_std_logic_vector(2439856,24);
manlo <= conv_std_logic_vector(93006730,28);
exponent <= conv_std_logic_vector(678,11);
WHEN "0011110000" =>
manhi <= conv_std_logic_vector(11501047,24);
manlo <= conv_std_logic_vector(92098230,28);
exponent <= conv_std_logic_vector(676,11);
WHEN "0011110001" =>
manhi <= conv_std_logic_vector(4028767,24);
manlo <= conv_std_logic_vector(115940390,28);
exponent <= conv_std_logic_vector(675,11);
WHEN "0011110010" =>
manhi <= conv_std_logic_vector(13839158,24);
manlo <= conv_std_logic_vector(62227559,28);
exponent <= conv_std_logic_vector(673,11);
WHEN "0011110011" =>
manhi <= conv_std_logic_vector(5749053,24);
manlo <= conv_std_logic_vector(76824142,28);
exponent <= conv_std_logic_vector(672,11);
WHEN "0011110100" =>
manhi <= conv_std_logic_vector(16370589,24);
manlo <= conv_std_logic_vector(114548734,28);
exponent <= conv_std_logic_vector(670,11);
WHEN "0011110101" =>
manhi <= conv_std_logic_vector(7611576,24);
manlo <= conv_std_logic_vector(73252889,28);
exponent <= conv_std_logic_vector(669,11);
WHEN "0011110110" =>
manhi <= conv_std_logic_vector(1167054,24);
manlo <= conv_std_logic_vector(146134399,28);
exponent <= conv_std_logic_vector(668,11);
WHEN "0011110111" =>
manhi <= conv_std_logic_vector(9628096,24);
manlo <= conv_std_logic_vector(236331104,28);
exponent <= conv_std_logic_vector(666,11);
WHEN "0011111000" =>
manhi <= conv_std_logic_vector(2650727,24);
manlo <= conv_std_logic_vector(132284651,28);
exponent <= conv_std_logic_vector(665,11);
WHEN "0011111001" =>
manhi <= conv_std_logic_vector(11811347,24);
manlo <= conv_std_logic_vector(263325685,28);
exponent <= conv_std_logic_vector(663,11);
WHEN "0011111010" =>
manhi <= conv_std_logic_vector(4257073,24);
manlo <= conv_std_logic_vector(236873507,28);
exponent <= conv_std_logic_vector(662,11);
WHEN "0011111011" =>
manhi <= conv_std_logic_vector(14175115,24);
manlo <= conv_std_logic_vector(61615323,28);
exponent <= conv_std_logic_vector(660,11);
WHEN "0011111100" =>
manhi <= conv_std_logic_vector(5996236,24);
manlo <= conv_std_logic_vector(169476567,28);
exponent <= conv_std_logic_vector(659,11);
WHEN "0011111101" =>
manhi <= conv_std_logic_vector(16734324,24);
manlo <= conv_std_logic_vector(29597837,28);
exponent <= conv_std_logic_vector(657,11);
WHEN "0011111110" =>
manhi <= conv_std_logic_vector(7879197,24);
manlo <= conv_std_logic_vector(79755942,28);
exponent <= conv_std_logic_vector(656,11);
WHEN "0011111111" =>
manhi <= conv_std_logic_vector(1363959,24);
manlo <= conv_std_logic_vector(24177677,28);
exponent <= conv_std_logic_vector(655,11);
WHEN "0100000000" =>
manhi <= conv_std_logic_vector(9917845,24);
manlo <= conv_std_logic_vector(112021148,28);
exponent <= conv_std_logic_vector(653,11);
WHEN "0100000001" =>
manhi <= conv_std_logic_vector(2863912,24);
manlo <= conv_std_logic_vector(148304045,28);
exponent <= conv_std_logic_vector(652,11);
WHEN "0100000010" =>
manhi <= conv_std_logic_vector(12125053,24);
manlo <= conv_std_logic_vector(156617262,28);
exponent <= conv_std_logic_vector(650,11);
WHEN "0100000011" =>
manhi <= conv_std_logic_vector(4487885,24);
manlo <= conv_std_logic_vector(151904422,28);
exponent <= conv_std_logic_vector(649,11);
WHEN "0100000100" =>
manhi <= conv_std_logic_vector(14514758,24);
manlo <= conv_std_logic_vector(193824214,28);
exponent <= conv_std_logic_vector(647,11);
WHEN "0100000101" =>
manhi <= conv_std_logic_vector(6246132,24);
manlo <= conv_std_logic_vector(93361418,28);
exponent <= conv_std_logic_vector(646,11);
WHEN "0100000110" =>
manhi <= conv_std_logic_vector(162417,24);
manlo <= conv_std_logic_vector(12929741,28);
exponent <= conv_std_logic_vector(645,11);
WHEN "0100000111" =>
manhi <= conv_std_logic_vector(8149754,24);
manlo <= conv_std_logic_vector(257063442,28);
exponent <= conv_std_logic_vector(643,11);
WHEN "0100001000" =>
manhi <= conv_std_logic_vector(1563024,24);
manlo <= conv_std_logic_vector(78378796,28);
exponent <= conv_std_logic_vector(642,11);
WHEN "0100001001" =>
manhi <= conv_std_logic_vector(10210773,24);
manlo <= conv_std_logic_vector(106907060,28);
exponent <= conv_std_logic_vector(640,11);
WHEN "0100001010" =>
manhi <= conv_std_logic_vector(3079436,24);
manlo <= conv_std_logic_vector(245979562,28);
exponent <= conv_std_logic_vector(639,11);
WHEN "0100001011" =>
manhi <= conv_std_logic_vector(12442201,24);
manlo <= conv_std_logic_vector(137868870,28);
exponent <= conv_std_logic_vector(637,11);
WHEN "0100001100" =>
manhi <= conv_std_logic_vector(4721229,24);
manlo <= conv_std_logic_vector(261058204,28);
exponent <= conv_std_logic_vector(636,11);
WHEN "0100001101" =>
manhi <= conv_std_logic_vector(14858129,24);
manlo <= conv_std_logic_vector(43405174,28);
exponent <= conv_std_logic_vector(634,11);
WHEN "0100001110" =>
manhi <= conv_std_logic_vector(6498770,24);
manlo <= conv_std_logic_vector(53338521,28);
exponent <= conv_std_logic_vector(633,11);
WHEN "0100001111" =>
manhi <= conv_std_logic_vector(348297,24);
manlo <= conv_std_logic_vector(158641329,28);
exponent <= conv_std_logic_vector(632,11);
WHEN "0100010000" =>
manhi <= conv_std_logic_vector(8423281,24);
manlo <= conv_std_logic_vector(128446906,28);
exponent <= conv_std_logic_vector(630,11);
WHEN "0100010001" =>
manhi <= conv_std_logic_vector(1764273,24);
manlo <= conv_std_logic_vector(230657816,28);
exponent <= conv_std_logic_vector(629,11);
WHEN "0100010010" =>
manhi <= conv_std_logic_vector(10506915,24);
manlo <= conv_std_logic_vector(191032874,28);
exponent <= conv_std_logic_vector(627,11);
WHEN "0100010011" =>
manhi <= conv_std_logic_vector(3297326,24);
manlo <= conv_std_logic_vector(68145509,28);
exponent <= conv_std_logic_vector(626,11);
WHEN "0100010100" =>
manhi <= conv_std_logic_vector(12762829,24);
manlo <= conv_std_logic_vector(146161159,28);
exponent <= conv_std_logic_vector(624,11);
WHEN "0100010101" =>
manhi <= conv_std_logic_vector(4957134,24);
manlo <= conv_std_logic_vector(240027976,28);
exponent <= conv_std_logic_vector(623,11);
WHEN "0100010110" =>
manhi <= conv_std_logic_vector(15205267,24);
manlo <= conv_std_logic_vector(119370809,28);
exponent <= conv_std_logic_vector(621,11);
WHEN "0100010111" =>
manhi <= conv_std_logic_vector(6754180,24);
manlo <= conv_std_logic_vector(73501816,28);
exponent <= conv_std_logic_vector(620,11);
WHEN "0100011000" =>
manhi <= conv_std_logic_vector(536217,24);
manlo <= conv_std_logic_vector(220758658,28);
exponent <= conv_std_logic_vector(619,11);
WHEN "0100011001" =>
manhi <= conv_std_logic_vector(8699809,24);
manlo <= conv_std_logic_vector(117402516,28);
exponent <= conv_std_logic_vector(617,11);
WHEN "0100011010" =>
manhi <= conv_std_logic_vector(1967731,24);
manlo <= conv_std_logic_vector(204336317,28);
exponent <= conv_std_logic_vector(616,11);
WHEN "0100011011" =>
manhi <= conv_std_logic_vector(10806307,24);
manlo <= conv_std_logic_vector(168773516,28);
exponent <= conv_std_logic_vector(614,11);
WHEN "0100011100" =>
manhi <= conv_std_logic_vector(3517606,24);
manlo <= conv_std_logic_vector(138553816,28);
exponent <= conv_std_logic_vector(613,11);
WHEN "0100011101" =>
manhi <= conv_std_logic_vector(13086975,24);
manlo <= conv_std_logic_vector(231838082,28);
exponent <= conv_std_logic_vector(611,11);
WHEN "0100011110" =>
manhi <= conv_std_logic_vector(5195628,24);
manlo <= conv_std_logic_vector(114805284,28);
exponent <= conv_std_logic_vector(610,11);
WHEN "0100011111" =>
manhi <= conv_std_logic_vector(15556214,24);
manlo <= conv_std_logic_vector(245890169,28);
exponent <= conv_std_logic_vector(608,11);
WHEN "0100100000" =>
manhi <= conv_std_logic_vector(7012392,24);
manlo <= conv_std_logic_vector(266576824,28);
exponent <= conv_std_logic_vector(607,11);
WHEN "0100100001" =>
manhi <= conv_std_logic_vector(726200,24);
manlo <= conv_std_logic_vector(33318175,28);
exponent <= conv_std_logic_vector(606,11);
WHEN "0100100010" =>
manhi <= conv_std_logic_vector(8979371,24);
manlo <= conv_std_logic_vector(206515377,28);
exponent <= conv_std_logic_vector(604,11);
WHEN "0100100011" =>
manhi <= conv_std_logic_vector(2173422,24);
manlo <= conv_std_logic_vector(61774637,28);
exponent <= conv_std_logic_vector(603,11);
WHEN "0100100100" =>
manhi <= conv_std_logic_vector(11108984,24);
manlo <= conv_std_logic_vector(216833386,28);
exponent <= conv_std_logic_vector(601,11);
WHEN "0100100101" =>
manhi <= conv_std_logic_vector(3740303,24);
manlo <= conv_std_logic_vector(252090990,28);
exponent <= conv_std_logic_vector(600,11);
WHEN "0100100110" =>
manhi <= conv_std_logic_vector(13414679,24);
manlo <= conv_std_logic_vector(20856890,28);
exponent <= conv_std_logic_vector(598,11);
WHEN "0100100111" =>
manhi <= conv_std_logic_vector(5436738,24);
manlo <= conv_std_logic_vector(262578382,28);
exponent <= conv_std_logic_vector(597,11);
WHEN "0100101000" =>
manhi <= conv_std_logic_vector(15911013,24);
manlo <= conv_std_logic_vector(100481506,28);
exponent <= conv_std_logic_vector(595,11);
WHEN "0100101001" =>
manhi <= conv_std_logic_vector(7273439,24);
manlo <= conv_std_logic_vector(29586843,28);
exponent <= conv_std_logic_vector(594,11);
WHEN "0100101010" =>
manhi <= conv_std_logic_vector(918267,24);
manlo <= conv_std_logic_vector(33154287,28);
exponent <= conv_std_logic_vector(593,11);
WHEN "0100101011" =>
manhi <= conv_std_logic_vector(9262001,24);
manlo <= conv_std_logic_vector(206947959,28);
exponent <= conv_std_logic_vector(591,11);
WHEN "0100101100" =>
manhi <= conv_std_logic_vector(2381369,24);
manlo <= conv_std_logic_vector(205146615,28);
exponent <= conv_std_logic_vector(590,11);
WHEN "0100101101" =>
manhi <= conv_std_logic_vector(11414983,24);
manlo <= conv_std_logic_vector(80080029,28);
exponent <= conv_std_logic_vector(588,11);
WHEN "0100101110" =>
manhi <= conv_std_logic_vector(3965445,24);
manlo <= conv_std_logic_vector(12487826,28);
exponent <= conv_std_logic_vector(587,11);
WHEN "0100101111" =>
manhi <= conv_std_logic_vector(13745978,24);
manlo <= conv_std_logic_vector(58199715,28);
exponent <= conv_std_logic_vector(585,11);
WHEN "0100110000" =>
manhi <= conv_std_logic_vector(5680495,24);
manlo <= conv_std_logic_vector(70463110,28);
exponent <= conv_std_logic_vector(584,11);
WHEN "0100110001" =>
manhi <= conv_std_logic_vector(16269705,24);
manlo <= conv_std_logic_vector(20654997,28);
exponent <= conv_std_logic_vector(582,11);
WHEN "0100110010" =>
manhi <= conv_std_logic_vector(7537349,24);
manlo <= conv_std_logic_vector(192319832,28);
exponent <= conv_std_logic_vector(581,11);
WHEN "0100110011" =>
manhi <= conv_std_logic_vector(1112441,24);
manlo <= conv_std_logic_vector(186880957,28);
exponent <= conv_std_logic_vector(580,11);
WHEN "0100110100" =>
manhi <= conv_std_logic_vector(9547733,24);
manlo <= conv_std_logic_vector(27940083,28);
exponent <= conv_std_logic_vector(578,11);
WHEN "0100110101" =>
manhi <= conv_std_logic_vector(2591599,24);
manlo <= conv_std_logic_vector(35045549,28);
exponent <= conv_std_logic_vector(577,11);
WHEN "0100110110" =>
manhi <= conv_std_logic_vector(11724339,24);
manlo <= conv_std_logic_vector(146438512,28);
exponent <= conv_std_logic_vector(575,11);
WHEN "0100110111" =>
manhi <= conv_std_logic_vector(4193056,24);
manlo <= conv_std_logic_vector(175344679,28);
exponent <= conv_std_logic_vector(574,11);
WHEN "0100111000" =>
manhi <= conv_std_logic_vector(14080912,24);
manlo <= conv_std_logic_vector(198508677,28);
exponent <= conv_std_logic_vector(572,11);
WHEN "0100111001" =>
manhi <= conv_std_logic_vector(5926926,24);
manlo <= conv_std_logic_vector(83904648,28);
exponent <= conv_std_logic_vector(571,11);
WHEN "0100111010" =>
manhi <= conv_std_logic_vector(16632332,24);
manlo <= conv_std_logic_vector(199957404,28);
exponent <= conv_std_logic_vector(569,11);
WHEN "0100111011" =>
manhi <= conv_std_logic_vector(7804156,24);
manlo <= conv_std_logic_vector(65532420,28);
exponent <= conv_std_logic_vector(568,11);
WHEN "0100111100" =>
manhi <= conv_std_logic_vector(1308746,24);
manlo <= conv_std_logic_vector(260058525,28);
exponent <= conv_std_logic_vector(567,11);
WHEN "0100111101" =>
manhi <= conv_std_logic_vector(9836599,24);
manlo <= conv_std_logic_vector(214756046,28);
exponent <= conv_std_logic_vector(565,11);
WHEN "0100111110" =>
manhi <= conv_std_logic_vector(2804135,24);
manlo <= conv_std_logic_vector(98759674,28);
exponent <= conv_std_logic_vector(564,11);
WHEN "0100111111" =>
manhi <= conv_std_logic_vector(12037090,24);
manlo <= conv_std_logic_vector(105879341,28);
exponent <= conv_std_logic_vector(562,11);
WHEN "0101000000" =>
manhi <= conv_std_logic_vector(4423165,24);
manlo <= conv_std_logic_vector(233069674,28);
exponent <= conv_std_logic_vector(561,11);
WHEN "0101000001" =>
manhi <= conv_std_logic_vector(14419522,24);
manlo <= conv_std_logic_vector(144218340,28);
exponent <= conv_std_logic_vector(559,11);
WHEN "0101000010" =>
manhi <= conv_std_logic_vector(6176061,24);
manlo <= conv_std_logic_vector(128557517,28);
exponent <= conv_std_logic_vector(558,11);
WHEN "0101000011" =>
manhi <= conv_std_logic_vector(110861,24);
manlo <= conv_std_logic_vector(210451229,28);
exponent <= conv_std_logic_vector(557,11);
WHEN "0101000100" =>
manhi <= conv_std_logic_vector(8073890,24);
manlo <= conv_std_logic_vector(126309403,28);
exponent <= conv_std_logic_vector(555,11);
WHEN "0101000101" =>
manhi <= conv_std_logic_vector(1507206,24);
manlo <= conv_std_logic_vector(86368556,28);
exponent <= conv_std_logic_vector(554,11);
WHEN "0101000110" =>
manhi <= conv_std_logic_vector(10128636,24);
manlo <= conv_std_logic_vector(70724454,28);
exponent <= conv_std_logic_vector(552,11);
WHEN "0101000111" =>
manhi <= conv_std_logic_vector(3019003,24);
manlo <= conv_std_logic_vector(212024500,28);
exponent <= conv_std_logic_vector(551,11);
WHEN "0101001000" =>
manhi <= conv_std_logic_vector(12353273,24);
manlo <= conv_std_logic_vector(25338267,28);
exponent <= conv_std_logic_vector(549,11);
WHEN "0101001001" =>
manhi <= conv_std_logic_vector(4655800,24);
manlo <= conv_std_logic_vector(26358145,28);
exponent <= conv_std_logic_vector(548,11);
WHEN "0101001010" =>
manhi <= conv_std_logic_vector(14761847,24);
manlo <= conv_std_logic_vector(252137457,28);
exponent <= conv_std_logic_vector(546,11);
WHEN "0101001011" =>
manhi <= conv_std_logic_vector(6427930,24);
manlo <= conv_std_logic_vector(116530320,28);
exponent <= conv_std_logic_vector(545,11);
WHEN "0101001100" =>
manhi <= conv_std_logic_vector(296176,24);
manlo <= conv_std_logic_vector(162393576,28);
exponent <= conv_std_logic_vector(544,11);
WHEN "0101001101" =>
manhi <= conv_std_logic_vector(8346584,24);
manlo <= conv_std_logic_vector(140031506,28);
exponent <= conv_std_logic_vector(542,11);
WHEN "0101001110" =>
manhi <= conv_std_logic_vector(1707843,24);
manlo <= conv_std_logic_vector(105232250,28);
exponent <= conv_std_logic_vector(541,11);
WHEN "0101001111" =>
manhi <= conv_std_logic_vector(10423877,24);
manlo <= conv_std_logic_vector(74257288,28);
exponent <= conv_std_logic_vector(539,11);
WHEN "0101010000" =>
manhi <= conv_std_logic_vector(3236229,24);
manlo <= conv_std_logic_vector(265138481,28);
exponent <= conv_std_logic_vector(538,11);
WHEN "0101010001" =>
manhi <= conv_std_logic_vector(12672925,24);
manlo <= conv_std_logic_vector(81471742,28);
exponent <= conv_std_logic_vector(536,11);
WHEN "0101010010" =>
manhi <= conv_std_logic_vector(4890987,24);
manlo <= conv_std_logic_vector(13504322,28);
exponent <= conv_std_logic_vector(535,11);
WHEN "0101010011" =>
manhi <= conv_std_logic_vector(15107929,24);
manlo <= conv_std_logic_vector(192561070,28);
exponent <= conv_std_logic_vector(533,11);
WHEN "0101010100" =>
manhi <= conv_std_logic_vector(6682563,24);
manlo <= conv_std_logic_vector(47334412,28);
exponent <= conv_std_logic_vector(532,11);
WHEN "0101010101" =>
manhi <= conv_std_logic_vector(483524,24);
manlo <= conv_std_logic_vector(243414774,28);
exponent <= conv_std_logic_vector(531,11);
WHEN "0101010110" =>
manhi <= conv_std_logic_vector(8622270,24);
manlo <= conv_std_logic_vector(235144322,28);
exponent <= conv_std_logic_vector(529,11);
WHEN "0101010111" =>
manhi <= conv_std_logic_vector(1910682,24);
manlo <= conv_std_logic_vector(20388866,28);
exponent <= conv_std_logic_vector(528,11);
WHEN "0101011000" =>
manhi <= conv_std_logic_vector(10722358,24);
manlo <= conv_std_logic_vector(913747,28);
exponent <= conv_std_logic_vector(526,11);
WHEN "0101011001" =>
manhi <= conv_std_logic_vector(3455839,24);
manlo <= conv_std_logic_vector(223781205,28);
exponent <= conv_std_logic_vector(525,11);
WHEN "0101011010" =>
manhi <= conv_std_logic_vector(12996085,24);
manlo <= conv_std_logic_vector(24989984,28);
exponent <= conv_std_logic_vector(523,11);
WHEN "0101011011" =>
manhi <= conv_std_logic_vector(5128754,24);
manlo <= conv_std_logic_vector(197545335,28);
exponent <= conv_std_logic_vector(522,11);
WHEN "0101011100" =>
manhi <= conv_std_logic_vector(15457809,24);
manlo <= conv_std_logic_vector(24315860,28);
exponent <= conv_std_logic_vector(520,11);
WHEN "0101011101" =>
manhi <= conv_std_logic_vector(6939990,24);
manlo <= conv_std_logic_vector(8842978,28);
exponent <= conv_std_logic_vector(519,11);
WHEN "0101011110" =>
manhi <= conv_std_logic_vector(672929,24);
manlo <= conv_std_logic_vector(830489,28);
exponent <= conv_std_logic_vector(518,11);
WHEN "0101011111" =>
manhi <= conv_std_logic_vector(8900982,24);
manlo <= conv_std_logic_vector(98890321,28);
exponent <= conv_std_logic_vector(516,11);
WHEN "0101100000" =>
manhi <= conv_std_logic_vector(2115746,24);
manlo <= conv_std_logic_vector(142837003,28);
exponent <= conv_std_logic_vector(515,11);
WHEN "0101100001" =>
manhi <= conv_std_logic_vector(11024113,24);
manlo <= conv_std_logic_vector(266701758,28);
exponent <= conv_std_logic_vector(513,11);
WHEN "0101100010" =>
manhi <= conv_std_logic_vector(3677859,24);
manlo <= conv_std_logic_vector(129840563,28);
exponent <= conv_std_logic_vector(512,11);
WHEN "0101100011" =>
manhi <= conv_std_logic_vector(13322790,24);
manlo <= conv_std_logic_vector(255615990,28);
exponent <= conv_std_logic_vector(510,11);
WHEN "0101100100" =>
manhi <= conv_std_logic_vector(5369131,24);
manlo <= conv_std_logic_vector(127156783,28);
exponent <= conv_std_logic_vector(509,11);
WHEN "0101100101" =>
manhi <= conv_std_logic_vector(15811527,24);
manlo <= conv_std_logic_vector(196077973,28);
exponent <= conv_std_logic_vector(507,11);
WHEN "0101100110" =>
manhi <= conv_std_logic_vector(7200241,24);
manlo <= conv_std_logic_vector(178260644,28);
exponent <= conv_std_logic_vector(506,11);
WHEN "0101100111" =>
manhi <= conv_std_logic_vector(864411,24);
manlo <= conv_std_logic_vector(121424610,28);
exponent <= conv_std_logic_vector(505,11);
WHEN "0101101000" =>
manhi <= conv_std_logic_vector(9182752,24);
manlo <= conv_std_logic_vector(52100447,28);
exponent <= conv_std_logic_vector(503,11);
WHEN "0101101001" =>
manhi <= conv_std_logic_vector(2323061,24);
manlo <= conv_std_logic_vector(49429697,28);
exponent <= conv_std_logic_vector(502,11);
WHEN "0101101010" =>
manhi <= conv_std_logic_vector(11329181,24);
manlo <= conv_std_logic_vector(50166358,28);
exponent <= conv_std_logic_vector(500,11);
WHEN "0101101011" =>
manhi <= conv_std_logic_vector(3902315,24);
manlo <= conv_std_logic_vector(102248985,28);
exponent <= conv_std_logic_vector(499,11);
WHEN "0101101100" =>
manhi <= conv_std_logic_vector(13653081,24);
manlo <= conv_std_logic_vector(212703346,28);
exponent <= conv_std_logic_vector(497,11);
WHEN "0101101101" =>
manhi <= conv_std_logic_vector(5612145,24);
manlo <= conv_std_logic_vector(239735388,28);
exponent <= conv_std_logic_vector(496,11);
WHEN "0101101110" =>
manhi <= conv_std_logic_vector(16169127,24);
manlo <= conv_std_logic_vector(205528034,28);
exponent <= conv_std_logic_vector(494,11);
WHEN "0101101111" =>
manhi <= conv_std_logic_vector(7463349,24);
manlo <= conv_std_logic_vector(17797346,28);
exponent <= conv_std_logic_vector(493,11);
WHEN "0101110000" =>
manhi <= conv_std_logic_vector(1057995,24);
manlo <= conv_std_logic_vector(16251369,28);
exponent <= conv_std_logic_vector(492,11);
WHEN "0101110001" =>
manhi <= conv_std_logic_vector(9467613,24);
manlo <= conv_std_logic_vector(244949044,28);
exponent <= conv_std_logic_vector(490,11);
WHEN "0101110010" =>
manhi <= conv_std_logic_vector(2532650,24);
manlo <= conv_std_logic_vector(194268012,28);
exponent <= conv_std_logic_vector(489,11);
WHEN "0101110011" =>
manhi <= conv_std_logic_vector(11637595,24);
manlo <= conv_std_logic_vector(246328755,28);
exponent <= conv_std_logic_vector(487,11);
WHEN "0101110100" =>
manhi <= conv_std_logic_vector(4129234,24);
manlo <= conv_std_logic_vector(69393408,28);
exponent <= conv_std_logic_vector(486,11);
WHEN "0101110101" =>
manhi <= conv_std_logic_vector(13986996,24);
manlo <= conv_std_logic_vector(255528468,28);
exponent <= conv_std_logic_vector(484,11);
WHEN "0101110110" =>
manhi <= conv_std_logic_vector(5857826,24);
manlo <= conv_std_logic_vector(251701587,28);
exponent <= conv_std_logic_vector(483,11);
WHEN "0101110111" =>
manhi <= conv_std_logic_vector(16530651,24);
manlo <= conv_std_logic_vector(211310789,28);
exponent <= conv_std_logic_vector(481,11);
WHEN "0101111000" =>
manhi <= conv_std_logic_vector(7729343,24);
manlo <= conv_std_logic_vector(154707528,28);
exponent <= conv_std_logic_vector(480,11);
WHEN "0101111001" =>
manhi <= conv_std_logic_vector(1253702,24);
manlo <= conv_std_logic_vector(237283577,28);
exponent <= conv_std_logic_vector(479,11);
WHEN "0101111010" =>
manhi <= conv_std_logic_vector(9755601,24);
manlo <= conv_std_logic_vector(121155884,28);
exponent <= conv_std_logic_vector(477,11);
WHEN "0101111011" =>
manhi <= conv_std_logic_vector(2744540,24);
manlo <= conv_std_logic_vector(30442277,28);
exponent <= conv_std_logic_vector(476,11);
WHEN "0101111100" =>
manhi <= conv_std_logic_vector(11949394,24);
manlo <= conv_std_logic_vector(246622503,28);
exponent <= conv_std_logic_vector(474,11);
WHEN "0101111101" =>
manhi <= conv_std_logic_vector(4358643,24);
manlo <= conv_std_logic_vector(38405425,28);
exponent <= conv_std_logic_vector(473,11);
WHEN "0101111110" =>
manhi <= conv_std_logic_vector(14324576,24);
manlo <= conv_std_logic_vector(53935566,28);
exponent <= conv_std_logic_vector(471,11);
WHEN "0101111111" =>
manhi <= conv_std_logic_vector(6106203,24);
manlo <= conv_std_logic_vector(233166714,28);
exponent <= conv_std_logic_vector(470,11);
WHEN "0110000000" =>
manhi <= conv_std_logic_vector(59463,24);
manlo <= conv_std_logic_vector(114545214,28);
exponent <= conv_std_logic_vector(469,11);
WHEN "0110000001" =>
manhi <= conv_std_logic_vector(7998256,24);
manlo <= conv_std_logic_vector(234808364,28);
exponent <= conv_std_logic_vector(467,11);
WHEN "0110000010" =>
manhi <= conv_std_logic_vector(1451558,24);
manlo <= conv_std_logic_vector(62230667,28);
exponent <= conv_std_logic_vector(466,11);
WHEN "0110000011" =>
manhi <= conv_std_logic_vector(10046749,24);
manlo <= conv_std_logic_vector(29683609,28);
exponent <= conv_std_logic_vector(464,11);
WHEN "0110000100" =>
manhi <= conv_std_logic_vector(2958754,24);
manlo <= conv_std_logic_vector(158313814,28);
exponent <= conv_std_logic_vector(463,11);
WHEN "0110000101" =>
manhi <= conv_std_logic_vector(12264615,24);
manlo <= conv_std_logic_vector(87551554,28);
exponent <= conv_std_logic_vector(461,11);
WHEN "0110000110" =>
manhi <= conv_std_logic_vector(4590569,24);
manlo <= conv_std_logic_vector(96025360,28);
exponent <= conv_std_logic_vector(460,11);
WHEN "0110000111" =>
manhi <= conv_std_logic_vector(14665859,24);
manlo <= conv_std_logic_vector(200220878,28);
exponent <= conv_std_logic_vector(458,11);
WHEN "0110001000" =>
manhi <= conv_std_logic_vector(6357306,24);
manlo <= conv_std_logic_vector(71997608,28);
exponent <= conv_std_logic_vector(457,11);
WHEN "0110001001" =>
manhi <= conv_std_logic_vector(244214,24);
manlo <= conv_std_logic_vector(66463607,28);
exponent <= conv_std_logic_vector(456,11);
WHEN "0110001010" =>
manhi <= conv_std_logic_vector(8270120,24);
manlo <= conv_std_logic_vector(265669912,28);
exponent <= conv_std_logic_vector(454,11);
WHEN "0110001011" =>
manhi <= conv_std_logic_vector(1651584,24);
manlo <= conv_std_logic_vector(179638473,28);
exponent <= conv_std_logic_vector(453,11);
WHEN "0110001100" =>
manhi <= conv_std_logic_vector(10341091,24);
manlo <= conv_std_logic_vector(152092530,28);
exponent <= conv_std_logic_vector(451,11);
WHEN "0110001101" =>
manhi <= conv_std_logic_vector(3175319,24);
manlo <= conv_std_logic_vector(178838140,28);
exponent <= conv_std_logic_vector(450,11);
WHEN "0110001110" =>
manhi <= conv_std_logic_vector(12583294,24);
manlo <= conv_std_logic_vector(183442082,28);
exponent <= conv_std_logic_vector(448,11);
WHEN "0110001111" =>
manhi <= conv_std_logic_vector(4825040,24);
manlo <= conv_std_logic_vector(141040370,28);
exponent <= conv_std_logic_vector(447,11);
WHEN "0110010000" =>
manhi <= conv_std_logic_vector(15010888,24);
manlo <= conv_std_logic_vector(62934477,28);
exponent <= conv_std_logic_vector(445,11);
WHEN "0110010001" =>
manhi <= conv_std_logic_vector(6611164,24);
manlo <= conv_std_logic_vector(11633311,28);
exponent <= conv_std_logic_vector(444,11);
WHEN "0110010010" =>
manhi <= conv_std_logic_vector(430992,24);
manlo <= conv_std_logic_vector(96770068,28);
exponent <= conv_std_logic_vector(443,11);
WHEN "0110010011" =>
manhi <= conv_std_logic_vector(8544968,24);
manlo <= conv_std_logic_vector(80768180,28);
exponent <= conv_std_logic_vector(441,11);
WHEN "0110010100" =>
manhi <= conv_std_logic_vector(1853806,24);
manlo <= conv_std_logic_vector(5288079,28);
exponent <= conv_std_logic_vector(440,11);
WHEN "0110010101" =>
manhi <= conv_std_logic_vector(10638663,24);
manlo <= conv_std_logic_vector(235213815,28);
exponent <= conv_std_logic_vector(438,11);
WHEN "0110010110" =>
manhi <= conv_std_logic_vector(3394261,24);
manlo <= conv_std_logic_vector(36557939,28);
exponent <= conv_std_logic_vector(437,11);
WHEN "0110010111" =>
manhi <= conv_std_logic_vector(12905470,24);
manlo <= conv_std_logic_vector(253900977,28);
exponent <= conv_std_logic_vector(435,11);
WHEN "0110011000" =>
manhi <= conv_std_logic_vector(5062084,24);
manlo <= conv_std_logic_vector(153603034,28);
exponent <= conv_std_logic_vector(434,11);
WHEN "0110011001" =>
manhi <= conv_std_logic_vector(15359702,24);
manlo <= conv_std_logic_vector(204098933,28);
exponent <= conv_std_logic_vector(432,11);
WHEN "0110011010" =>
manhi <= conv_std_logic_vector(6867807,24);
manlo <= conv_std_logic_vector(115170312,28);
exponent <= conv_std_logic_vector(431,11);
WHEN "0110011011" =>
manhi <= conv_std_logic_vector(619820,24);
manlo <= conv_std_logic_vector(2986045,28);
exponent <= conv_std_logic_vector(430,11);
WHEN "0110011100" =>
manhi <= conv_std_logic_vector(8822831,24);
manlo <= conv_std_logic_vector(145826716,28);
exponent <= conv_std_logic_vector(428,11);
WHEN "0110011101" =>
manhi <= conv_std_logic_vector(2058246,24);
manlo <= conv_std_logic_vector(98876593,28);
exponent <= conv_std_logic_vector(427,11);
WHEN "0110011110" =>
manhi <= conv_std_logic_vector(10939501,24);
manlo <= conv_std_logic_vector(129141213,28);
exponent <= conv_std_logic_vector(425,11);
WHEN "0110011111" =>
manhi <= conv_std_logic_vector(3615605,24);
manlo <= conv_std_logic_vector(20427716,28);
exponent <= conv_std_logic_vector(424,11);
WHEN "0110100000" =>
manhi <= conv_std_logic_vector(13231182,24);
manlo <= conv_std_logic_vector(130335695,28);
exponent <= conv_std_logic_vector(422,11);
WHEN "0110100001" =>
manhi <= conv_std_logic_vector(5301729,24);
manlo <= conv_std_logic_vector(196124198,28);
exponent <= conv_std_logic_vector(421,11);
WHEN "0110100010" =>
manhi <= conv_std_logic_vector(15712344,24);
manlo <= conv_std_logic_vector(233039482,28);
exponent <= conv_std_logic_vector(419,11);
WHEN "0110100011" =>
manhi <= conv_std_logic_vector(7127266,24);
manlo <= conv_std_logic_vector(266329205,28);
exponent <= conv_std_logic_vector(418,11);
WHEN "0110100100" =>
manhi <= conv_std_logic_vector(810719,24);
manlo <= conv_std_logic_vector(185030259,28);
exponent <= conv_std_logic_vector(417,11);
WHEN "0110100101" =>
manhi <= conv_std_logic_vector(9103743,24);
manlo <= conv_std_logic_vector(217685905,28);
exponent <= conv_std_logic_vector(415,11);
WHEN "0110100110" =>
manhi <= conv_std_logic_vector(2264930,24);
manlo <= conv_std_logic_vector(17303531,28);
exponent <= conv_std_logic_vector(414,11);
WHEN "0110100111" =>
manhi <= conv_std_logic_vector(11243640,24);
manlo <= conv_std_logic_vector(56799625,28);
exponent <= conv_std_logic_vector(412,11);
WHEN "0110101000" =>
manhi <= conv_std_logic_vector(3839377,24);
manlo <= conv_std_logic_vector(227776580,28);
exponent <= conv_std_logic_vector(411,11);
WHEN "0110101001" =>
manhi <= conv_std_logic_vector(13560468,24);
manlo <= conv_std_logic_vector(25616516,28);
exponent <= conv_std_logic_vector(409,11);
WHEN "0110101010" =>
manhi <= conv_std_logic_vector(5544004,24);
manlo <= conv_std_logic_vector(145740137,28);
exponent <= conv_std_logic_vector(408,11);
WHEN "0110101011" =>
manhi <= conv_std_logic_vector(16068856,24);
manlo <= conv_std_logic_vector(149889545,28);
exponent <= conv_std_logic_vector(406,11);
WHEN "0110101100" =>
manhi <= conv_std_logic_vector(7389573,24);
manlo <= conv_std_logic_vector(170431948,28);
exponent <= conv_std_logic_vector(405,11);
WHEN "0110101101" =>
manhi <= conv_std_logic_vector(1003714,24);
manlo <= conv_std_logic_vector(35324999,28);
exponent <= conv_std_logic_vector(404,11);
WHEN "0110101110" =>
manhi <= conv_std_logic_vector(9387738,24);
manlo <= conv_std_logic_vector(150667400,28);
exponent <= conv_std_logic_vector(402,11);
WHEN "0110101111" =>
manhi <= conv_std_logic_vector(2473881,24);
manlo <= conv_std_logic_vector(194497484,28);
exponent <= conv_std_logic_vector(401,11);
WHEN "0110110000" =>
manhi <= conv_std_logic_vector(11551116,24);
manlo <= conv_std_logic_vector(78219737,28);
exponent <= conv_std_logic_vector(399,11);
WHEN "0110110001" =>
manhi <= conv_std_logic_vector(4065606,24);
manlo <= conv_std_logic_vector(28280174,28);
exponent <= conv_std_logic_vector(398,11);
WHEN "0110110010" =>
manhi <= conv_std_logic_vector(13893366,24);
manlo <= conv_std_logic_vector(266881350,28);
exponent <= conv_std_logic_vector(396,11);
WHEN "0110110011" =>
manhi <= conv_std_logic_vector(5788937,24);
manlo <= conv_std_logic_vector(232096008,28);
exponent <= conv_std_logic_vector(395,11);
WHEN "0110110100" =>
manhi <= conv_std_logic_vector(16429280,24);
manlo <= conv_std_logic_vector(78498076,28);
exponent <= conv_std_logic_vector(393,11);
WHEN "0110110101" =>
manhi <= conv_std_logic_vector(7654758,24);
manlo <= conv_std_logic_vector(160696217,28);
exponent <= conv_std_logic_vector(392,11);
WHEN "0110110110" =>
manhi <= conv_std_logic_vector(1198826,24);
manlo <= conv_std_logic_vector(87006684,28);
exponent <= conv_std_logic_vector(391,11);
WHEN "0110110111" =>
manhi <= conv_std_logic_vector(9674849,24);
manlo <= conv_std_logic_vector(166079254,28);
exponent <= conv_std_logic_vector(389,11);
WHEN "0110111000" =>
manhi <= conv_std_logic_vector(2685126,24);
manlo <= conv_std_logic_vector(63154951,28);
exponent <= conv_std_logic_vector(388,11);
WHEN "0110111001" =>
manhi <= conv_std_logic_vector(11861966,24);
manlo <= conv_std_logic_vector(91696135,28);
exponent <= conv_std_logic_vector(386,11);
WHEN "0110111010" =>
manhi <= conv_std_logic_vector(4294316,24);
manlo <= conv_std_logic_vector(212296424,28);
exponent <= conv_std_logic_vector(385,11);
WHEN "0110111011" =>
manhi <= conv_std_logic_vector(14229918,24);
manlo <= conv_std_logic_vector(223047784,28);
exponent <= conv_std_logic_vector(383,11);
WHEN "0110111100" =>
manhi <= conv_std_logic_vector(6036558,24);
manlo <= conv_std_logic_vector(232962025,28);
exponent <= conv_std_logic_vector(382,11);
WHEN "0110111101" =>
manhi <= conv_std_logic_vector(8221,24);
manlo <= conv_std_logic_vector(133893557,28);
exponent <= conv_std_logic_vector(381,11);
WHEN "0110111110" =>
manhi <= conv_std_logic_vector(7922853,24);
manlo <= conv_std_logic_vector(125492404,28);
exponent <= conv_std_logic_vector(379,11);
WHEN "0110111111" =>
manhi <= conv_std_logic_vector(1396079,24);
manlo <= conv_std_logic_vector(135612572,28);
exponent <= conv_std_logic_vector(378,11);
WHEN "0111000000" =>
manhi <= conv_std_logic_vector(9965111,24);
manlo <= conv_std_logic_vector(47990958,28);
exponent <= conv_std_logic_vector(376,11);
WHEN "0111000001" =>
manhi <= conv_std_logic_vector(2898688,24);
manlo <= conv_std_logic_vector(203019642,28);
exponent <= conv_std_logic_vector(375,11);
WHEN "0111000010" =>
manhi <= conv_std_logic_vector(12176227,24);
manlo <= conv_std_logic_vector(103393586,28);
exponent <= conv_std_logic_vector(373,11);
WHEN "0111000011" =>
manhi <= conv_std_logic_vector(4525537,24);
manlo <= conv_std_logic_vector(38936964,28);
exponent <= conv_std_logic_vector(372,11);
WHEN "0111000100" =>
manhi <= conv_std_logic_vector(14570163,24);
manlo <= conv_std_logic_vector(185128905,28);
exponent <= conv_std_logic_vector(370,11);
WHEN "0111000101" =>
manhi <= conv_std_logic_vector(6286897,24);
manlo <= conv_std_logic_vector(12037044,28);
exponent <= conv_std_logic_vector(369,11);
WHEN "0111000110" =>
manhi <= conv_std_logic_vector(192410,24);
manlo <= conv_std_logic_vector(9691196,28);
exponent <= conv_std_logic_vector(368,11);
WHEN "0111000111" =>
manhi <= conv_std_logic_vector(8193890,24);
manlo <= conv_std_logic_vector(46224319,28);
exponent <= conv_std_logic_vector(366,11);
WHEN "0111001000" =>
manhi <= conv_std_logic_vector(1595497,24);
manlo <= conv_std_logic_vector(45130080,28);
exponent <= conv_std_logic_vector(365,11);
WHEN "0111001001" =>
manhi <= conv_std_logic_vector(10258557,24);
manlo <= conv_std_logic_vector(218068546,28);
exponent <= conv_std_logic_vector(363,11);
WHEN "0111001010" =>
manhi <= conv_std_logic_vector(3114594,24);
manlo <= conv_std_logic_vector(194203222,28);
exponent <= conv_std_logic_vector(362,11);
WHEN "0111001011" =>
manhi <= conv_std_logic_vector(12493936,24);
manlo <= conv_std_logic_vector(228530713,28);
exponent <= conv_std_logic_vector(360,11);
WHEN "0111001100" =>
manhi <= conv_std_logic_vector(4759294,24);
manlo <= conv_std_logic_vector(189728046,28);
exponent <= conv_std_logic_vector(359,11);
WHEN "0111001101" =>
manhi <= conv_std_logic_vector(14914142,24);
manlo <= conv_std_logic_vector(25337562,28);
exponent <= conv_std_logic_vector(357,11);
WHEN "0111001110" =>
manhi <= conv_std_logic_vector(6539982,24);
manlo <= conv_std_logic_vector(56762382,28);
exponent <= conv_std_logic_vector(356,11);
WHEN "0111001111" =>
manhi <= conv_std_logic_vector(378619,24);
manlo <= conv_std_logic_vector(186677702,28);
exponent <= conv_std_logic_vector(355,11);
WHEN "0111010000" =>
manhi <= conv_std_logic_vector(8467900,24);
manlo <= conv_std_logic_vector(266785510,28);
exponent <= conv_std_logic_vector(353,11);
WHEN "0111010001" =>
manhi <= conv_std_logic_vector(1797103,24);
manlo <= conv_std_logic_vector(17183357,28);
exponent <= conv_std_logic_vector(352,11);
WHEN "0111010010" =>
manhi <= conv_std_logic_vector(10555224,24);
manlo <= conv_std_logic_vector(126067134,28);
exponent <= conv_std_logic_vector(350,11);
WHEN "0111010011" =>
manhi <= conv_std_logic_vector(3332869,24);
manlo <= conv_std_logic_vector(228611260,28);
exponent <= conv_std_logic_vector(349,11);
WHEN "0111010100" =>
manhi <= conv_std_logic_vector(12815132,24);
manlo <= conv_std_logic_vector(155705738,28);
exponent <= conv_std_logic_vector(347,11);
WHEN "0111010101" =>
manhi <= conv_std_logic_vector(4995617,24);
manlo <= conv_std_logic_vector(85136440,28);
exponent <= conv_std_logic_vector(346,11);
WHEN "0111010110" =>
manhi <= conv_std_logic_vector(15261895,24);
manlo <= conv_std_logic_vector(3688335,28);
exponent <= conv_std_logic_vector(344,11);
WHEN "0111010111" =>
manhi <= conv_std_logic_vector(6795844,24);
manlo <= conv_std_logic_vector(137097782,28);
exponent <= conv_std_logic_vector(343,11);
WHEN "0111011000" =>
manhi <= conv_std_logic_vector(566872,24);
manlo <= conv_std_logic_vector(175764875,28);
exponent <= conv_std_logic_vector(342,11);
WHEN "0111011001" =>
manhi <= conv_std_logic_vector(8744918,24);
manlo <= conv_std_logic_vector(152414052,28);
exponent <= conv_std_logic_vector(340,11);
WHEN "0111011010" =>
manhi <= conv_std_logic_vector(2000921,24);
manlo <= conv_std_logic_vector(54921723,28);
exponent <= conv_std_logic_vector(339,11);
WHEN "0111011011" =>
manhi <= conv_std_logic_vector(10855146,24);
manlo <= conv_std_logic_vector(129996510,28);
exponent <= conv_std_logic_vector(337,11);
WHEN "0111011100" =>
manhi <= conv_std_logic_vector(3553540,24);
manlo <= conv_std_logic_vector(37023540,28);
exponent <= conv_std_logic_vector(336,11);
WHEN "0111011101" =>
manhi <= conv_std_logic_vector(13139852,24);
manlo <= conv_std_logic_vector(221848100,28);
exponent <= conv_std_logic_vector(334,11);
WHEN "0111011110" =>
manhi <= conv_std_logic_vector(5234533,24);
manlo <= conv_std_logic_vector(32943194,28);
exponent <= conv_std_logic_vector(333,11);
WHEN "0111011111" =>
manhi <= conv_std_logic_vector(15613463,24);
manlo <= conv_std_logic_vector(232436445,28);
exponent <= conv_std_logic_vector(331,11);
WHEN "0111100000" =>
manhi <= conv_std_logic_vector(7054514,24);
manlo <= conv_std_logic_vector(111791498,28);
exponent <= conv_std_logic_vector(330,11);
WHEN "0111100001" =>
manhi <= conv_std_logic_vector(757191,24);
manlo <= conv_std_logic_vector(90062360,28);
exponent <= conv_std_logic_vector(329,11);
WHEN "0111100010" =>
manhi <= conv_std_logic_vector(9024975,24);
manlo <= conv_std_logic_vector(238219590,28);
exponent <= conv_std_logic_vector(327,11);
WHEN "0111100011" =>
manhi <= conv_std_logic_vector(2206975,24);
manlo <= conv_std_logic_vector(232222812,28);
exponent <= conv_std_logic_vector(326,11);
WHEN "0111100100" =>
manhi <= conv_std_logic_vector(11158359,24);
manlo <= conv_std_logic_vector(155073518,28);
exponent <= conv_std_logic_vector(324,11);
WHEN "0111100101" =>
manhi <= conv_std_logic_vector(3776631,24);
manlo <= conv_std_logic_vector(232102510,28);
exponent <= conv_std_logic_vector(323,11);
WHEN "0111100110" =>
manhi <= conv_std_logic_vector(13468136,24);
manlo <= conv_std_logic_vector(71264246,28);
exponent <= conv_std_logic_vector(321,11);
WHEN "0111100111" =>
manhi <= conv_std_logic_vector(5476070,24);
manlo <= conv_std_logic_vector(155401688,28);
exponent <= conv_std_logic_vector(320,11);
WHEN "0111101000" =>
manhi <= conv_std_logic_vector(15968890,24);
manlo <= conv_std_logic_vector(140531032,28);
exponent <= conv_std_logic_vector(318,11);
WHEN "0111101001" =>
manhi <= conv_std_logic_vector(7316022,24);
manlo <= conv_std_logic_vector(197790036,28);
exponent <= conv_std_logic_vector(317,11);
WHEN "0111101010" =>
manhi <= conv_std_logic_vector(949598,24);
manlo <= conv_std_logic_vector(108723575,28);
exponent <= conv_std_logic_vector(316,11);
WHEN "0111101011" =>
manhi <= conv_std_logic_vector(9308106,24);
manlo <= conv_std_logic_vector(82754530,28);
exponent <= conv_std_logic_vector(314,11);
WHEN "0111101100" =>
manhi <= conv_std_logic_vector(2415291,24);
manlo <= conv_std_logic_vector(157597766,28);
exponent <= conv_std_logic_vector(313,11);
WHEN "0111101101" =>
manhi <= conv_std_logic_vector(11464899,24);
manlo <= conv_std_logic_vector(231735034,28);
exponent <= conv_std_logic_vector(311,11);
WHEN "0111101110" =>
manhi <= conv_std_logic_vector(4002171,24);
manlo <= conv_std_logic_vector(161749904,28);
exponent <= conv_std_logic_vector(310,11);
WHEN "0111101111" =>
manhi <= conv_std_logic_vector(13800021,24);
manlo <= conv_std_logic_vector(267486845,28);
exponent <= conv_std_logic_vector(308,11);
WHEN "0111110000" =>
manhi <= conv_std_logic_vector(5720258,24);
manlo <= conv_std_logic_vector(121711942,28);
exponent <= conv_std_logic_vector(307,11);
WHEN "0111110001" =>
manhi <= conv_std_logic_vector(16328217,24);
manlo <= conv_std_logic_vector(85566619,28);
exponent <= conv_std_logic_vector(305,11);
WHEN "0111110010" =>
manhi <= conv_std_logic_vector(7580400,24);
manlo <= conv_std_logic_vector(165916765,28);
exponent <= conv_std_logic_vector(304,11);
WHEN "0111110011" =>
manhi <= conv_std_logic_vector(1144116,24);
manlo <= conv_std_logic_vector(209234965,28);
exponent <= conv_std_logic_vector(303,11);
WHEN "0111110100" =>
manhi <= conv_std_logic_vector(9594343,24);
manlo <= conv_std_logic_vector(148128653,28);
exponent <= conv_std_logic_vector(301,11);
WHEN "0111110101" =>
manhi <= conv_std_logic_vector(2625893,24);
manlo <= conv_std_logic_vector(48717694,28);
exponent <= conv_std_logic_vector(300,11);
WHEN "0111110110" =>
manhi <= conv_std_logic_vector(11774803,24);
manlo <= conv_std_logic_vector(228357100,28);
exponent <= conv_std_logic_vector(298,11);
WHEN "0111110111" =>
manhi <= conv_std_logic_vector(4230186,24);
manlo <= conv_std_logic_vector(57439900,28);
exponent <= conv_std_logic_vector(297,11);
WHEN "0111111000" =>
manhi <= conv_std_logic_vector(14135549,24);
manlo <= conv_std_logic_vector(147041206,28);
exponent <= conv_std_logic_vector(295,11);
WHEN "0111111001" =>
manhi <= conv_std_logic_vector(5967125,24);
manlo <= conv_std_logic_vector(222682176,28);
exponent <= conv_std_logic_vector(294,11);
WHEN "0111111010" =>
manhi <= conv_std_logic_vector(16691487,24);
manlo <= conv_std_logic_vector(12959237,28);
exponent <= conv_std_logic_vector(292,11);
WHEN "0111111011" =>
manhi <= conv_std_logic_vector(7847679,24);
manlo <= conv_std_logic_vector(147174066,28);
exponent <= conv_std_logic_vector(291,11);
WHEN "0111111100" =>
manhi <= conv_std_logic_vector(1340769,24);
manlo <= conv_std_logic_vector(168148656,28);
exponent <= conv_std_logic_vector(290,11);
WHEN "0111111101" =>
manhi <= conv_std_logic_vector(9883721,24);
manlo <= conv_std_logic_vector(190474495,28);
exponent <= conv_std_logic_vector(288,11);
WHEN "0111111110" =>
manhi <= conv_std_logic_vector(2838805,24);
manlo <= conv_std_logic_vector(196335986,28);
exponent <= conv_std_logic_vector(287,11);
WHEN "0111111111" =>
manhi <= conv_std_logic_vector(12088108,24);
manlo <= conv_std_logic_vector(120857634,28);
exponent <= conv_std_logic_vector(285,11);
WHEN "1000000000" =>
manhi <= conv_std_logic_vector(4460702,24);
manlo <= conv_std_logic_vector(229771569,28);
exponent <= conv_std_logic_vector(284,11);
WHEN "1000000001" =>
manhi <= conv_std_logic_vector(14474758,24);
manlo <= conv_std_logic_vector(236628147,28);
exponent <= conv_std_logic_vector(282,11);
WHEN "1000000010" =>
manhi <= conv_std_logic_vector(6216702,24);
manlo <= conv_std_logic_vector(29481361,28);
exponent <= conv_std_logic_vector(281,11);
WHEN "1000000011" =>
manhi <= conv_std_logic_vector(140763,24);
manlo <= conv_std_logic_vector(131310533,28);
exponent <= conv_std_logic_vector(280,11);
WHEN "1000000100" =>
manhi <= conv_std_logic_vector(8117891,24);
manlo <= conv_std_logic_vector(96879140,28);
exponent <= conv_std_logic_vector(278,11);
WHEN "1000000101" =>
manhi <= conv_std_logic_vector(1539580,24);
manlo <= conv_std_logic_vector(98694067,28);
exponent <= conv_std_logic_vector(277,11);
WHEN "1000000110" =>
manhi <= conv_std_logic_vector(10176275,24);
manlo <= conv_std_logic_vector(66343668,28);
exponent <= conv_std_logic_vector(275,11);
WHEN "1000000111" =>
manhi <= conv_std_logic_vector(3054054,24);
manlo <= conv_std_logic_vector(159783892,28);
exponent <= conv_std_logic_vector(274,11);
WHEN "1000001000" =>
manhi <= conv_std_logic_vector(12404850,24);
manlo <= conv_std_logic_vector(262311967,28);
exponent <= conv_std_logic_vector(272,11);
WHEN "1000001001" =>
manhi <= conv_std_logic_vector(4693748,24);
manlo <= conv_std_logic_vector(264030756,28);
exponent <= conv_std_logic_vector(271,11);
WHEN "1000001010" =>
manhi <= conv_std_logic_vector(14817690,24);
manlo <= conv_std_logic_vector(106917994,28);
exponent <= conv_std_logic_vector(269,11);
WHEN "1000001011" =>
manhi <= conv_std_logic_vector(6469017,24);
manlo <= conv_std_logic_vector(5191992,28);
exponent <= conv_std_logic_vector(268,11);
WHEN "1000001100" =>
manhi <= conv_std_logic_vector(326406,24);
manlo <= conv_std_logic_vector(114083215,28);
exponent <= conv_std_logic_vector(267,11);
WHEN "1000001101" =>
manhi <= conv_std_logic_vector(8391068,24);
manlo <= conv_std_logic_vector(64117214,28);
exponent <= conv_std_logic_vector(265,11);
WHEN "1000001110" =>
manhi <= conv_std_logic_vector(1740572,24);
manlo <= conv_std_logic_vector(183091279,28);
exponent <= conv_std_logic_vector(264,11);
WHEN "1000001111" =>
manhi <= conv_std_logic_vector(10472039,24);
manlo <= conv_std_logic_vector(2244224,28);
exponent <= conv_std_logic_vector(262,11);
WHEN "1000010000" =>
manhi <= conv_std_logic_vector(3271665,24);
manlo <= conv_std_logic_vector(109958542,28);
exponent <= conv_std_logic_vector(261,11);
WHEN "1000010001" =>
manhi <= conv_std_logic_vector(12725069,24);
manlo <= conv_std_logic_vector(41968573,28);
exponent <= conv_std_logic_vector(259,11);
WHEN "1000010010" =>
manhi <= conv_std_logic_vector(4929352,24);
manlo <= conv_std_logic_vector(94809674,28);
exponent <= conv_std_logic_vector(258,11);
WHEN "1000010011" =>
manhi <= conv_std_logic_vector(15164384,24);
manlo <= conv_std_logic_vector(252890425,28);
exponent <= conv_std_logic_vector(256,11);
WHEN "1000010100" =>
manhi <= conv_std_logic_vector(6724100,24);
manlo <= conv_std_logic_vector(163583158,28);
exponent <= conv_std_logic_vector(255,11);
WHEN "1000010101" =>
manhi <= conv_std_logic_vector(514086,24);
manlo <= conv_std_logic_vector(118679222,28);
exponent <= conv_std_logic_vector(254,11);
WHEN "1000010110" =>
manhi <= conv_std_logic_vector(8667242,24);
manlo <= conv_std_logic_vector(192770478,28);
exponent <= conv_std_logic_vector(252,11);
WHEN "1000010111" =>
manhi <= conv_std_logic_vector(1943770,24);
manlo <= conv_std_logic_vector(136437165,28);
exponent <= conv_std_logic_vector(251,11);
WHEN "1000011000" =>
manhi <= conv_std_logic_vector(10771048,24);
manlo <= conv_std_logic_vector(58883744,28);
exponent <= conv_std_logic_vector(249,11);
WHEN "1000011001" =>
manhi <= conv_std_logic_vector(3491664,24);
manlo <= conv_std_logic_vector(24836209,28);
exponent <= conv_std_logic_vector(248,11);
WHEN "1000011010" =>
manhi <= conv_std_logic_vector(13048801,24);
manlo <= conv_std_logic_vector(33938829,28);
exponent <= conv_std_logic_vector(246,11);
WHEN "1000011011" =>
manhi <= conv_std_logic_vector(5167541,24);
manlo <= conv_std_logic_vector(6894318,28);
exponent <= conv_std_logic_vector(245,11);
WHEN "1000011100" =>
manhi <= conv_std_logic_vector(15514883,24);
manlo <= conv_std_logic_vector(216092120,28);
exponent <= conv_std_logic_vector(243,11);
WHEN "1000011101" =>
manhi <= conv_std_logic_vector(6981983,24);
manlo <= conv_std_logic_vector(70071320,28);
exponent <= conv_std_logic_vector(242,11);
WHEN "1000011110" =>
manhi <= conv_std_logic_vector(703825,24);
manlo <= conv_std_logic_vector(239890498,28);
exponent <= conv_std_logic_vector(241,11);
WHEN "1000011111" =>
manhi <= conv_std_logic_vector(8946447,24);
manlo <= conv_std_logic_vector(185687386,28);
exponent <= conv_std_logic_vector(239,11);
WHEN "1000100000" =>
manhi <= conv_std_logic_vector(2149198,24);
manlo <= conv_std_logic_vector(12777107,28);
exponent <= conv_std_logic_vector(238,11);
WHEN "1000100001" =>
manhi <= conv_std_logic_vector(11073338,24);
manlo <= conv_std_logic_vector(132295567,28);
exponent <= conv_std_logic_vector(236,11);
WHEN "1000100010" =>
manhi <= conv_std_logic_vector(3714076,24);
manlo <= conv_std_logic_vector(227171857,28);
exponent <= conv_std_logic_vector(235,11);
WHEN "1000100011" =>
manhi <= conv_std_logic_vector(13376085,24);
manlo <= conv_std_logic_vector(119368169,28);
exponent <= conv_std_logic_vector(233,11);
WHEN "1000100100" =>
manhi <= conv_std_logic_vector(5408343,24);
manlo <= conv_std_logic_vector(99290689,28);
exponent <= conv_std_logic_vector(232,11);
WHEN "1000100101" =>
manhi <= conv_std_logic_vector(15869228,24);
manlo <= conv_std_logic_vector(196569651,28);
exponent <= conv_std_logic_vector(230,11);
WHEN "1000100110" =>
manhi <= conv_std_logic_vector(7242695,24);
manlo <= conv_std_logic_vector(184868911,28);
exponent <= conv_std_logic_vector(229,11);
WHEN "1000100111" =>
manhi <= conv_std_logic_vector(895647,24);
manlo <= conv_std_logic_vector(101480846,28);
exponent <= conv_std_logic_vector(228,11);
WHEN "1000101000" =>
manhi <= conv_std_logic_vector(9228716,24);
manlo <= conv_std_logic_vector(111040654,28);
exponent <= conv_std_logic_vector(226,11);
WHEN "1000101001" =>
manhi <= conv_std_logic_vector(2356879,24);
manlo <= conv_std_logic_vector(205878747,28);
exponent <= conv_std_logic_vector(225,11);
WHEN "1000101010" =>
manhi <= conv_std_logic_vector(11378945,24);
manlo <= conv_std_logic_vector(223412824,28);
exponent <= conv_std_logic_vector(223,11);
WHEN "1000101011" =>
manhi <= conv_std_logic_vector(3938930,24);
manlo <= conv_std_logic_vector(43159582,28);
exponent <= conv_std_logic_vector(222,11);
WHEN "1000101100" =>
manhi <= conv_std_logic_vector(13706961,24);
manlo <= conv_std_logic_vector(24539717,28);
exponent <= conv_std_logic_vector(220,11);
WHEN "1000101101" =>
manhi <= conv_std_logic_vector(5651788,24);
manlo <= conv_std_logic_vector(17696328,28);
exponent <= conv_std_logic_vector(219,11);
WHEN "1000101110" =>
manhi <= conv_std_logic_vector(16227461,24);
manlo <= conv_std_logic_vector(248897772,28);
exponent <= conv_std_logic_vector(217,11);
WHEN "1000101111" =>
manhi <= conv_std_logic_vector(7506268,24);
manlo <= conv_std_logic_vector(253353585,28);
exponent <= conv_std_logic_vector(216,11);
WHEN "1000110000" =>
manhi <= conv_std_logic_vector(1089573,24);
manlo <= conv_std_logic_vector(199085712,28);
exponent <= conv_std_logic_vector(215,11);
WHEN "1000110001" =>
manhi <= conv_std_logic_vector(9514082,24);
manlo <= conv_std_logic_vector(134954983,28);
exponent <= conv_std_logic_vector(213,11);
WHEN "1000110010" =>
manhi <= conv_std_logic_vector(2566840,24);
manlo <= conv_std_logic_vector(107836942,28);
exponent <= conv_std_logic_vector(212,11);
WHEN "1000110011" =>
manhi <= conv_std_logic_vector(11687906,24);
manlo <= conv_std_logic_vector(170784064,28);
exponent <= conv_std_logic_vector(210,11);
WHEN "1000110100" =>
manhi <= conv_std_logic_vector(4166250,24);
manlo <= conv_std_logic_vector(219198631,28);
exponent <= conv_std_logic_vector(209,11);
WHEN "1000110101" =>
manhi <= conv_std_logic_vector(14041467,24);
manlo <= conv_std_logic_vector(127426909,28);
exponent <= conv_std_logic_vector(207,11);
WHEN "1000110110" =>
manhi <= conv_std_logic_vector(5897904,24);
manlo <= conv_std_logic_vector(29159081,28);
exponent <= conv_std_logic_vector(206,11);
WHEN "1000110111" =>
manhi <= conv_std_logic_vector(16589626,24);
manlo <= conv_std_logic_vector(15093248,28);
exponent <= conv_std_logic_vector(204,11);
WHEN "1000111000" =>
manhi <= conv_std_logic_vector(7772734,24);
manlo <= conv_std_logic_vector(112367334,28);
exponent <= conv_std_logic_vector(203,11);
WHEN "1000111001" =>
manhi <= conv_std_logic_vector(1285628,24);
manlo <= conv_std_logic_vector(21894417,28);
exponent <= conv_std_logic_vector(202,11);
WHEN "1000111010" =>
manhi <= conv_std_logic_vector(9802579,24);
manlo <= conv_std_logic_vector(254146435,28);
exponent <= conv_std_logic_vector(200,11);
WHEN "1000111011" =>
manhi <= conv_std_logic_vector(2779104,24);
manlo <= conv_std_logic_vector(257348234,28);
exponent <= conv_std_logic_vector(199,11);
WHEN "1000111100" =>
manhi <= conv_std_logic_vector(12000257,24);
manlo <= conv_std_logic_vector(188607876,28);
exponent <= conv_std_logic_vector(197,11);
WHEN "1000111101" =>
manhi <= conv_std_logic_vector(4396065,24);
manlo <= conv_std_logic_vector(238395052,28);
exponent <= conv_std_logic_vector(196,11);
WHEN "1000111110" =>
manhi <= conv_std_logic_vector(14379644,24);
manlo <= conv_std_logic_vector(116776141,28);
exponent <= conv_std_logic_vector(194,11);
WHEN "1000111111" =>
manhi <= conv_std_logic_vector(6146720,24);
manlo <= conv_std_logic_vector(217697734,28);
exponent <= conv_std_logic_vector(193,11);
WHEN "1001000000" =>
manhi <= conv_std_logic_vector(89274,24);
manlo <= conv_std_logic_vector(34078121,28);
exponent <= conv_std_logic_vector(192,11);
WHEN "1001000001" =>
manhi <= conv_std_logic_vector(8042123,24);
manlo <= conv_std_logic_vector(228091050,28);
exponent <= conv_std_logic_vector(190,11);
WHEN "1001000010" =>
manhi <= conv_std_logic_vector(1483833,24);
manlo <= conv_std_logic_vector(200872250,28);
exponent <= conv_std_logic_vector(189,11);
WHEN "1001000011" =>
manhi <= conv_std_logic_vector(10094243,24);
manlo <= conv_std_logic_vector(28573613,28);
exponent <= conv_std_logic_vector(187,11);
WHEN "1001000100" =>
manhi <= conv_std_logic_vector(2993698,24);
manlo <= conv_std_logic_vector(193026703,28);
exponent <= conv_std_logic_vector(186,11);
WHEN "1001000101" =>
manhi <= conv_std_logic_vector(12316036,24);
manlo <= conv_std_logic_vector(62602992,28);
exponent <= conv_std_logic_vector(184,11);
WHEN "1001000110" =>
manhi <= conv_std_logic_vector(4628402,24);
manlo <= conv_std_logic_vector(200475490,28);
exponent <= conv_std_logic_vector(183,11);
WHEN "1001000111" =>
manhi <= conv_std_logic_vector(14721532,24);
manlo <= conv_std_logic_vector(67122338,28);
exponent <= conv_std_logic_vector(181,11);
WHEN "1001001000" =>
manhi <= conv_std_logic_vector(6398267,24);
manlo <= conv_std_logic_vector(216803728,28);
exponent <= conv_std_logic_vector(180,11);
WHEN "1001001001" =>
manhi <= conv_std_logic_vector(274352,24);
manlo <= conv_std_logic_vector(17200594,28);
exponent <= conv_std_logic_vector(179,11);
WHEN "1001001010" =>
manhi <= conv_std_logic_vector(8314469,24);
manlo <= conv_std_logic_vector(86446456,28);
exponent <= conv_std_logic_vector(177,11);
WHEN "1001001011" =>
manhi <= conv_std_logic_vector(1684214,24);
manlo <= conv_std_logic_vector(93587914,28);
exponent <= conv_std_logic_vector(176,11);
WHEN "1001001100" =>
manhi <= conv_std_logic_vector(10389106,24);
manlo <= conv_std_logic_vector(193148951,28);
exponent <= conv_std_logic_vector(174,11);
WHEN "1001001101" =>
manhi <= conv_std_logic_vector(3210647,24);
manlo <= conv_std_logic_vector(64824977,28);
exponent <= conv_std_logic_vector(173,11);
WHEN "1001001110" =>
manhi <= conv_std_logic_vector(12635279,24);
manlo <= conv_std_logic_vector(224939505,28);
exponent <= conv_std_logic_vector(171,11);
WHEN "1001001111" =>
manhi <= conv_std_logic_vector(4863289,24);
manlo <= conv_std_logic_vector(17355920,28);
exponent <= conv_std_logic_vector(170,11);
WHEN "1001010000" =>
manhi <= conv_std_logic_vector(15067171,24);
manlo <= conv_std_logic_vector(171641236,28);
exponent <= conv_std_logic_vector(168,11);
WHEN "1001010001" =>
manhi <= conv_std_logic_vector(6652575,24);
manlo <= conv_std_logic_vector(15694991,28);
exponent <= conv_std_logic_vector(167,11);
WHEN "1001010010" =>
manhi <= conv_std_logic_vector(461460,24);
manlo <= conv_std_logic_vector(236949593,28);
exponent <= conv_std_logic_vector(166,11);
WHEN "1001010011" =>
manhi <= conv_std_logic_vector(8589803,24);
manlo <= conv_std_logic_vector(73170085,28);
exponent <= conv_std_logic_vector(164,11);
WHEN "1001010100" =>
manhi <= conv_std_logic_vector(1886793,24);
manlo <= conv_std_logic_vector(200887360,28);
exponent <= conv_std_logic_vector(163,11);
WHEN "1001010101" =>
manhi <= conv_std_logic_vector(10687205,24);
manlo <= conv_std_logic_vector(242930225,28);
exponent <= conv_std_logic_vector(161,11);
WHEN "1001010110" =>
manhi <= conv_std_logic_vector(3429976,24);
manlo <= conv_std_logic_vector(97980458,28);
exponent <= conv_std_logic_vector(160,11);
WHEN "1001010111" =>
manhi <= conv_std_logic_vector(12958026,24);
manlo <= conv_std_logic_vector(144828568,28);
exponent <= conv_std_logic_vector(158,11);
WHEN "1001011000" =>
manhi <= conv_std_logic_vector(5100752,24);
manlo <= conv_std_logic_vector(219332721,28);
exponent <= conv_std_logic_vector(157,11);
WHEN "1001011001" =>
manhi <= conv_std_logic_vector(15416603,24);
manlo <= conv_std_logic_vector(206580322,28);
exponent <= conv_std_logic_vector(155,11);
WHEN "1001011010" =>
manhi <= conv_std_logic_vector(6909672,24);
manlo <= conv_std_logic_vector(228709240,28);
exponent <= conv_std_logic_vector(154,11);
WHEN "1001011011" =>
manhi <= conv_std_logic_vector(650622,24);
manlo <= conv_std_logic_vector(232984198,28);
exponent <= conv_std_logic_vector(153,11);
WHEN "1001011100" =>
manhi <= conv_std_logic_vector(8868158,24);
manlo <= conv_std_logic_vector(132673062,28);
exponent <= conv_std_logic_vector(151,11);
WHEN "1001011101" =>
manhi <= conv_std_logic_vector(2091596,24);
manlo <= conv_std_logic_vector(20173170,28);
exponent <= conv_std_logic_vector(150,11);
WHEN "1001011110" =>
manhi <= conv_std_logic_vector(10988576,24);
manlo <= conv_std_logic_vector(44856082,28);
exponent <= conv_std_logic_vector(148,11);
WHEN "1001011111" =>
manhi <= conv_std_logic_vector(3651712,24);
manlo <= conv_std_logic_vector(56970522,28);
exponent <= conv_std_logic_vector(147,11);
WHEN "1001100000" =>
manhi <= conv_std_logic_vector(13284314,24);
manlo <= conv_std_logic_vector(208786223,28);
exponent <= conv_std_logic_vector(145,11);
WHEN "1001100001" =>
manhi <= conv_std_logic_vector(5340822,24);
manlo <= conv_std_logic_vector(76928898,28);
exponent <= conv_std_logic_vector(144,11);
WHEN "1001100010" =>
manhi <= conv_std_logic_vector(15769870,24);
manlo <= conv_std_logic_vector(69445892,28);
exponent <= conv_std_logic_vector(142,11);
WHEN "1001100011" =>
manhi <= conv_std_logic_vector(7169591,24);
manlo <= conv_std_logic_vector(217224162,28);
exponent <= conv_std_logic_vector(141,11);
WHEN "1001100100" =>
manhi <= conv_std_logic_vector(841860,24);
manlo <= conv_std_logic_vector(147476782,28);
exponent <= conv_std_logic_vector(140,11);
WHEN "1001100101" =>
manhi <= conv_std_logic_vector(9149568,24);
manlo <= conv_std_logic_vector(37524984,28);
exponent <= conv_std_logic_vector(138,11);
WHEN "1001100110" =>
manhi <= conv_std_logic_vector(2298645,24);
manlo <= conv_std_logic_vector(193659590,28);
exponent <= conv_std_logic_vector(137,11);
WHEN "1001100111" =>
manhi <= conv_std_logic_vector(11293253,24);
manlo <= conv_std_logic_vector(107316618,28);
exponent <= conv_std_logic_vector(135,11);
WHEN "1001101000" =>
manhi <= conv_std_logic_vector(3875881,24);
manlo <= conv_std_logic_vector(51654059,28);
exponent <= conv_std_logic_vector(134,11);
WHEN "1001101001" =>
manhi <= conv_std_logic_vector(13614183,24);
manlo <= conv_std_logic_vector(111249634,28);
exponent <= conv_std_logic_vector(132,11);
WHEN "1001101010" =>
manhi <= conv_std_logic_vector(5583526,24);
manlo <= conv_std_logic_vector(17717412,28);
exponent <= conv_std_logic_vector(131,11);
WHEN "1001101011" =>
manhi <= conv_std_logic_vector(16127013,24);
manlo <= conv_std_logic_vector(48769099,28);
exponent <= conv_std_logic_vector(129,11);
WHEN "1001101100" =>
manhi <= conv_std_logic_vector(7432362,24);
manlo <= conv_std_logic_vector(238120049,28);
exponent <= conv_std_logic_vector(128,11);
WHEN "1001101101" =>
manhi <= conv_std_logic_vector(1035196,24);
manlo <= conv_std_logic_vector(188962402,28);
exponent <= conv_std_logic_vector(127,11);
WHEN "1001101110" =>
manhi <= conv_std_logic_vector(9434065,24);
manlo <= conv_std_logic_vector(194820226,28);
exponent <= conv_std_logic_vector(125,11);
WHEN "1001101111" =>
manhi <= conv_std_logic_vector(2507967,24);
manlo <= conv_std_logic_vector(93233285,28);
exponent <= conv_std_logic_vector(124,11);
WHEN "1001110000" =>
manhi <= conv_std_logic_vector(11601273,24);
manlo <= conv_std_logic_vector(239123672,28);
exponent <= conv_std_logic_vector(122,11);
WHEN "1001110001" =>
manhi <= conv_std_logic_vector(4102510,24);
manlo <= conv_std_logic_vector(1244898,28);
exponent <= conv_std_logic_vector(121,11);
WHEN "1001110010" =>
manhi <= conv_std_logic_vector(13947671,24);
manlo <= conv_std_logic_vector(197996828,28);
exponent <= conv_std_logic_vector(119,11);
WHEN "1001110011" =>
manhi <= conv_std_logic_vector(5828893,24);
manlo <= conv_std_logic_vector(16622593,28);
exponent <= conv_std_logic_vector(118,11);
WHEN "1001110100" =>
manhi <= conv_std_logic_vector(16488075,24);
manlo <= conv_std_logic_vector(20144764,28);
exponent <= conv_std_logic_vector(116,11);
WHEN "1001110101" =>
manhi <= conv_std_logic_vector(7698017,24);
manlo <= conv_std_logic_vector(102592250,28);
exponent <= conv_std_logic_vector(115,11);
WHEN "1001110110" =>
manhi <= conv_std_logic_vector(1230654,24);
manlo <= conv_std_logic_vector(96196092,28);
exponent <= conv_std_logic_vector(114,11);
WHEN "1001110111" =>
manhi <= conv_std_logic_vector(9721685,24);
manlo <= conv_std_logic_vector(36636777,28);
exponent <= conv_std_logic_vector(112,11);
WHEN "1001111000" =>
manhi <= conv_std_logic_vector(2719585,24);
manlo <= conv_std_logic_vector(237160861,28);
exponent <= conv_std_logic_vector(111,11);
WHEN "1001111001" =>
manhi <= conv_std_logic_vector(11912674,24);
manlo <= conv_std_logic_vector(87541902,28);
exponent <= conv_std_logic_vector(109,11);
WHEN "1001111010" =>
manhi <= conv_std_logic_vector(4331625,24);
manlo <= conv_std_logic_vector(172036325,28);
exponent <= conv_std_logic_vector(108,11);
WHEN "1001111011" =>
manhi <= conv_std_logic_vector(14284819,24);
manlo <= conv_std_logic_vector(125225503,28);
exponent <= conv_std_logic_vector(106,11);
WHEN "1001111100" =>
manhi <= conv_std_logic_vector(6076952,24);
manlo <= conv_std_logic_vector(133715238,28);
exponent <= conv_std_logic_vector(105,11);
WHEN "1001111101" =>
manhi <= conv_std_logic_vector(37941,24);
manlo <= conv_std_logic_vector(126448851,28);
exponent <= conv_std_logic_vector(104,11);
WHEN "1001111110" =>
manhi <= conv_std_logic_vector(7966586,24);
manlo <= conv_std_logic_vector(250893596,28);
exponent <= conv_std_logic_vector(102,11);
WHEN "1001111111" =>
manhi <= conv_std_logic_vector(1428256,24);
manlo <= conv_std_logic_vector(212630882,28);
exponent <= conv_std_logic_vector(101,11);
WHEN "1010000000" =>
manhi <= conv_std_logic_vector(10012460,24);
manlo <= conv_std_logic_vector(168603206,28);
exponent <= conv_std_logic_vector(99,11);
WHEN "1010000001" =>
manhi <= conv_std_logic_vector(2933526,24);
manlo <= conv_std_logic_vector(143402282,28);
exponent <= conv_std_logic_vector(98,11);
WHEN "1010000010" =>
manhi <= conv_std_logic_vector(12227491,24);
manlo <= conv_std_logic_vector(213203509,28);
exponent <= conv_std_logic_vector(96,11);
WHEN "1010000011" =>
manhi <= conv_std_logic_vector(4563255,24);
manlo <= conv_std_logic_vector(104522224,28);
exponent <= conv_std_logic_vector(95,11);
WHEN "1010000100" =>
manhi <= conv_std_logic_vector(14625666,24);
manlo <= conv_std_logic_vector(203000190,28);
exponent <= conv_std_logic_vector(93,11);
WHEN "1010000101" =>
manhi <= conv_std_logic_vector(6327733,24);
manlo <= conv_std_logic_vector(246711469,28);
exponent <= conv_std_logic_vector(92,11);
WHEN "1010000110" =>
manhi <= conv_std_logic_vector(222456,24);
manlo <= conv_std_logic_vector(34640152,28);
exponent <= conv_std_logic_vector(91,11);
WHEN "1010000111" =>
manhi <= conv_std_logic_vector(8238103,24);
manlo <= conv_std_logic_vector(142733230,28);
exponent <= conv_std_logic_vector(89,11);
WHEN "1010001000" =>
manhi <= conv_std_logic_vector(1628027,24);
manlo <= conv_std_logic_vector(144984792,28);
exponent <= conv_std_logic_vector(88,11);
WHEN "1010001001" =>
manhi <= conv_std_logic_vector(10306426,24);
manlo <= conv_std_logic_vector(223510234,28);
exponent <= conv_std_logic_vector(86,11);
WHEN "1010001010" =>
manhi <= conv_std_logic_vector(3149814,24);
manlo <= conv_std_logic_vector(209464872,28);
exponent <= conv_std_logic_vector(85,11);
WHEN "1010001011" =>
manhi <= conv_std_logic_vector(12545763,24);
manlo <= conv_std_logic_vector(212245812,28);
exponent <= conv_std_logic_vector(83,11);
WHEN "1010001100" =>
manhi <= conv_std_logic_vector(4797426,24);
manlo <= conv_std_logic_vector(224882259,28);
exponent <= conv_std_logic_vector(82,11);
WHEN "1010001101" =>
manhi <= conv_std_logic_vector(14970254,24);
manlo <= conv_std_logic_vector(54358776,28);
exponent <= conv_std_logic_vector(80,11);
WHEN "1010001110" =>
manhi <= conv_std_logic_vector(6581267,24);
manlo <= conv_std_logic_vector(51917314,28);
exponent <= conv_std_logic_vector(79,11);
WHEN "1010001111" =>
manhi <= conv_std_logic_vector(408995,24);
manlo <= conv_std_logic_vector(130890803,28);
exponent <= conv_std_logic_vector(78,11);
WHEN "1010010000" =>
manhi <= conv_std_logic_vector(8512599,24);
manlo <= conv_std_logic_vector(137347476,28);
exponent <= conv_std_logic_vector(76,11);
WHEN "1010010001" =>
manhi <= conv_std_logic_vector(1829990,24);
manlo <= conv_std_logic_vector(106170554,28);
exponent <= conv_std_logic_vector(75,11);
WHEN "1010010010" =>
manhi <= conv_std_logic_vector(10603618,24);
manlo <= conv_std_logic_vector(204595238,28);
exponent <= conv_std_logic_vector(73,11);
WHEN "1010010011" =>
manhi <= conv_std_logic_vector(3368476,24);
manlo <= conv_std_logic_vector(102605239,28);
exponent <= conv_std_logic_vector(72,11);
WHEN "1010010100" =>
manhi <= conv_std_logic_vector(12867528,24);
manlo <= conv_std_logic_vector(59687307,28);
exponent <= conv_std_logic_vector(70,11);
WHEN "1010010101" =>
manhi <= conv_std_logic_vector(5034167,24);
manlo <= conv_std_logic_vector(235251142,28);
exponent <= conv_std_logic_vector(69,11);
WHEN "1010010110" =>
manhi <= conv_std_logic_vector(15318622,24);
manlo <= conv_std_logic_vector(227223140,28);
exponent <= conv_std_logic_vector(67,11);
WHEN "1010010111" =>
manhi <= conv_std_logic_vector(6837582,24);
manlo <= conv_std_logic_vector(138925467,28);
exponent <= conv_std_logic_vector(66,11);
WHEN "1010011000" =>
manhi <= conv_std_logic_vector(597581,24);
manlo <= conv_std_logic_vector(205088968,28);
exponent <= conv_std_logic_vector(65,11);
WHEN "1010011001" =>
manhi <= conv_std_logic_vector(8790107,24);
manlo <= conv_std_logic_vector(152356460,28);
exponent <= conv_std_logic_vector(63,11);
WHEN "1010011010" =>
manhi <= conv_std_logic_vector(2034169,24);
manlo <= conv_std_logic_vector(110749946,28);
exponent <= conv_std_logic_vector(62,11);
WHEN "1010011011" =>
manhi <= conv_std_logic_vector(10904071,24);
manlo <= conv_std_logic_vector(218226183,28);
exponent <= conv_std_logic_vector(60,11);
WHEN "1010011100" =>
manhi <= conv_std_logic_vector(3589537,24);
manlo <= conv_std_logic_vector(102830143,28);
exponent <= conv_std_logic_vector(59,11);
WHEN "1010011101" =>
manhi <= conv_std_logic_vector(13192823,24);
manlo <= conv_std_logic_vector(110639602,28);
exponent <= conv_std_logic_vector(57,11);
WHEN "1010011110" =>
manhi <= conv_std_logic_vector(5273506,24);
manlo <= conv_std_logic_vector(188352155,28);
exponent <= conv_std_logic_vector(56,11);
WHEN "1010011111" =>
manhi <= conv_std_logic_vector(15670814,24);
manlo <= conv_std_logic_vector(48227643,28);
exponent <= conv_std_logic_vector(54,11);
WHEN "1010100000" =>
manhi <= conv_std_logic_vector(7096710,24);
manlo <= conv_std_logic_vector(112532514,28);
exponent <= conv_std_logic_vector(53,11);
WHEN "1010100001" =>
manhi <= conv_std_logic_vector(788237,24);
manlo <= conv_std_logic_vector(112565412,28);
exponent <= conv_std_logic_vector(52,11);
WHEN "1010100010" =>
manhi <= conv_std_logic_vector(9070660,24);
manlo <= conv_std_logic_vector(201680253,28);
exponent <= conv_std_logic_vector(50,11);
WHEN "1010100011" =>
manhi <= conv_std_logic_vector(2240588,24);
manlo <= conv_std_logic_vector(244138286,28);
exponent <= conv_std_logic_vector(49,11);
WHEN "1010100100" =>
manhi <= conv_std_logic_vector(11207821,24);
manlo <= conv_std_logic_vector(206597824,28);
exponent <= conv_std_logic_vector(47,11);
WHEN "1010100101" =>
manhi <= conv_std_logic_vector(3813024,24);
manlo <= conv_std_logic_vector(29987310,28);
exponent <= conv_std_logic_vector(46,11);
WHEN "1010100110" =>
manhi <= conv_std_logic_vector(13521688,24);
manlo <= conv_std_logic_vector(27790821,28);
exponent <= conv_std_logic_vector(44,11);
WHEN "1010100111" =>
manhi <= conv_std_logic_vector(5515471,24);
manlo <= conv_std_logic_vector(219963166,28);
exponent <= conv_std_logic_vector(43,11);
WHEN "1010101000" =>
manhi <= conv_std_logic_vector(16026870,24);
manlo <= conv_std_logic_vector(39964772,28);
exponent <= conv_std_logic_vector(41,11);
WHEN "1010101001" =>
manhi <= conv_std_logic_vector(7358681,24);
manlo <= conv_std_logic_vector(204327682,28);
exponent <= conv_std_logic_vector(40,11);
WHEN "1010101010" =>
manhi <= conv_std_logic_vector(980985,24);
manlo <= conv_std_logic_vector(43247066,28);
exponent <= conv_std_logic_vector(39,11);
WHEN "1010101011" =>
manhi <= conv_std_logic_vector(9354292,24);
manlo <= conv_std_logic_vector(128160132,28);
exponent <= conv_std_logic_vector(37,11);
WHEN "1010101100" =>
manhi <= conv_std_logic_vector(2449273,24);
manlo <= conv_std_logic_vector(126511008,28);
exponent <= conv_std_logic_vector(36,11);
WHEN "1010101101" =>
manhi <= conv_std_logic_vector(11514904,24);
manlo <= conv_std_logic_vector(217311246,28);
exponent <= conv_std_logic_vector(34,11);
WHEN "1010101110" =>
manhi <= conv_std_logic_vector(4038963,24);
manlo <= conv_std_logic_vector(49913566,28);
exponent <= conv_std_logic_vector(33,11);
WHEN "1010101111" =>
manhi <= conv_std_logic_vector(13854161,24);
manlo <= conv_std_logic_vector(124821568,28);
exponent <= conv_std_logic_vector(31,11);
WHEN "1010110000" =>
manhi <= conv_std_logic_vector(5760092,24);
manlo <= conv_std_logic_vector(12957085,28);
exponent <= conv_std_logic_vector(30,11);
WHEN "1010110001" =>
manhi <= conv_std_logic_vector(16386833,24);
manlo <= conv_std_logic_vector(43278038,28);
exponent <= conv_std_logic_vector(28,11);
WHEN "1010110010" =>
manhi <= conv_std_logic_vector(7623527,24);
manlo <= conv_std_logic_vector(199937734,28);
exponent <= conv_std_logic_vector(27,11);
WHEN "1010110011" =>
manhi <= conv_std_logic_vector(1175847,24);
manlo <= conv_std_logic_vector(253947561,28);
exponent <= conv_std_logic_vector(26,11);
WHEN "1010110100" =>
manhi <= conv_std_logic_vector(9641036,24);
manlo <= conv_std_logic_vector(141497796,28);
exponent <= conv_std_logic_vector(24,11);
WHEN "1010110101" =>
manhi <= conv_std_logic_vector(2660247,24);
manlo <= conv_std_logic_vector(255766959,28);
exponent <= conv_std_logic_vector(23,11);
WHEN "1010110110" =>
manhi <= conv_std_logic_vector(11825357,24);
manlo <= conv_std_logic_vector(136095046,28);
exponent <= conv_std_logic_vector(21,11);
WHEN "1010110111" =>
manhi <= conv_std_logic_vector(4267381,24);
manlo <= conv_std_logic_vector(138414926,28);
exponent <= conv_std_logic_vector(20,11);
WHEN "1010111000" =>
manhi <= conv_std_logic_vector(14190283,24);
manlo <= conv_std_logic_vector(25479908,28);
exponent <= conv_std_logic_vector(18,11);
WHEN "1010111001" =>
manhi <= conv_std_logic_vector(6007396,24);
manlo <= conv_std_logic_vector(140400514,28);
exponent <= conv_std_logic_vector(17,11);
WHEN "1010111010" =>
manhi <= conv_std_logic_vector(16750746,24);
manlo <= conv_std_logic_vector(23924155,28);
exponent <= conv_std_logic_vector(15,11);
WHEN "1010111011" =>
manhi <= conv_std_logic_vector(7891279,24);
manlo <= conv_std_logic_vector(245330892,28);
exponent <= conv_std_logic_vector(14,11);
WHEN "1010111100" =>
manhi <= conv_std_logic_vector(1372848,24);
manlo <= conv_std_logic_vector(263794815,28);
exponent <= conv_std_logic_vector(13,11);
WHEN "1010111101" =>
manhi <= conv_std_logic_vector(9930927,24);
manlo <= conv_std_logic_vector(14029030,28);
exponent <= conv_std_logic_vector(11,11);
WHEN "1010111110" =>
manhi <= conv_std_logic_vector(2873537,24);
manlo <= conv_std_logic_vector(129274844,28);
exponent <= conv_std_logic_vector(10,11);
WHEN "1010111111" =>
manhi <= conv_std_logic_vector(12139216,24);
manlo <= conv_std_logic_vector(224845565,28);
exponent <= conv_std_logic_vector(8,11);
WHEN "1011000000" =>
manhi <= conv_std_logic_vector(4498306,24);
manlo <= conv_std_logic_vector(82126943,28);
exponent <= conv_std_logic_vector(7,11);
WHEN "1011000001" =>
manhi <= conv_std_logic_vector(14530093,24);
manlo <= conv_std_logic_vector(7024665,28);
exponent <= conv_std_logic_vector(5,11);
WHEN "1011000010" =>
manhi <= conv_std_logic_vector(6257414,24);
manlo <= conv_std_logic_vector(187437029,28);
exponent <= conv_std_logic_vector(4,11);
WHEN "1011000011" =>
manhi <= conv_std_logic_vector(170718,24);
manlo <= conv_std_logic_vector(36971864,28);
exponent <= conv_std_logic_vector(3,11);
WHEN "1011000100" =>
manhi <= conv_std_logic_vector(8161970,24);
manlo <= conv_std_logic_vector(42518955,28);
exponent <= conv_std_logic_vector(1,11);
WHEN "1011000101" =>
manhi <= conv_std_logic_vector(1572011,24);
manlo <= conv_std_logic_vector(197150320,28);
exponent <= conv_std_logic_vector(0,11);
WHEN others =>
manhi <= conv_std_logic_vector(0,24);
manlo <= conv_std_logic_vector(0,28);
exponent <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/dp_explutneg.vhd | 10 | 140760 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_EXPLUTNEG.VHD ***
--*** ***
--*** Function: Look Up Table - EXP() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_explutneg IS
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_explutneg;
ARCHITECTURE rtl OF dp_explutneg IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "0000000000" =>
manhi <= conv_std_logic_vector(0,24);
manlo <= conv_std_logic_vector(0,28);
exponent <= conv_std_logic_vector(1023,11);
WHEN "0000000001" =>
manhi <= conv_std_logic_vector(7910755,24);
manlo <= conv_std_logic_vector(103608120,28);
exponent <= conv_std_logic_vector(1021,11);
WHEN "0000000010" =>
manhi <= conv_std_logic_vector(1387178,24);
manlo <= conv_std_logic_vector(62882252,28);
exponent <= conv_std_logic_vector(1020,11);
WHEN "0000000011" =>
manhi <= conv_std_logic_vector(9952012,24);
manlo <= conv_std_logic_vector(214872239,28);
exponent <= conv_std_logic_vector(1018,11);
WHEN "0000000100" =>
manhi <= conv_std_logic_vector(2889051,24);
manlo <= conv_std_logic_vector(136396020,28);
exponent <= conv_std_logic_vector(1017,11);
WHEN "0000000101" =>
manhi <= conv_std_logic_vector(12162046,24);
manlo <= conv_std_logic_vector(873334,28);
exponent <= conv_std_logic_vector(1015,11);
WHEN "0000000110" =>
manhi <= conv_std_logic_vector(4515103,24);
manlo <= conv_std_logic_vector(18076886,28);
exponent <= conv_std_logic_vector(1014,11);
WHEN "0000000111" =>
manhi <= conv_std_logic_vector(14554809,24);
manlo <= conv_std_logic_vector(203729295,28);
exponent <= conv_std_logic_vector(1012,11);
WHEN "0000001000" =>
manhi <= conv_std_logic_vector(6275600,24);
manlo <= conv_std_logic_vector(68167597,28);
exponent <= conv_std_logic_vector(1011,11);
WHEN "0000001001" =>
manhi <= conv_std_logic_vector(184098,24);
manlo <= conv_std_logic_vector(86398042,28);
exponent <= conv_std_logic_vector(1010,11);
WHEN "0000001010" =>
manhi <= conv_std_logic_vector(8181659,24);
manlo <= conv_std_logic_vector(90471578,28);
exponent <= conv_std_logic_vector(1008,11);
WHEN "0000001011" =>
manhi <= conv_std_logic_vector(1586498,24);
manlo <= conv_std_logic_vector(59729764,28);
exponent <= conv_std_logic_vector(1007,11);
WHEN "0000001100" =>
manhi <= conv_std_logic_vector(10245315,24);
manlo <= conv_std_logic_vector(188988555,28);
exponent <= conv_std_logic_vector(1005,11);
WHEN "0000001101" =>
manhi <= conv_std_logic_vector(3104851,24);
manlo <= conv_std_logic_vector(194518424,28);
exponent <= conv_std_logic_vector(1004,11);
WHEN "0000001110" =>
manhi <= conv_std_logic_vector(12479599,24);
manlo <= conv_std_logic_vector(229643794,28);
exponent <= conv_std_logic_vector(1002,11);
WHEN "0000001111" =>
manhi <= conv_std_logic_vector(4748746,24);
manlo <= conv_std_logic_vector(36170808,28);
exponent <= conv_std_logic_vector(1001,11);
WHEN "0000010000" =>
manhi <= conv_std_logic_vector(14898619,24);
manlo <= conv_std_logic_vector(183403979,28);
exponent <= conv_std_logic_vector(999,11);
WHEN "0000010001" =>
manhi <= conv_std_logic_vector(6528561,24);
manlo <= conv_std_logic_vector(123365533,28);
exponent <= conv_std_logic_vector(998,11);
WHEN "0000010010" =>
manhi <= conv_std_logic_vector(370216,24);
manlo <= conv_std_logic_vector(208248737,28);
exponent <= conv_std_logic_vector(997,11);
WHEN "0000010011" =>
manhi <= conv_std_logic_vector(8455535,24);
manlo <= conv_std_logic_vector(254564210,28);
exponent <= conv_std_logic_vector(995,11);
WHEN "0000010100" =>
manhi <= conv_std_logic_vector(1788005,24);
manlo <= conv_std_logic_vector(99840615,28);
exponent <= conv_std_logic_vector(994,11);
WHEN "0000010101" =>
manhi <= conv_std_logic_vector(10541837,24);
manlo <= conv_std_logic_vector(14529516,28);
exponent <= conv_std_logic_vector(992,11);
WHEN "0000010110" =>
manhi <= conv_std_logic_vector(3323019,24);
manlo <= conv_std_logic_vector(252804514,28);
exponent <= conv_std_logic_vector(991,11);
WHEN "0000010111" =>
manhi <= conv_std_logic_vector(12800638,24);
manlo <= conv_std_logic_vector(70515377,28);
exponent <= conv_std_logic_vector(989,11);
WHEN "0000011000" =>
manhi <= conv_std_logic_vector(4984952,24);
manlo <= conv_std_logic_vector(266936970,28);
exponent <= conv_std_logic_vector(988,11);
WHEN "0000011001" =>
manhi <= conv_std_logic_vector(15246202,24);
manlo <= conv_std_logic_vector(73384750,28);
exponent <= conv_std_logic_vector(986,11);
WHEN "0000011010" =>
manhi <= conv_std_logic_vector(6784298,24);
manlo <= conv_std_logic_vector(117472834,28);
exponent <= conv_std_logic_vector(985,11);
WHEN "0000011011" =>
manhi <= conv_std_logic_vector(558377,24);
manlo <= conv_std_logic_vector(141983386,28);
exponent <= conv_std_logic_vector(984,11);
WHEN "0000011100" =>
manhi <= conv_std_logic_vector(8732417,24);
manlo <= conv_std_logic_vector(225268666,28);
exponent <= conv_std_logic_vector(982,11);
WHEN "0000011101" =>
manhi <= conv_std_logic_vector(1991723,24);
manlo <= conv_std_logic_vector(183207072,28);
exponent <= conv_std_logic_vector(981,11);
WHEN "0000011110" =>
manhi <= conv_std_logic_vector(10841612,24);
manlo <= conv_std_logic_vector(44859253,28);
exponent <= conv_std_logic_vector(979,11);
WHEN "0000011111" =>
manhi <= conv_std_logic_vector(3543582,24);
manlo <= conv_std_logic_vector(38615994,28);
exponent <= conv_std_logic_vector(978,11);
WHEN "0000100000" =>
manhi <= conv_std_logic_vector(13125199,24);
manlo <= conv_std_logic_vector(123823208,28);
exponent <= conv_std_logic_vector(976,11);
WHEN "0000100001" =>
manhi <= conv_std_logic_vector(5223751,24);
manlo <= conv_std_logic_vector(209149352,28);
exponent <= conv_std_logic_vector(975,11);
WHEN "0000100010" =>
manhi <= conv_std_logic_vector(15597598,24);
manlo <= conv_std_logic_vector(248916640,28);
exponent <= conv_std_logic_vector(973,11);
WHEN "0000100011" =>
manhi <= conv_std_logic_vector(7042841,24);
manlo <= conv_std_logic_vector(173666528,28);
exponent <= conv_std_logic_vector(972,11);
WHEN "0000100100" =>
manhi <= conv_std_logic_vector(748602,24);
manlo <= conv_std_logic_vector(266199140,28);
exponent <= conv_std_logic_vector(971,11);
WHEN "0000100101" =>
manhi <= conv_std_logic_vector(9012337,24);
manlo <= conv_std_logic_vector(264921173,28);
exponent <= conv_std_logic_vector(969,11);
WHEN "0000100110" =>
manhi <= conv_std_logic_vector(2197677,24);
manlo <= conv_std_logic_vector(112079619,28);
exponent <= conv_std_logic_vector(968,11);
WHEN "0000100111" =>
manhi <= conv_std_logic_vector(11144676,24);
manlo <= conv_std_logic_vector(200497976,28);
exponent <= conv_std_logic_vector(966,11);
WHEN "0000101000" =>
manhi <= conv_std_logic_vector(3766564,24);
manlo <= conv_std_logic_vector(161159716,28);
exponent <= conv_std_logic_vector(965,11);
WHEN "0000101001" =>
manhi <= conv_std_logic_vector(13453322,24);
manlo <= conv_std_logic_vector(28788768,28);
exponent <= conv_std_logic_vector(963,11);
WHEN "0000101010" =>
manhi <= conv_std_logic_vector(5465170,24);
manlo <= conv_std_logic_vector(249755484,28);
exponent <= conv_std_logic_vector(962,11);
WHEN "0000101011" =>
manhi <= conv_std_logic_vector(15952851,24);
manlo <= conv_std_logic_vector(133443390,28);
exponent <= conv_std_logic_vector(960,11);
WHEN "0000101100" =>
manhi <= conv_std_logic_vector(7304221,24);
manlo <= conv_std_logic_vector(236407020,28);
exponent <= conv_std_logic_vector(959,11);
WHEN "0000101101" =>
manhi <= conv_std_logic_vector(940915,24);
manlo <= conv_std_logic_vector(220198205,28);
exponent <= conv_std_logic_vector(958,11);
WHEN "0000101110" =>
manhi <= conv_std_logic_vector(9295329,24);
manlo <= conv_std_logic_vector(196124030,28);
exponent <= conv_std_logic_vector(956,11);
WHEN "0000101111" =>
manhi <= conv_std_logic_vector(2405891,24);
manlo <= conv_std_logic_vector(28613594,28);
exponent <= conv_std_logic_vector(955,11);
WHEN "0000110000" =>
manhi <= conv_std_logic_vector(11451066,24);
manlo <= conv_std_logic_vector(238698933,28);
exponent <= conv_std_logic_vector(953,11);
WHEN "0000110001" =>
manhi <= conv_std_logic_vector(3991993,24);
manlo <= conv_std_logic_vector(233279365,28);
exponent <= conv_std_logic_vector(952,11);
WHEN "0000110010" =>
manhi <= conv_std_logic_vector(13785045,24);
manlo <= conv_std_logic_vector(75368511,28);
exponent <= conv_std_logic_vector(950,11);
WHEN "0000110011" =>
manhi <= conv_std_logic_vector(5709239,24);
manlo <= conv_std_logic_vector(54173026,28);
exponent <= conv_std_logic_vector(949,11);
WHEN "0000110100" =>
manhi <= conv_std_logic_vector(16312002,24);
manlo <= conv_std_logic_vector(78993710,28);
exponent <= conv_std_logic_vector(947,11);
WHEN "0000110101" =>
manhi <= conv_std_logic_vector(7568470,24);
manlo <= conv_std_logic_vector(72422582,28);
exponent <= conv_std_logic_vector(946,11);
WHEN "0000110110" =>
manhi <= conv_std_logic_vector(1135338,24);
manlo <= conv_std_logic_vector(246889480,28);
exponent <= conv_std_logic_vector(945,11);
WHEN "0000110111" =>
manhi <= conv_std_logic_vector(9581426,24);
manlo <= conv_std_logic_vector(208117876,28);
exponent <= conv_std_logic_vector(943,11);
WHEN "0000111000" =>
manhi <= conv_std_logic_vector(2616389,24);
manlo <= conv_std_logic_vector(147217980,28);
exponent <= conv_std_logic_vector(942,11);
WHEN "0000111001" =>
manhi <= conv_std_logic_vector(11760819,24);
manlo <= conv_std_logic_vector(23037889,28);
exponent <= conv_std_logic_vector(940,11);
WHEN "0000111010" =>
manhi <= conv_std_logic_vector(4219896,24);
manlo <= conv_std_logic_vector(214481816,28);
exponent <= conv_std_logic_vector(939,11);
WHEN "0000111011" =>
manhi <= conv_std_logic_vector(14120408,24);
manlo <= conv_std_logic_vector(131761485,28);
exponent <= conv_std_logic_vector(937,11);
WHEN "0000111100" =>
manhi <= conv_std_logic_vector(5955985,24);
manlo <= conv_std_logic_vector(177821786,28);
exponent <= conv_std_logic_vector(936,11);
WHEN "0000111101" =>
manhi <= conv_std_logic_vector(16675094,24);
manlo <= conv_std_logic_vector(25356748,28);
exponent <= conv_std_logic_vector(934,11);
WHEN "0000111110" =>
manhi <= conv_std_logic_vector(7835618,24);
manlo <= conv_std_logic_vector(77011020,28);
exponent <= conv_std_logic_vector(933,11);
WHEN "0000111111" =>
manhi <= conv_std_logic_vector(1331895,24);
manlo <= conv_std_logic_vector(119779026,28);
exponent <= conv_std_logic_vector(932,11);
WHEN "0001000000" =>
manhi <= conv_std_logic_vector(9870663,24);
manlo <= conv_std_logic_vector(52552908,28);
exponent <= conv_std_logic_vector(930,11);
WHEN "0001000001" =>
manhi <= conv_std_logic_vector(2829197,24);
manlo <= conv_std_logic_vector(218477336,28);
exponent <= conv_std_logic_vector(929,11);
WHEN "0001000010" =>
manhi <= conv_std_logic_vector(12073970,24);
manlo <= conv_std_logic_vector(61450731,28);
exponent <= conv_std_logic_vector(927,11);
WHEN "0001000011" =>
manhi <= conv_std_logic_vector(4450300,24);
manlo <= conv_std_logic_vector(143360086,28);
exponent <= conv_std_logic_vector(926,11);
WHEN "0001000100" =>
manhi <= conv_std_logic_vector(14459451,24);
manlo <= conv_std_logic_vector(182543396,28);
exponent <= conv_std_logic_vector(924,11);
WHEN "0001000101" =>
manhi <= conv_std_logic_vector(6205439,24);
manlo <= conv_std_logic_vector(188004913,28);
exponent <= conv_std_logic_vector(923,11);
WHEN "0001000110" =>
manhi <= conv_std_logic_vector(132477,24);
manlo <= conv_std_logic_vector(19160299,28);
exponent <= conv_std_logic_vector(922,11);
WHEN "0001000111" =>
manhi <= conv_std_logic_vector(8105697,24);
manlo <= conv_std_logic_vector(201304075,28);
exponent <= conv_std_logic_vector(920,11);
WHEN "0001001000" =>
manhi <= conv_std_logic_vector(1530608,24);
manlo <= conv_std_logic_vector(217452229,28);
exponent <= conv_std_logic_vector(919,11);
WHEN "0001001001" =>
manhi <= conv_std_logic_vector(10163073,24);
manlo <= conv_std_logic_vector(118320126,28);
exponent <= conv_std_logic_vector(917,11);
WHEN "0001001010" =>
manhi <= conv_std_logic_vector(3044341,24);
manlo <= conv_std_logic_vector(66824260,28);
exponent <= conv_std_logic_vector(916,11);
WHEN "0001001011" =>
manhi <= conv_std_logic_vector(12390557,24);
manlo <= conv_std_logic_vector(165235674,28);
exponent <= conv_std_logic_vector(914,11);
WHEN "0001001100" =>
manhi <= conv_std_logic_vector(4683232,24);
manlo <= conv_std_logic_vector(138461149,28);
exponent <= conv_std_logic_vector(913,11);
WHEN "0001001101" =>
manhi <= conv_std_logic_vector(14802215,24);
manlo <= conv_std_logic_vector(61508170,28);
exponent <= conv_std_logic_vector(911,11);
WHEN "0001001110" =>
manhi <= conv_std_logic_vector(6457631,24);
manlo <= conv_std_logic_vector(7025744,28);
exponent <= conv_std_logic_vector(910,11);
WHEN "0001001111" =>
manhi <= conv_std_logic_vector(318029,24);
manlo <= conv_std_logic_vector(21309721,28);
exponent <= conv_std_logic_vector(909,11);
WHEN "0001010000" =>
manhi <= conv_std_logic_vector(8378740,24);
manlo <= conv_std_logic_vector(221720132,28);
exponent <= conv_std_logic_vector(907,11);
WHEN "0001010001" =>
manhi <= conv_std_logic_vector(1731502,24);
manlo <= conv_std_logic_vector(182144977,28);
exponent <= conv_std_logic_vector(906,11);
WHEN "0001010010" =>
manhi <= conv_std_logic_vector(10458692,24);
manlo <= conv_std_logic_vector(90475423,28);
exponent <= conv_std_logic_vector(904,11);
WHEN "0001010011" =>
manhi <= conv_std_logic_vector(3261845,24);
manlo <= conv_std_logic_vector(128220642,28);
exponent <= conv_std_logic_vector(903,11);
WHEN "0001010100" =>
manhi <= conv_std_logic_vector(12710618,24);
manlo <= conv_std_logic_vector(255552070,28);
exponent <= conv_std_logic_vector(901,11);
WHEN "0001010101" =>
manhi <= conv_std_logic_vector(4918720,24);
manlo <= conv_std_logic_vector(130727832,28);
exponent <= conv_std_logic_vector(900,11);
WHEN "0001010110" =>
manhi <= conv_std_logic_vector(15148739,24);
manlo <= conv_std_logic_vector(258265342,28);
exponent <= conv_std_logic_vector(898,11);
WHEN "0001010111" =>
manhi <= conv_std_logic_vector(6712589,24);
manlo <= conv_std_logic_vector(181573149,28);
exponent <= conv_std_logic_vector(897,11);
WHEN "0001011000" =>
manhi <= conv_std_logic_vector(505617,24);
manlo <= conv_std_logic_vector(45883390,28);
exponent <= conv_std_logic_vector(896,11);
WHEN "0001011001" =>
manhi <= conv_std_logic_vector(8654780,24);
manlo <= conv_std_logic_vector(9428106,28);
exponent <= conv_std_logic_vector(894,11);
WHEN "0001011010" =>
manhi <= conv_std_logic_vector(1934600,24);
manlo <= conv_std_logic_vector(262677610,28);
exponent <= conv_std_logic_vector(893,11);
WHEN "0001011011" =>
manhi <= conv_std_logic_vector(10757555,24);
manlo <= conv_std_logic_vector(25094861,28);
exponent <= conv_std_logic_vector(891,11);
WHEN "0001011100" =>
manhi <= conv_std_logic_vector(3481736,24);
manlo <= conv_std_logic_vector(108799619,28);
exponent <= conv_std_logic_vector(890,11);
WHEN "0001011101" =>
manhi <= conv_std_logic_vector(13034192,24);
manlo <= conv_std_logic_vector(96190464,28);
exponent <= conv_std_logic_vector(888,11);
WHEN "0001011110" =>
manhi <= conv_std_logic_vector(5156792,24);
manlo <= conv_std_logic_vector(132821234,28);
exponent <= conv_std_logic_vector(887,11);
WHEN "0001011111" =>
manhi <= conv_std_logic_vector(15499067,24);
manlo <= conv_std_logic_vector(40497064,28);
exponent <= conv_std_logic_vector(885,11);
WHEN "0001100000" =>
manhi <= conv_std_logic_vector(6970346,24);
manlo <= conv_std_logic_vector(4633646,28);
exponent <= conv_std_logic_vector(884,11);
WHEN "0001100001" =>
manhi <= conv_std_logic_vector(695263,24);
manlo <= conv_std_logic_vector(184734272,28);
exponent <= conv_std_logic_vector(883,11);
WHEN "0001100010" =>
manhi <= conv_std_logic_vector(8933848,24);
manlo <= conv_std_logic_vector(68258056,28);
exponent <= conv_std_logic_vector(881,11);
WHEN "0001100011" =>
manhi <= conv_std_logic_vector(2139927,24);
manlo <= conv_std_logic_vector(241478077,28);
exponent <= conv_std_logic_vector(880,11);
WHEN "0001100100" =>
manhi <= conv_std_logic_vector(11059697,24);
manlo <= conv_std_logic_vector(81964893,28);
exponent <= conv_std_logic_vector(878,11);
WHEN "0001100101" =>
manhi <= conv_std_logic_vector(3704040,24);
manlo <= conv_std_logic_vector(59435624,28);
exponent <= conv_std_logic_vector(877,11);
WHEN "0001100110" =>
manhi <= conv_std_logic_vector(13361316,24);
manlo <= conv_std_logic_vector(100097713,28);
exponent <= conv_std_logic_vector(875,11);
WHEN "0001100111" =>
manhi <= conv_std_logic_vector(5397476,24);
manlo <= conv_std_logic_vector(240017437,28);
exponent <= conv_std_logic_vector(874,11);
WHEN "0001101000" =>
manhi <= conv_std_logic_vector(15853238,24);
manlo <= conv_std_logic_vector(139632179,28);
exponent <= conv_std_logic_vector(872,11);
WHEN "0001101001" =>
manhi <= conv_std_logic_vector(7230930,24);
manlo <= conv_std_logic_vector(200816807,28);
exponent <= conv_std_logic_vector(871,11);
WHEN "0001101010" =>
manhi <= conv_std_logic_vector(886991,24);
manlo <= conv_std_logic_vector(58654941,28);
exponent <= conv_std_logic_vector(870,11);
WHEN "0001101011" =>
manhi <= conv_std_logic_vector(9215978,24);
manlo <= conv_std_logic_vector(193575030,28);
exponent <= conv_std_logic_vector(868,11);
WHEN "0001101100" =>
manhi <= conv_std_logic_vector(2347507,24);
manlo <= conv_std_logic_vector(240661664,28);
exponent <= conv_std_logic_vector(867,11);
WHEN "0001101101" =>
manhi <= conv_std_logic_vector(11365154,24);
manlo <= conv_std_logic_vector(257284930,28);
exponent <= conv_std_logic_vector(865,11);
WHEN "0001101110" =>
manhi <= conv_std_logic_vector(3928783,24);
manlo <= conv_std_logic_vector(108146246,28);
exponent <= conv_std_logic_vector(864,11);
WHEN "0001101111" =>
manhi <= conv_std_logic_vector(13692029,24);
manlo <= conv_std_logic_vector(256867284,28);
exponent <= conv_std_logic_vector(862,11);
WHEN "0001110000" =>
manhi <= conv_std_logic_vector(5640802,24);
manlo <= conv_std_logic_vector(94243132,28);
exponent <= conv_std_logic_vector(861,11);
WHEN "0001110001" =>
manhi <= conv_std_logic_vector(16211296,24);
manlo <= conv_std_logic_vector(67825654,28);
exponent <= conv_std_logic_vector(859,11);
WHEN "0001110010" =>
manhi <= conv_std_logic_vector(7494374,24);
manlo <= conv_std_logic_vector(242982196,28);
exponent <= conv_std_logic_vector(858,11);
WHEN "0001110011" =>
manhi <= conv_std_logic_vector(1080822,24);
manlo <= conv_std_logic_vector(160277009,28);
exponent <= conv_std_logic_vector(857,11);
WHEN "0001110100" =>
manhi <= conv_std_logic_vector(9501205,24);
manlo <= conv_std_logic_vector(10212621,28);
exponent <= conv_std_logic_vector(855,11);
WHEN "0001110101" =>
manhi <= conv_std_logic_vector(2557365,24);
manlo <= conv_std_logic_vector(185941944,28);
exponent <= conv_std_logic_vector(854,11);
WHEN "0001110110" =>
manhi <= conv_std_logic_vector(11673964,24);
manlo <= conv_std_logic_vector(116382402,28);
exponent <= conv_std_logic_vector(852,11);
WHEN "0001110111" =>
manhi <= conv_std_logic_vector(4155992,24);
manlo <= conv_std_logic_vector(192503267,28);
exponent <= conv_std_logic_vector(851,11);
WHEN "0001111000" =>
manhi <= conv_std_logic_vector(14026372,24);
manlo <= conv_std_logic_vector(133984890,28);
exponent <= conv_std_logic_vector(849,11);
WHEN "0001111001" =>
manhi <= conv_std_logic_vector(5886797,24);
manlo <= conv_std_logic_vector(227169396,28);
exponent <= conv_std_logic_vector(848,11);
WHEN "0001111010" =>
manhi <= conv_std_logic_vector(16573282,24);
manlo <= conv_std_logic_vector(266790864,28);
exponent <= conv_std_logic_vector(846,11);
WHEN "0001111011" =>
manhi <= conv_std_logic_vector(7760709,24);
manlo <= conv_std_logic_vector(232279832,28);
exponent <= conv_std_logic_vector(845,11);
WHEN "0001111100" =>
manhi <= conv_std_logic_vector(1276780,24);
manlo <= conv_std_logic_vector(244188460,28);
exponent <= conv_std_logic_vector(844,11);
WHEN "0001111101" =>
manhi <= conv_std_logic_vector(9789561,24);
manlo <= conv_std_logic_vector(47289108,28);
exponent <= conv_std_logic_vector(842,11);
WHEN "0001111110" =>
manhi <= conv_std_logic_vector(2769526,24);
manlo <= conv_std_logic_vector(75856665,28);
exponent <= conv_std_logic_vector(841,11);
WHEN "0001111111" =>
manhi <= conv_std_logic_vector(11986162,24);
manlo <= conv_std_logic_vector(137053172,28);
exponent <= conv_std_logic_vector(839,11);
WHEN "0010000000" =>
manhi <= conv_std_logic_vector(4385695,24);
manlo <= conv_std_logic_vector(60488459,28);
exponent <= conv_std_logic_vector(838,11);
WHEN "0010000001" =>
manhi <= conv_std_logic_vector(14364383,24);
manlo <= conv_std_logic_vector(220265084,28);
exponent <= conv_std_logic_vector(836,11);
WHEN "0010000010" =>
manhi <= conv_std_logic_vector(6135492,24);
manlo <= conv_std_logic_vector(182090040,28);
exponent <= conv_std_logic_vector(835,11);
WHEN "0010000011" =>
manhi <= conv_std_logic_vector(81012,24);
manlo <= conv_std_logic_vector(249275143,28);
exponent <= conv_std_logic_vector(834,11);
WHEN "0010000100" =>
manhi <= conv_std_logic_vector(8029967,24);
manlo <= conv_std_logic_vector(93846972,28);
exponent <= conv_std_logic_vector(832,11);
WHEN "0010000101" =>
manhi <= conv_std_logic_vector(1474889,24);
manlo <= conv_std_logic_vector(132978099,28);
exponent <= conv_std_logic_vector(831,11);
WHEN "0010000110" =>
manhi <= conv_std_logic_vector(10081081,24);
manlo <= conv_std_logic_vector(128680817,28);
exponent <= conv_std_logic_vector(829,11);
WHEN "0010000111" =>
manhi <= conv_std_logic_vector(2984014,24);
manlo <= conv_std_logic_vector(251002315,28);
exponent <= conv_std_logic_vector(828,11);
WHEN "0010001000" =>
manhi <= conv_std_logic_vector(12301786,24);
manlo <= conv_std_logic_vector(100124707,28);
exponent <= conv_std_logic_vector(826,11);
WHEN "0010001001" =>
manhi <= conv_std_logic_vector(4617918,24);
manlo <= conv_std_logic_vector(76665129,28);
exponent <= conv_std_logic_vector(825,11);
WHEN "0010001010" =>
manhi <= conv_std_logic_vector(14706104,24);
manlo <= conv_std_logic_vector(48076192,28);
exponent <= conv_std_logic_vector(823,11);
WHEN "0010001011" =>
manhi <= conv_std_logic_vector(6386916,24);
manlo <= conv_std_logic_vector(125471070,28);
exponent <= conv_std_logic_vector(822,11);
WHEN "0010001100" =>
manhi <= conv_std_logic_vector(266000,24);
manlo <= conv_std_logic_vector(57624675,28);
exponent <= conv_std_logic_vector(821,11);
WHEN "0010001101" =>
manhi <= conv_std_logic_vector(8302179,24);
manlo <= conv_std_logic_vector(114693198,28);
exponent <= conv_std_logic_vector(819,11);
WHEN "0010001110" =>
manhi <= conv_std_logic_vector(1675171,24);
manlo <= conv_std_logic_vector(254852645,28);
exponent <= conv_std_logic_vector(818,11);
WHEN "0010001111" =>
manhi <= conv_std_logic_vector(10375800,24);
manlo <= conv_std_logic_vector(179426511,28);
exponent <= conv_std_logic_vector(816,11);
WHEN "0010010000" =>
manhi <= conv_std_logic_vector(3200857,24);
manlo <= conv_std_logic_vector(52664716,28);
exponent <= conv_std_logic_vector(815,11);
WHEN "0010010001" =>
manhi <= conv_std_logic_vector(12620873,24);
manlo <= conv_std_logic_vector(164386702,28);
exponent <= conv_std_logic_vector(813,11);
WHEN "0010010010" =>
manhi <= conv_std_logic_vector(4852689,24);
manlo <= conv_std_logic_vector(149310968,28);
exponent <= conv_std_logic_vector(812,11);
WHEN "0010010011" =>
manhi <= conv_std_logic_vector(15051574,24);
manlo <= conv_std_logic_vector(73675604,28);
exponent <= conv_std_logic_vector(810,11);
WHEN "0010010100" =>
manhi <= conv_std_logic_vector(6641099,24);
manlo <= conv_std_logic_vector(42591307,28);
exponent <= conv_std_logic_vector(809,11);
WHEN "0010010101" =>
manhi <= conv_std_logic_vector(453017,24);
manlo <= conv_std_logic_vector(104016801,28);
exponent <= conv_std_logic_vector(808,11);
WHEN "0010010110" =>
manhi <= conv_std_logic_vector(8577378,24);
manlo <= conv_std_logic_vector(139419332,28);
exponent <= conv_std_logic_vector(806,11);
WHEN "0010010111" =>
manhi <= conv_std_logic_vector(1877652,24);
manlo <= conv_std_logic_vector(33778363,28);
exponent <= conv_std_logic_vector(805,11);
WHEN "0010011000" =>
manhi <= conv_std_logic_vector(10673753,24);
manlo <= conv_std_logic_vector(226837452,28);
exponent <= conv_std_logic_vector(803,11);
WHEN "0010011001" =>
manhi <= conv_std_logic_vector(3420078,24);
manlo <= conv_std_logic_vector(239554874,28);
exponent <= conv_std_logic_vector(802,11);
WHEN "0010011010" =>
manhi <= conv_std_logic_vector(12943462,24);
manlo <= conv_std_logic_vector(62486566,28);
exponent <= conv_std_logic_vector(800,11);
WHEN "0010011011" =>
manhi <= conv_std_logic_vector(5090036,24);
manlo <= conv_std_logic_vector(268173235,28);
exponent <= conv_std_logic_vector(799,11);
WHEN "0010011100" =>
manhi <= conv_std_logic_vector(15400835,24);
manlo <= conv_std_logic_vector(67898261,28);
exponent <= conv_std_logic_vector(797,11);
WHEN "0010011101" =>
manhi <= conv_std_logic_vector(6898071,24);
manlo <= conv_std_logic_vector(6935226,28);
exponent <= conv_std_logic_vector(796,11);
WHEN "0010011110" =>
manhi <= conv_std_logic_vector(642086,24);
manlo <= conv_std_logic_vector(193616024,28);
exponent <= conv_std_logic_vector(795,11);
WHEN "0010011111" =>
manhi <= conv_std_logic_vector(8855597,24);
manlo <= conv_std_logic_vector(108124901,28);
exponent <= conv_std_logic_vector(793,11);
WHEN "0010100000" =>
manhi <= conv_std_logic_vector(2082354,24);
manlo <= conv_std_logic_vector(37727361,28);
exponent <= conv_std_logic_vector(792,11);
WHEN "0010100001" =>
manhi <= conv_std_logic_vector(10974976,24);
manlo <= conv_std_logic_vector(133184200,28);
exponent <= conv_std_logic_vector(790,11);
WHEN "0010100010" =>
manhi <= conv_std_logic_vector(3641706,24);
manlo <= conv_std_logic_vector(35844662,28);
exponent <= conv_std_logic_vector(789,11);
WHEN "0010100011" =>
manhi <= conv_std_logic_vector(13269590,24);
manlo <= conv_std_logic_vector(175886280,28);
exponent <= conv_std_logic_vector(787,11);
WHEN "0010100100" =>
manhi <= conv_std_logic_vector(5329988,24);
manlo <= conv_std_logic_vector(236927280,28);
exponent <= conv_std_logic_vector(786,11);
WHEN "0010100101" =>
manhi <= conv_std_logic_vector(15753928,24);
manlo <= conv_std_logic_vector(191213976,28);
exponent <= conv_std_logic_vector(784,11);
WHEN "0010100110" =>
manhi <= conv_std_logic_vector(7157862,24);
manlo <= conv_std_logic_vector(181160855,28);
exponent <= conv_std_logic_vector(783,11);
WHEN "0010100111" =>
manhi <= conv_std_logic_vector(833230,24);
manlo <= conv_std_logic_vector(197197075,28);
exponent <= conv_std_logic_vector(782,11);
WHEN "0010101000" =>
manhi <= conv_std_logic_vector(9136869,24);
manlo <= conv_std_logic_vector(57456047,28);
exponent <= conv_std_logic_vector(780,11);
WHEN "0010101001" =>
manhi <= conv_std_logic_vector(2289302,24);
manlo <= conv_std_logic_vector(100400411,28);
exponent <= conv_std_logic_vector(779,11);
WHEN "0010101010" =>
manhi <= conv_std_logic_vector(11279504,24);
manlo <= conv_std_logic_vector(133702078,28);
exponent <= conv_std_logic_vector(777,11);
WHEN "0010101011" =>
manhi <= conv_std_logic_vector(3865765,24);
manlo <= conv_std_logic_vector(84791606,28);
exponent <= conv_std_logic_vector(776,11);
WHEN "0010101100" =>
manhi <= conv_std_logic_vector(13599297,24);
manlo <= conv_std_logic_vector(193913492,28);
exponent <= conv_std_logic_vector(774,11);
WHEN "0010101101" =>
manhi <= conv_std_logic_vector(5572573,24);
manlo <= conv_std_logic_vector(210951234,28);
exponent <= conv_std_logic_vector(773,11);
WHEN "0010101110" =>
manhi <= conv_std_logic_vector(16110896,24);
manlo <= conv_std_logic_vector(189751005,28);
exponent <= conv_std_logic_vector(771,11);
WHEN "0010101111" =>
manhi <= conv_std_logic_vector(7420505,24);
manlo <= conv_std_logic_vector(12771910,28);
exponent <= conv_std_logic_vector(770,11);
WHEN "0010110000" =>
manhi <= conv_std_logic_vector(1026472,24);
manlo <= conv_std_logic_vector(51864863,28);
exponent <= conv_std_logic_vector(769,11);
WHEN "0010110001" =>
manhi <= conv_std_logic_vector(9421227,24);
manlo <= conv_std_logic_vector(121664951,28);
exponent <= conv_std_logic_vector(767,11);
WHEN "0010110010" =>
manhi <= conv_std_logic_vector(2498521,24);
manlo <= conv_std_logic_vector(127312793,28);
exponent <= conv_std_logic_vector(766,11);
WHEN "0010110011" =>
manhi <= conv_std_logic_vector(11587374,24);
manlo <= conv_std_logic_vector(32431827,28);
exponent <= conv_std_logic_vector(764,11);
WHEN "0010110100" =>
manhi <= conv_std_logic_vector(4092283,24);
manlo <= conv_std_logic_vector(33663701,28);
exponent <= conv_std_logic_vector(763,11);
WHEN "0010110101" =>
manhi <= conv_std_logic_vector(13932622,24);
manlo <= conv_std_logic_vector(188745186,28);
exponent <= conv_std_logic_vector(761,11);
WHEN "0010110110" =>
manhi <= conv_std_logic_vector(5817820,24);
manlo <= conv_std_logic_vector(161368804,28);
exponent <= conv_std_logic_vector(760,11);
WHEN "0010110111" =>
manhi <= conv_std_logic_vector(16471781,24);
manlo <= conv_std_logic_vector(201946939,28);
exponent <= conv_std_logic_vector(758,11);
WHEN "0010111000" =>
manhi <= conv_std_logic_vector(7686029,24);
manlo <= conv_std_logic_vector(114155240,28);
exponent <= conv_std_logic_vector(757,11);
WHEN "0010111001" =>
manhi <= conv_std_logic_vector(1221834,24);
manlo <= conv_std_logic_vector(30217784,28);
exponent <= conv_std_logic_vector(756,11);
WHEN "0010111010" =>
manhi <= conv_std_logic_vector(9708705,24);
manlo <= conv_std_logic_vector(265245416,28);
exponent <= conv_std_logic_vector(754,11);
WHEN "0010111011" =>
manhi <= conv_std_logic_vector(2710036,24);
manlo <= conv_std_logic_vector(96582323,28);
exponent <= conv_std_logic_vector(753,11);
WHEN "0010111100" =>
manhi <= conv_std_logic_vector(11898622,24);
manlo <= conv_std_logic_vector(8685564,28);
exponent <= conv_std_logic_vector(751,11);
WHEN "0010111101" =>
manhi <= conv_std_logic_vector(4321286,24);
manlo <= conv_std_logic_vector(145205332,28);
exponent <= conv_std_logic_vector(750,11);
WHEN "0010111110" =>
manhi <= conv_std_logic_vector(14269605,24);
manlo <= conv_std_logic_vector(79792252,28);
exponent <= conv_std_logic_vector(748,11);
WHEN "0010111111" =>
manhi <= conv_std_logic_vector(6065758,24);
manlo <= conv_std_logic_vector(144408455,28);
exponent <= conv_std_logic_vector(747,11);
WHEN "0011000000" =>
manhi <= conv_std_logic_vector(29705,24);
manlo <= conv_std_logic_vector(111518540,28);
exponent <= conv_std_logic_vector(746,11);
WHEN "0011000001" =>
manhi <= conv_std_logic_vector(7954467,24);
manlo <= conv_std_logic_vector(116097284,28);
exponent <= conv_std_logic_vector(744,11);
WHEN "0011000010" =>
manhi <= conv_std_logic_vector(1419339,24);
manlo <= conv_std_logic_vector(204212637,28);
exponent <= conv_std_logic_vector(743,11);
WHEN "0011000011" =>
manhi <= conv_std_logic_vector(9999339,24);
manlo <= conv_std_logic_vector(15580207,28);
exponent <= conv_std_logic_vector(741,11);
WHEN "0011000100" =>
manhi <= conv_std_logic_vector(2923872,24);
manlo <= conv_std_logic_vector(59726033,28);
exponent <= conv_std_logic_vector(740,11);
WHEN "0011000101" =>
manhi <= conv_std_logic_vector(12213285,24);
manlo <= conv_std_logic_vector(81348195,28);
exponent <= conv_std_logic_vector(738,11);
WHEN "0011000110" =>
manhi <= conv_std_logic_vector(4552802,24);
manlo <= conv_std_logic_vector(224758002,28);
exponent <= conv_std_logic_vector(737,11);
WHEN "0011000111" =>
manhi <= conv_std_logic_vector(14610285,24);
manlo <= conv_std_logic_vector(171839647,28);
exponent <= conv_std_logic_vector(735,11);
WHEN "0011001000" =>
manhi <= conv_std_logic_vector(6316417,24);
manlo <= conv_std_logic_vector(33901818,28);
exponent <= conv_std_logic_vector(734,11);
WHEN "0011001001" =>
manhi <= conv_std_logic_vector(214129,24);
manlo <= conv_std_logic_vector(187432044,28);
exponent <= conv_std_logic_vector(733,11);
WHEN "0011001010" =>
manhi <= conv_std_logic_vector(8225851,24);
manlo <= conv_std_logic_vector(10972428,28);
exponent <= conv_std_logic_vector(731,11);
WHEN "0011001011" =>
manhi <= conv_std_logic_vector(1619012,24);
manlo <= conv_std_logic_vector(177473085,28);
exponent <= conv_std_logic_vector(730,11);
WHEN "0011001100" =>
manhi <= conv_std_logic_vector(10293161,24);
manlo <= conv_std_logic_vector(74648462,28);
exponent <= conv_std_logic_vector(728,11);
WHEN "0011001101" =>
manhi <= conv_std_logic_vector(3140054,24);
manlo <= conv_std_logic_vector(142465582,28);
exponent <= conv_std_logic_vector(727,11);
WHEN "0011001110" =>
manhi <= conv_std_logic_vector(12531401,24);
manlo <= conv_std_logic_vector(110062606,28);
exponent <= conv_std_logic_vector(725,11);
WHEN "0011001111" =>
manhi <= conv_std_logic_vector(4786859,24);
manlo <= conv_std_logic_vector(158003247,28);
exponent <= conv_std_logic_vector(724,11);
WHEN "0011010000" =>
manhi <= conv_std_logic_vector(14954704,24);
manlo <= conv_std_logic_vector(82587752,28);
exponent <= conv_std_logic_vector(722,11);
WHEN "0011010001" =>
manhi <= conv_std_logic_vector(6569826,24);
manlo <= conv_std_logic_vector(59098716,28);
exponent <= conv_std_logic_vector(721,11);
WHEN "0011010010" =>
manhi <= conv_std_logic_vector(400577,24);
manlo <= conv_std_logic_vector(185198176,28);
exponent <= conv_std_logic_vector(720,11);
WHEN "0011010011" =>
manhi <= conv_std_logic_vector(8500212,24);
manlo <= conv_std_logic_vector(153765179,28);
exponent <= conv_std_logic_vector(718,11);
WHEN "0011010100" =>
manhi <= conv_std_logic_vector(1820876,24);
manlo <= conv_std_logic_vector(159783545,28);
exponent <= conv_std_logic_vector(717,11);
WHEN "0011010101" =>
manhi <= conv_std_logic_vector(10590207,24);
manlo <= conv_std_logic_vector(172648734,28);
exponent <= conv_std_logic_vector(715,11);
WHEN "0011010110" =>
manhi <= conv_std_logic_vector(3358609,24);
manlo <= conv_std_logic_vector(8670608,28);
exponent <= conv_std_logic_vector(714,11);
WHEN "0011010111" =>
manhi <= conv_std_logic_vector(12853008,24);
manlo <= conv_std_logic_vector(64863304,28);
exponent <= conv_std_logic_vector(712,11);
WHEN "0011011000" =>
manhi <= conv_std_logic_vector(5023484,24);
manlo <= conv_std_logic_vector(180279682,28);
exponent <= conv_std_logic_vector(711,11);
WHEN "0011011001" =>
manhi <= conv_std_logic_vector(15302902,24);
manlo <= conv_std_logic_vector(86126918,28);
exponent <= conv_std_logic_vector(709,11);
WHEN "0011011010" =>
manhi <= conv_std_logic_vector(6826016,24);
manlo <= conv_std_logic_vector(315265,28);
exponent <= conv_std_logic_vector(708,11);
WHEN "0011011011" =>
manhi <= conv_std_logic_vector(589071,24);
manlo <= conv_std_logic_vector(160219440,28);
exponent <= conv_std_logic_vector(707,11);
WHEN "0011011100" =>
manhi <= conv_std_logic_vector(8777584,24);
manlo <= conv_std_logic_vector(189361726,28);
exponent <= conv_std_logic_vector(705,11);
WHEN "0011011101" =>
manhi <= conv_std_logic_vector(2024955,24);
manlo <= conv_std_logic_vector(162543151,28);
exponent <= conv_std_logic_vector(704,11);
WHEN "0011011110" =>
manhi <= conv_std_logic_vector(10890513,24);
manlo <= conv_std_logic_vector(142859647,28);
exponent <= conv_std_logic_vector(702,11);
WHEN "0011011111" =>
manhi <= conv_std_logic_vector(3579561,24);
manlo <= conv_std_logic_vector(203359193,28);
exponent <= conv_std_logic_vector(701,11);
WHEN "0011100000" =>
manhi <= conv_std_logic_vector(13178144,24);
manlo <= conv_std_logic_vector(27387766,28);
exponent <= conv_std_logic_vector(699,11);
WHEN "0011100001" =>
manhi <= conv_std_logic_vector(5262706,24);
manlo <= conv_std_logic_vector(72167880,28);
exponent <= conv_std_logic_vector(698,11);
WHEN "0011100010" =>
manhi <= conv_std_logic_vector(15654921,24);
manlo <= conv_std_logic_vector(40507130,28);
exponent <= conv_std_logic_vector(696,11);
WHEN "0011100011" =>
manhi <= conv_std_logic_vector(7085016,24);
manlo <= conv_std_logic_vector(263640644,28);
exponent <= conv_std_logic_vector(695,11);
WHEN "0011100100" =>
manhi <= conv_std_logic_vector(779633,24);
manlo <= conv_std_logic_vector(233308885,28);
exponent <= conv_std_logic_vector(694,11);
WHEN "0011100101" =>
manhi <= conv_std_logic_vector(9058000,24);
manlo <= conv_std_logic_vector(127336500,28);
exponent <= conv_std_logic_vector(692,11);
WHEN "0011100110" =>
manhi <= conv_std_logic_vector(2231273,24);
manlo <= conv_std_logic_vector(267969878,28);
exponent <= conv_std_logic_vector(691,11);
WHEN "0011100111" =>
manhi <= conv_std_logic_vector(11194114,24);
manlo <= conv_std_logic_vector(191206462,28);
exponent <= conv_std_logic_vector(689,11);
WHEN "0011101000" =>
manhi <= conv_std_logic_vector(3802939,24);
manlo <= conv_std_logic_vector(6046440,28);
exponent <= conv_std_logic_vector(688,11);
WHEN "0011101001" =>
manhi <= conv_std_logic_vector(13506847,24);
manlo <= conv_std_logic_vector(192101060,28);
exponent <= conv_std_logic_vector(686,11);
WHEN "0011101010" =>
manhi <= conv_std_logic_vector(5504552,24);
manlo <= conv_std_logic_vector(234133234,28);
exponent <= conv_std_logic_vector(685,11);
WHEN "0011101011" =>
manhi <= conv_std_logic_vector(16010802,24);
manlo <= conv_std_logic_vector(194370273,28);
exponent <= conv_std_logic_vector(683,11);
WHEN "0011101100" =>
manhi <= conv_std_logic_vector(7346860,24);
manlo <= conv_std_logic_vector(2864438,28);
exponent <= conv_std_logic_vector(682,11);
WHEN "0011101101" =>
manhi <= conv_std_logic_vector(972287,24);
manlo <= conv_std_logic_vector(54536955,28);
exponent <= conv_std_logic_vector(681,11);
WHEN "0011101110" =>
manhi <= conv_std_logic_vector(9341493,24);
manlo <= conv_std_logic_vector(74572905,28);
exponent <= conv_std_logic_vector(679,11);
WHEN "0011101111" =>
manhi <= conv_std_logic_vector(2439856,24);
manlo <= conv_std_logic_vector(93006730,28);
exponent <= conv_std_logic_vector(678,11);
WHEN "0011110000" =>
manhi <= conv_std_logic_vector(11501047,24);
manlo <= conv_std_logic_vector(92098230,28);
exponent <= conv_std_logic_vector(676,11);
WHEN "0011110001" =>
manhi <= conv_std_logic_vector(4028767,24);
manlo <= conv_std_logic_vector(115940390,28);
exponent <= conv_std_logic_vector(675,11);
WHEN "0011110010" =>
manhi <= conv_std_logic_vector(13839158,24);
manlo <= conv_std_logic_vector(62227559,28);
exponent <= conv_std_logic_vector(673,11);
WHEN "0011110011" =>
manhi <= conv_std_logic_vector(5749053,24);
manlo <= conv_std_logic_vector(76824142,28);
exponent <= conv_std_logic_vector(672,11);
WHEN "0011110100" =>
manhi <= conv_std_logic_vector(16370589,24);
manlo <= conv_std_logic_vector(114548734,28);
exponent <= conv_std_logic_vector(670,11);
WHEN "0011110101" =>
manhi <= conv_std_logic_vector(7611576,24);
manlo <= conv_std_logic_vector(73252889,28);
exponent <= conv_std_logic_vector(669,11);
WHEN "0011110110" =>
manhi <= conv_std_logic_vector(1167054,24);
manlo <= conv_std_logic_vector(146134399,28);
exponent <= conv_std_logic_vector(668,11);
WHEN "0011110111" =>
manhi <= conv_std_logic_vector(9628096,24);
manlo <= conv_std_logic_vector(236331104,28);
exponent <= conv_std_logic_vector(666,11);
WHEN "0011111000" =>
manhi <= conv_std_logic_vector(2650727,24);
manlo <= conv_std_logic_vector(132284651,28);
exponent <= conv_std_logic_vector(665,11);
WHEN "0011111001" =>
manhi <= conv_std_logic_vector(11811347,24);
manlo <= conv_std_logic_vector(263325685,28);
exponent <= conv_std_logic_vector(663,11);
WHEN "0011111010" =>
manhi <= conv_std_logic_vector(4257073,24);
manlo <= conv_std_logic_vector(236873507,28);
exponent <= conv_std_logic_vector(662,11);
WHEN "0011111011" =>
manhi <= conv_std_logic_vector(14175115,24);
manlo <= conv_std_logic_vector(61615323,28);
exponent <= conv_std_logic_vector(660,11);
WHEN "0011111100" =>
manhi <= conv_std_logic_vector(5996236,24);
manlo <= conv_std_logic_vector(169476567,28);
exponent <= conv_std_logic_vector(659,11);
WHEN "0011111101" =>
manhi <= conv_std_logic_vector(16734324,24);
manlo <= conv_std_logic_vector(29597837,28);
exponent <= conv_std_logic_vector(657,11);
WHEN "0011111110" =>
manhi <= conv_std_logic_vector(7879197,24);
manlo <= conv_std_logic_vector(79755942,28);
exponent <= conv_std_logic_vector(656,11);
WHEN "0011111111" =>
manhi <= conv_std_logic_vector(1363959,24);
manlo <= conv_std_logic_vector(24177677,28);
exponent <= conv_std_logic_vector(655,11);
WHEN "0100000000" =>
manhi <= conv_std_logic_vector(9917845,24);
manlo <= conv_std_logic_vector(112021148,28);
exponent <= conv_std_logic_vector(653,11);
WHEN "0100000001" =>
manhi <= conv_std_logic_vector(2863912,24);
manlo <= conv_std_logic_vector(148304045,28);
exponent <= conv_std_logic_vector(652,11);
WHEN "0100000010" =>
manhi <= conv_std_logic_vector(12125053,24);
manlo <= conv_std_logic_vector(156617262,28);
exponent <= conv_std_logic_vector(650,11);
WHEN "0100000011" =>
manhi <= conv_std_logic_vector(4487885,24);
manlo <= conv_std_logic_vector(151904422,28);
exponent <= conv_std_logic_vector(649,11);
WHEN "0100000100" =>
manhi <= conv_std_logic_vector(14514758,24);
manlo <= conv_std_logic_vector(193824214,28);
exponent <= conv_std_logic_vector(647,11);
WHEN "0100000101" =>
manhi <= conv_std_logic_vector(6246132,24);
manlo <= conv_std_logic_vector(93361418,28);
exponent <= conv_std_logic_vector(646,11);
WHEN "0100000110" =>
manhi <= conv_std_logic_vector(162417,24);
manlo <= conv_std_logic_vector(12929741,28);
exponent <= conv_std_logic_vector(645,11);
WHEN "0100000111" =>
manhi <= conv_std_logic_vector(8149754,24);
manlo <= conv_std_logic_vector(257063442,28);
exponent <= conv_std_logic_vector(643,11);
WHEN "0100001000" =>
manhi <= conv_std_logic_vector(1563024,24);
manlo <= conv_std_logic_vector(78378796,28);
exponent <= conv_std_logic_vector(642,11);
WHEN "0100001001" =>
manhi <= conv_std_logic_vector(10210773,24);
manlo <= conv_std_logic_vector(106907060,28);
exponent <= conv_std_logic_vector(640,11);
WHEN "0100001010" =>
manhi <= conv_std_logic_vector(3079436,24);
manlo <= conv_std_logic_vector(245979562,28);
exponent <= conv_std_logic_vector(639,11);
WHEN "0100001011" =>
manhi <= conv_std_logic_vector(12442201,24);
manlo <= conv_std_logic_vector(137868870,28);
exponent <= conv_std_logic_vector(637,11);
WHEN "0100001100" =>
manhi <= conv_std_logic_vector(4721229,24);
manlo <= conv_std_logic_vector(261058204,28);
exponent <= conv_std_logic_vector(636,11);
WHEN "0100001101" =>
manhi <= conv_std_logic_vector(14858129,24);
manlo <= conv_std_logic_vector(43405174,28);
exponent <= conv_std_logic_vector(634,11);
WHEN "0100001110" =>
manhi <= conv_std_logic_vector(6498770,24);
manlo <= conv_std_logic_vector(53338521,28);
exponent <= conv_std_logic_vector(633,11);
WHEN "0100001111" =>
manhi <= conv_std_logic_vector(348297,24);
manlo <= conv_std_logic_vector(158641329,28);
exponent <= conv_std_logic_vector(632,11);
WHEN "0100010000" =>
manhi <= conv_std_logic_vector(8423281,24);
manlo <= conv_std_logic_vector(128446906,28);
exponent <= conv_std_logic_vector(630,11);
WHEN "0100010001" =>
manhi <= conv_std_logic_vector(1764273,24);
manlo <= conv_std_logic_vector(230657816,28);
exponent <= conv_std_logic_vector(629,11);
WHEN "0100010010" =>
manhi <= conv_std_logic_vector(10506915,24);
manlo <= conv_std_logic_vector(191032874,28);
exponent <= conv_std_logic_vector(627,11);
WHEN "0100010011" =>
manhi <= conv_std_logic_vector(3297326,24);
manlo <= conv_std_logic_vector(68145509,28);
exponent <= conv_std_logic_vector(626,11);
WHEN "0100010100" =>
manhi <= conv_std_logic_vector(12762829,24);
manlo <= conv_std_logic_vector(146161159,28);
exponent <= conv_std_logic_vector(624,11);
WHEN "0100010101" =>
manhi <= conv_std_logic_vector(4957134,24);
manlo <= conv_std_logic_vector(240027976,28);
exponent <= conv_std_logic_vector(623,11);
WHEN "0100010110" =>
manhi <= conv_std_logic_vector(15205267,24);
manlo <= conv_std_logic_vector(119370809,28);
exponent <= conv_std_logic_vector(621,11);
WHEN "0100010111" =>
manhi <= conv_std_logic_vector(6754180,24);
manlo <= conv_std_logic_vector(73501816,28);
exponent <= conv_std_logic_vector(620,11);
WHEN "0100011000" =>
manhi <= conv_std_logic_vector(536217,24);
manlo <= conv_std_logic_vector(220758658,28);
exponent <= conv_std_logic_vector(619,11);
WHEN "0100011001" =>
manhi <= conv_std_logic_vector(8699809,24);
manlo <= conv_std_logic_vector(117402516,28);
exponent <= conv_std_logic_vector(617,11);
WHEN "0100011010" =>
manhi <= conv_std_logic_vector(1967731,24);
manlo <= conv_std_logic_vector(204336317,28);
exponent <= conv_std_logic_vector(616,11);
WHEN "0100011011" =>
manhi <= conv_std_logic_vector(10806307,24);
manlo <= conv_std_logic_vector(168773516,28);
exponent <= conv_std_logic_vector(614,11);
WHEN "0100011100" =>
manhi <= conv_std_logic_vector(3517606,24);
manlo <= conv_std_logic_vector(138553816,28);
exponent <= conv_std_logic_vector(613,11);
WHEN "0100011101" =>
manhi <= conv_std_logic_vector(13086975,24);
manlo <= conv_std_logic_vector(231838082,28);
exponent <= conv_std_logic_vector(611,11);
WHEN "0100011110" =>
manhi <= conv_std_logic_vector(5195628,24);
manlo <= conv_std_logic_vector(114805284,28);
exponent <= conv_std_logic_vector(610,11);
WHEN "0100011111" =>
manhi <= conv_std_logic_vector(15556214,24);
manlo <= conv_std_logic_vector(245890169,28);
exponent <= conv_std_logic_vector(608,11);
WHEN "0100100000" =>
manhi <= conv_std_logic_vector(7012392,24);
manlo <= conv_std_logic_vector(266576824,28);
exponent <= conv_std_logic_vector(607,11);
WHEN "0100100001" =>
manhi <= conv_std_logic_vector(726200,24);
manlo <= conv_std_logic_vector(33318175,28);
exponent <= conv_std_logic_vector(606,11);
WHEN "0100100010" =>
manhi <= conv_std_logic_vector(8979371,24);
manlo <= conv_std_logic_vector(206515377,28);
exponent <= conv_std_logic_vector(604,11);
WHEN "0100100011" =>
manhi <= conv_std_logic_vector(2173422,24);
manlo <= conv_std_logic_vector(61774637,28);
exponent <= conv_std_logic_vector(603,11);
WHEN "0100100100" =>
manhi <= conv_std_logic_vector(11108984,24);
manlo <= conv_std_logic_vector(216833386,28);
exponent <= conv_std_logic_vector(601,11);
WHEN "0100100101" =>
manhi <= conv_std_logic_vector(3740303,24);
manlo <= conv_std_logic_vector(252090990,28);
exponent <= conv_std_logic_vector(600,11);
WHEN "0100100110" =>
manhi <= conv_std_logic_vector(13414679,24);
manlo <= conv_std_logic_vector(20856890,28);
exponent <= conv_std_logic_vector(598,11);
WHEN "0100100111" =>
manhi <= conv_std_logic_vector(5436738,24);
manlo <= conv_std_logic_vector(262578382,28);
exponent <= conv_std_logic_vector(597,11);
WHEN "0100101000" =>
manhi <= conv_std_logic_vector(15911013,24);
manlo <= conv_std_logic_vector(100481506,28);
exponent <= conv_std_logic_vector(595,11);
WHEN "0100101001" =>
manhi <= conv_std_logic_vector(7273439,24);
manlo <= conv_std_logic_vector(29586843,28);
exponent <= conv_std_logic_vector(594,11);
WHEN "0100101010" =>
manhi <= conv_std_logic_vector(918267,24);
manlo <= conv_std_logic_vector(33154287,28);
exponent <= conv_std_logic_vector(593,11);
WHEN "0100101011" =>
manhi <= conv_std_logic_vector(9262001,24);
manlo <= conv_std_logic_vector(206947959,28);
exponent <= conv_std_logic_vector(591,11);
WHEN "0100101100" =>
manhi <= conv_std_logic_vector(2381369,24);
manlo <= conv_std_logic_vector(205146615,28);
exponent <= conv_std_logic_vector(590,11);
WHEN "0100101101" =>
manhi <= conv_std_logic_vector(11414983,24);
manlo <= conv_std_logic_vector(80080029,28);
exponent <= conv_std_logic_vector(588,11);
WHEN "0100101110" =>
manhi <= conv_std_logic_vector(3965445,24);
manlo <= conv_std_logic_vector(12487826,28);
exponent <= conv_std_logic_vector(587,11);
WHEN "0100101111" =>
manhi <= conv_std_logic_vector(13745978,24);
manlo <= conv_std_logic_vector(58199715,28);
exponent <= conv_std_logic_vector(585,11);
WHEN "0100110000" =>
manhi <= conv_std_logic_vector(5680495,24);
manlo <= conv_std_logic_vector(70463110,28);
exponent <= conv_std_logic_vector(584,11);
WHEN "0100110001" =>
manhi <= conv_std_logic_vector(16269705,24);
manlo <= conv_std_logic_vector(20654997,28);
exponent <= conv_std_logic_vector(582,11);
WHEN "0100110010" =>
manhi <= conv_std_logic_vector(7537349,24);
manlo <= conv_std_logic_vector(192319832,28);
exponent <= conv_std_logic_vector(581,11);
WHEN "0100110011" =>
manhi <= conv_std_logic_vector(1112441,24);
manlo <= conv_std_logic_vector(186880957,28);
exponent <= conv_std_logic_vector(580,11);
WHEN "0100110100" =>
manhi <= conv_std_logic_vector(9547733,24);
manlo <= conv_std_logic_vector(27940083,28);
exponent <= conv_std_logic_vector(578,11);
WHEN "0100110101" =>
manhi <= conv_std_logic_vector(2591599,24);
manlo <= conv_std_logic_vector(35045549,28);
exponent <= conv_std_logic_vector(577,11);
WHEN "0100110110" =>
manhi <= conv_std_logic_vector(11724339,24);
manlo <= conv_std_logic_vector(146438512,28);
exponent <= conv_std_logic_vector(575,11);
WHEN "0100110111" =>
manhi <= conv_std_logic_vector(4193056,24);
manlo <= conv_std_logic_vector(175344679,28);
exponent <= conv_std_logic_vector(574,11);
WHEN "0100111000" =>
manhi <= conv_std_logic_vector(14080912,24);
manlo <= conv_std_logic_vector(198508677,28);
exponent <= conv_std_logic_vector(572,11);
WHEN "0100111001" =>
manhi <= conv_std_logic_vector(5926926,24);
manlo <= conv_std_logic_vector(83904648,28);
exponent <= conv_std_logic_vector(571,11);
WHEN "0100111010" =>
manhi <= conv_std_logic_vector(16632332,24);
manlo <= conv_std_logic_vector(199957404,28);
exponent <= conv_std_logic_vector(569,11);
WHEN "0100111011" =>
manhi <= conv_std_logic_vector(7804156,24);
manlo <= conv_std_logic_vector(65532420,28);
exponent <= conv_std_logic_vector(568,11);
WHEN "0100111100" =>
manhi <= conv_std_logic_vector(1308746,24);
manlo <= conv_std_logic_vector(260058525,28);
exponent <= conv_std_logic_vector(567,11);
WHEN "0100111101" =>
manhi <= conv_std_logic_vector(9836599,24);
manlo <= conv_std_logic_vector(214756046,28);
exponent <= conv_std_logic_vector(565,11);
WHEN "0100111110" =>
manhi <= conv_std_logic_vector(2804135,24);
manlo <= conv_std_logic_vector(98759674,28);
exponent <= conv_std_logic_vector(564,11);
WHEN "0100111111" =>
manhi <= conv_std_logic_vector(12037090,24);
manlo <= conv_std_logic_vector(105879341,28);
exponent <= conv_std_logic_vector(562,11);
WHEN "0101000000" =>
manhi <= conv_std_logic_vector(4423165,24);
manlo <= conv_std_logic_vector(233069674,28);
exponent <= conv_std_logic_vector(561,11);
WHEN "0101000001" =>
manhi <= conv_std_logic_vector(14419522,24);
manlo <= conv_std_logic_vector(144218340,28);
exponent <= conv_std_logic_vector(559,11);
WHEN "0101000010" =>
manhi <= conv_std_logic_vector(6176061,24);
manlo <= conv_std_logic_vector(128557517,28);
exponent <= conv_std_logic_vector(558,11);
WHEN "0101000011" =>
manhi <= conv_std_logic_vector(110861,24);
manlo <= conv_std_logic_vector(210451229,28);
exponent <= conv_std_logic_vector(557,11);
WHEN "0101000100" =>
manhi <= conv_std_logic_vector(8073890,24);
manlo <= conv_std_logic_vector(126309403,28);
exponent <= conv_std_logic_vector(555,11);
WHEN "0101000101" =>
manhi <= conv_std_logic_vector(1507206,24);
manlo <= conv_std_logic_vector(86368556,28);
exponent <= conv_std_logic_vector(554,11);
WHEN "0101000110" =>
manhi <= conv_std_logic_vector(10128636,24);
manlo <= conv_std_logic_vector(70724454,28);
exponent <= conv_std_logic_vector(552,11);
WHEN "0101000111" =>
manhi <= conv_std_logic_vector(3019003,24);
manlo <= conv_std_logic_vector(212024500,28);
exponent <= conv_std_logic_vector(551,11);
WHEN "0101001000" =>
manhi <= conv_std_logic_vector(12353273,24);
manlo <= conv_std_logic_vector(25338267,28);
exponent <= conv_std_logic_vector(549,11);
WHEN "0101001001" =>
manhi <= conv_std_logic_vector(4655800,24);
manlo <= conv_std_logic_vector(26358145,28);
exponent <= conv_std_logic_vector(548,11);
WHEN "0101001010" =>
manhi <= conv_std_logic_vector(14761847,24);
manlo <= conv_std_logic_vector(252137457,28);
exponent <= conv_std_logic_vector(546,11);
WHEN "0101001011" =>
manhi <= conv_std_logic_vector(6427930,24);
manlo <= conv_std_logic_vector(116530320,28);
exponent <= conv_std_logic_vector(545,11);
WHEN "0101001100" =>
manhi <= conv_std_logic_vector(296176,24);
manlo <= conv_std_logic_vector(162393576,28);
exponent <= conv_std_logic_vector(544,11);
WHEN "0101001101" =>
manhi <= conv_std_logic_vector(8346584,24);
manlo <= conv_std_logic_vector(140031506,28);
exponent <= conv_std_logic_vector(542,11);
WHEN "0101001110" =>
manhi <= conv_std_logic_vector(1707843,24);
manlo <= conv_std_logic_vector(105232250,28);
exponent <= conv_std_logic_vector(541,11);
WHEN "0101001111" =>
manhi <= conv_std_logic_vector(10423877,24);
manlo <= conv_std_logic_vector(74257288,28);
exponent <= conv_std_logic_vector(539,11);
WHEN "0101010000" =>
manhi <= conv_std_logic_vector(3236229,24);
manlo <= conv_std_logic_vector(265138481,28);
exponent <= conv_std_logic_vector(538,11);
WHEN "0101010001" =>
manhi <= conv_std_logic_vector(12672925,24);
manlo <= conv_std_logic_vector(81471742,28);
exponent <= conv_std_logic_vector(536,11);
WHEN "0101010010" =>
manhi <= conv_std_logic_vector(4890987,24);
manlo <= conv_std_logic_vector(13504322,28);
exponent <= conv_std_logic_vector(535,11);
WHEN "0101010011" =>
manhi <= conv_std_logic_vector(15107929,24);
manlo <= conv_std_logic_vector(192561070,28);
exponent <= conv_std_logic_vector(533,11);
WHEN "0101010100" =>
manhi <= conv_std_logic_vector(6682563,24);
manlo <= conv_std_logic_vector(47334412,28);
exponent <= conv_std_logic_vector(532,11);
WHEN "0101010101" =>
manhi <= conv_std_logic_vector(483524,24);
manlo <= conv_std_logic_vector(243414774,28);
exponent <= conv_std_logic_vector(531,11);
WHEN "0101010110" =>
manhi <= conv_std_logic_vector(8622270,24);
manlo <= conv_std_logic_vector(235144322,28);
exponent <= conv_std_logic_vector(529,11);
WHEN "0101010111" =>
manhi <= conv_std_logic_vector(1910682,24);
manlo <= conv_std_logic_vector(20388866,28);
exponent <= conv_std_logic_vector(528,11);
WHEN "0101011000" =>
manhi <= conv_std_logic_vector(10722358,24);
manlo <= conv_std_logic_vector(913747,28);
exponent <= conv_std_logic_vector(526,11);
WHEN "0101011001" =>
manhi <= conv_std_logic_vector(3455839,24);
manlo <= conv_std_logic_vector(223781205,28);
exponent <= conv_std_logic_vector(525,11);
WHEN "0101011010" =>
manhi <= conv_std_logic_vector(12996085,24);
manlo <= conv_std_logic_vector(24989984,28);
exponent <= conv_std_logic_vector(523,11);
WHEN "0101011011" =>
manhi <= conv_std_logic_vector(5128754,24);
manlo <= conv_std_logic_vector(197545335,28);
exponent <= conv_std_logic_vector(522,11);
WHEN "0101011100" =>
manhi <= conv_std_logic_vector(15457809,24);
manlo <= conv_std_logic_vector(24315860,28);
exponent <= conv_std_logic_vector(520,11);
WHEN "0101011101" =>
manhi <= conv_std_logic_vector(6939990,24);
manlo <= conv_std_logic_vector(8842978,28);
exponent <= conv_std_logic_vector(519,11);
WHEN "0101011110" =>
manhi <= conv_std_logic_vector(672929,24);
manlo <= conv_std_logic_vector(830489,28);
exponent <= conv_std_logic_vector(518,11);
WHEN "0101011111" =>
manhi <= conv_std_logic_vector(8900982,24);
manlo <= conv_std_logic_vector(98890321,28);
exponent <= conv_std_logic_vector(516,11);
WHEN "0101100000" =>
manhi <= conv_std_logic_vector(2115746,24);
manlo <= conv_std_logic_vector(142837003,28);
exponent <= conv_std_logic_vector(515,11);
WHEN "0101100001" =>
manhi <= conv_std_logic_vector(11024113,24);
manlo <= conv_std_logic_vector(266701758,28);
exponent <= conv_std_logic_vector(513,11);
WHEN "0101100010" =>
manhi <= conv_std_logic_vector(3677859,24);
manlo <= conv_std_logic_vector(129840563,28);
exponent <= conv_std_logic_vector(512,11);
WHEN "0101100011" =>
manhi <= conv_std_logic_vector(13322790,24);
manlo <= conv_std_logic_vector(255615990,28);
exponent <= conv_std_logic_vector(510,11);
WHEN "0101100100" =>
manhi <= conv_std_logic_vector(5369131,24);
manlo <= conv_std_logic_vector(127156783,28);
exponent <= conv_std_logic_vector(509,11);
WHEN "0101100101" =>
manhi <= conv_std_logic_vector(15811527,24);
manlo <= conv_std_logic_vector(196077973,28);
exponent <= conv_std_logic_vector(507,11);
WHEN "0101100110" =>
manhi <= conv_std_logic_vector(7200241,24);
manlo <= conv_std_logic_vector(178260644,28);
exponent <= conv_std_logic_vector(506,11);
WHEN "0101100111" =>
manhi <= conv_std_logic_vector(864411,24);
manlo <= conv_std_logic_vector(121424610,28);
exponent <= conv_std_logic_vector(505,11);
WHEN "0101101000" =>
manhi <= conv_std_logic_vector(9182752,24);
manlo <= conv_std_logic_vector(52100447,28);
exponent <= conv_std_logic_vector(503,11);
WHEN "0101101001" =>
manhi <= conv_std_logic_vector(2323061,24);
manlo <= conv_std_logic_vector(49429697,28);
exponent <= conv_std_logic_vector(502,11);
WHEN "0101101010" =>
manhi <= conv_std_logic_vector(11329181,24);
manlo <= conv_std_logic_vector(50166358,28);
exponent <= conv_std_logic_vector(500,11);
WHEN "0101101011" =>
manhi <= conv_std_logic_vector(3902315,24);
manlo <= conv_std_logic_vector(102248985,28);
exponent <= conv_std_logic_vector(499,11);
WHEN "0101101100" =>
manhi <= conv_std_logic_vector(13653081,24);
manlo <= conv_std_logic_vector(212703346,28);
exponent <= conv_std_logic_vector(497,11);
WHEN "0101101101" =>
manhi <= conv_std_logic_vector(5612145,24);
manlo <= conv_std_logic_vector(239735388,28);
exponent <= conv_std_logic_vector(496,11);
WHEN "0101101110" =>
manhi <= conv_std_logic_vector(16169127,24);
manlo <= conv_std_logic_vector(205528034,28);
exponent <= conv_std_logic_vector(494,11);
WHEN "0101101111" =>
manhi <= conv_std_logic_vector(7463349,24);
manlo <= conv_std_logic_vector(17797346,28);
exponent <= conv_std_logic_vector(493,11);
WHEN "0101110000" =>
manhi <= conv_std_logic_vector(1057995,24);
manlo <= conv_std_logic_vector(16251369,28);
exponent <= conv_std_logic_vector(492,11);
WHEN "0101110001" =>
manhi <= conv_std_logic_vector(9467613,24);
manlo <= conv_std_logic_vector(244949044,28);
exponent <= conv_std_logic_vector(490,11);
WHEN "0101110010" =>
manhi <= conv_std_logic_vector(2532650,24);
manlo <= conv_std_logic_vector(194268012,28);
exponent <= conv_std_logic_vector(489,11);
WHEN "0101110011" =>
manhi <= conv_std_logic_vector(11637595,24);
manlo <= conv_std_logic_vector(246328755,28);
exponent <= conv_std_logic_vector(487,11);
WHEN "0101110100" =>
manhi <= conv_std_logic_vector(4129234,24);
manlo <= conv_std_logic_vector(69393408,28);
exponent <= conv_std_logic_vector(486,11);
WHEN "0101110101" =>
manhi <= conv_std_logic_vector(13986996,24);
manlo <= conv_std_logic_vector(255528468,28);
exponent <= conv_std_logic_vector(484,11);
WHEN "0101110110" =>
manhi <= conv_std_logic_vector(5857826,24);
manlo <= conv_std_logic_vector(251701587,28);
exponent <= conv_std_logic_vector(483,11);
WHEN "0101110111" =>
manhi <= conv_std_logic_vector(16530651,24);
manlo <= conv_std_logic_vector(211310789,28);
exponent <= conv_std_logic_vector(481,11);
WHEN "0101111000" =>
manhi <= conv_std_logic_vector(7729343,24);
manlo <= conv_std_logic_vector(154707528,28);
exponent <= conv_std_logic_vector(480,11);
WHEN "0101111001" =>
manhi <= conv_std_logic_vector(1253702,24);
manlo <= conv_std_logic_vector(237283577,28);
exponent <= conv_std_logic_vector(479,11);
WHEN "0101111010" =>
manhi <= conv_std_logic_vector(9755601,24);
manlo <= conv_std_logic_vector(121155884,28);
exponent <= conv_std_logic_vector(477,11);
WHEN "0101111011" =>
manhi <= conv_std_logic_vector(2744540,24);
manlo <= conv_std_logic_vector(30442277,28);
exponent <= conv_std_logic_vector(476,11);
WHEN "0101111100" =>
manhi <= conv_std_logic_vector(11949394,24);
manlo <= conv_std_logic_vector(246622503,28);
exponent <= conv_std_logic_vector(474,11);
WHEN "0101111101" =>
manhi <= conv_std_logic_vector(4358643,24);
manlo <= conv_std_logic_vector(38405425,28);
exponent <= conv_std_logic_vector(473,11);
WHEN "0101111110" =>
manhi <= conv_std_logic_vector(14324576,24);
manlo <= conv_std_logic_vector(53935566,28);
exponent <= conv_std_logic_vector(471,11);
WHEN "0101111111" =>
manhi <= conv_std_logic_vector(6106203,24);
manlo <= conv_std_logic_vector(233166714,28);
exponent <= conv_std_logic_vector(470,11);
WHEN "0110000000" =>
manhi <= conv_std_logic_vector(59463,24);
manlo <= conv_std_logic_vector(114545214,28);
exponent <= conv_std_logic_vector(469,11);
WHEN "0110000001" =>
manhi <= conv_std_logic_vector(7998256,24);
manlo <= conv_std_logic_vector(234808364,28);
exponent <= conv_std_logic_vector(467,11);
WHEN "0110000010" =>
manhi <= conv_std_logic_vector(1451558,24);
manlo <= conv_std_logic_vector(62230667,28);
exponent <= conv_std_logic_vector(466,11);
WHEN "0110000011" =>
manhi <= conv_std_logic_vector(10046749,24);
manlo <= conv_std_logic_vector(29683609,28);
exponent <= conv_std_logic_vector(464,11);
WHEN "0110000100" =>
manhi <= conv_std_logic_vector(2958754,24);
manlo <= conv_std_logic_vector(158313814,28);
exponent <= conv_std_logic_vector(463,11);
WHEN "0110000101" =>
manhi <= conv_std_logic_vector(12264615,24);
manlo <= conv_std_logic_vector(87551554,28);
exponent <= conv_std_logic_vector(461,11);
WHEN "0110000110" =>
manhi <= conv_std_logic_vector(4590569,24);
manlo <= conv_std_logic_vector(96025360,28);
exponent <= conv_std_logic_vector(460,11);
WHEN "0110000111" =>
manhi <= conv_std_logic_vector(14665859,24);
manlo <= conv_std_logic_vector(200220878,28);
exponent <= conv_std_logic_vector(458,11);
WHEN "0110001000" =>
manhi <= conv_std_logic_vector(6357306,24);
manlo <= conv_std_logic_vector(71997608,28);
exponent <= conv_std_logic_vector(457,11);
WHEN "0110001001" =>
manhi <= conv_std_logic_vector(244214,24);
manlo <= conv_std_logic_vector(66463607,28);
exponent <= conv_std_logic_vector(456,11);
WHEN "0110001010" =>
manhi <= conv_std_logic_vector(8270120,24);
manlo <= conv_std_logic_vector(265669912,28);
exponent <= conv_std_logic_vector(454,11);
WHEN "0110001011" =>
manhi <= conv_std_logic_vector(1651584,24);
manlo <= conv_std_logic_vector(179638473,28);
exponent <= conv_std_logic_vector(453,11);
WHEN "0110001100" =>
manhi <= conv_std_logic_vector(10341091,24);
manlo <= conv_std_logic_vector(152092530,28);
exponent <= conv_std_logic_vector(451,11);
WHEN "0110001101" =>
manhi <= conv_std_logic_vector(3175319,24);
manlo <= conv_std_logic_vector(178838140,28);
exponent <= conv_std_logic_vector(450,11);
WHEN "0110001110" =>
manhi <= conv_std_logic_vector(12583294,24);
manlo <= conv_std_logic_vector(183442082,28);
exponent <= conv_std_logic_vector(448,11);
WHEN "0110001111" =>
manhi <= conv_std_logic_vector(4825040,24);
manlo <= conv_std_logic_vector(141040370,28);
exponent <= conv_std_logic_vector(447,11);
WHEN "0110010000" =>
manhi <= conv_std_logic_vector(15010888,24);
manlo <= conv_std_logic_vector(62934477,28);
exponent <= conv_std_logic_vector(445,11);
WHEN "0110010001" =>
manhi <= conv_std_logic_vector(6611164,24);
manlo <= conv_std_logic_vector(11633311,28);
exponent <= conv_std_logic_vector(444,11);
WHEN "0110010010" =>
manhi <= conv_std_logic_vector(430992,24);
manlo <= conv_std_logic_vector(96770068,28);
exponent <= conv_std_logic_vector(443,11);
WHEN "0110010011" =>
manhi <= conv_std_logic_vector(8544968,24);
manlo <= conv_std_logic_vector(80768180,28);
exponent <= conv_std_logic_vector(441,11);
WHEN "0110010100" =>
manhi <= conv_std_logic_vector(1853806,24);
manlo <= conv_std_logic_vector(5288079,28);
exponent <= conv_std_logic_vector(440,11);
WHEN "0110010101" =>
manhi <= conv_std_logic_vector(10638663,24);
manlo <= conv_std_logic_vector(235213815,28);
exponent <= conv_std_logic_vector(438,11);
WHEN "0110010110" =>
manhi <= conv_std_logic_vector(3394261,24);
manlo <= conv_std_logic_vector(36557939,28);
exponent <= conv_std_logic_vector(437,11);
WHEN "0110010111" =>
manhi <= conv_std_logic_vector(12905470,24);
manlo <= conv_std_logic_vector(253900977,28);
exponent <= conv_std_logic_vector(435,11);
WHEN "0110011000" =>
manhi <= conv_std_logic_vector(5062084,24);
manlo <= conv_std_logic_vector(153603034,28);
exponent <= conv_std_logic_vector(434,11);
WHEN "0110011001" =>
manhi <= conv_std_logic_vector(15359702,24);
manlo <= conv_std_logic_vector(204098933,28);
exponent <= conv_std_logic_vector(432,11);
WHEN "0110011010" =>
manhi <= conv_std_logic_vector(6867807,24);
manlo <= conv_std_logic_vector(115170312,28);
exponent <= conv_std_logic_vector(431,11);
WHEN "0110011011" =>
manhi <= conv_std_logic_vector(619820,24);
manlo <= conv_std_logic_vector(2986045,28);
exponent <= conv_std_logic_vector(430,11);
WHEN "0110011100" =>
manhi <= conv_std_logic_vector(8822831,24);
manlo <= conv_std_logic_vector(145826716,28);
exponent <= conv_std_logic_vector(428,11);
WHEN "0110011101" =>
manhi <= conv_std_logic_vector(2058246,24);
manlo <= conv_std_logic_vector(98876593,28);
exponent <= conv_std_logic_vector(427,11);
WHEN "0110011110" =>
manhi <= conv_std_logic_vector(10939501,24);
manlo <= conv_std_logic_vector(129141213,28);
exponent <= conv_std_logic_vector(425,11);
WHEN "0110011111" =>
manhi <= conv_std_logic_vector(3615605,24);
manlo <= conv_std_logic_vector(20427716,28);
exponent <= conv_std_logic_vector(424,11);
WHEN "0110100000" =>
manhi <= conv_std_logic_vector(13231182,24);
manlo <= conv_std_logic_vector(130335695,28);
exponent <= conv_std_logic_vector(422,11);
WHEN "0110100001" =>
manhi <= conv_std_logic_vector(5301729,24);
manlo <= conv_std_logic_vector(196124198,28);
exponent <= conv_std_logic_vector(421,11);
WHEN "0110100010" =>
manhi <= conv_std_logic_vector(15712344,24);
manlo <= conv_std_logic_vector(233039482,28);
exponent <= conv_std_logic_vector(419,11);
WHEN "0110100011" =>
manhi <= conv_std_logic_vector(7127266,24);
manlo <= conv_std_logic_vector(266329205,28);
exponent <= conv_std_logic_vector(418,11);
WHEN "0110100100" =>
manhi <= conv_std_logic_vector(810719,24);
manlo <= conv_std_logic_vector(185030259,28);
exponent <= conv_std_logic_vector(417,11);
WHEN "0110100101" =>
manhi <= conv_std_logic_vector(9103743,24);
manlo <= conv_std_logic_vector(217685905,28);
exponent <= conv_std_logic_vector(415,11);
WHEN "0110100110" =>
manhi <= conv_std_logic_vector(2264930,24);
manlo <= conv_std_logic_vector(17303531,28);
exponent <= conv_std_logic_vector(414,11);
WHEN "0110100111" =>
manhi <= conv_std_logic_vector(11243640,24);
manlo <= conv_std_logic_vector(56799625,28);
exponent <= conv_std_logic_vector(412,11);
WHEN "0110101000" =>
manhi <= conv_std_logic_vector(3839377,24);
manlo <= conv_std_logic_vector(227776580,28);
exponent <= conv_std_logic_vector(411,11);
WHEN "0110101001" =>
manhi <= conv_std_logic_vector(13560468,24);
manlo <= conv_std_logic_vector(25616516,28);
exponent <= conv_std_logic_vector(409,11);
WHEN "0110101010" =>
manhi <= conv_std_logic_vector(5544004,24);
manlo <= conv_std_logic_vector(145740137,28);
exponent <= conv_std_logic_vector(408,11);
WHEN "0110101011" =>
manhi <= conv_std_logic_vector(16068856,24);
manlo <= conv_std_logic_vector(149889545,28);
exponent <= conv_std_logic_vector(406,11);
WHEN "0110101100" =>
manhi <= conv_std_logic_vector(7389573,24);
manlo <= conv_std_logic_vector(170431948,28);
exponent <= conv_std_logic_vector(405,11);
WHEN "0110101101" =>
manhi <= conv_std_logic_vector(1003714,24);
manlo <= conv_std_logic_vector(35324999,28);
exponent <= conv_std_logic_vector(404,11);
WHEN "0110101110" =>
manhi <= conv_std_logic_vector(9387738,24);
manlo <= conv_std_logic_vector(150667400,28);
exponent <= conv_std_logic_vector(402,11);
WHEN "0110101111" =>
manhi <= conv_std_logic_vector(2473881,24);
manlo <= conv_std_logic_vector(194497484,28);
exponent <= conv_std_logic_vector(401,11);
WHEN "0110110000" =>
manhi <= conv_std_logic_vector(11551116,24);
manlo <= conv_std_logic_vector(78219737,28);
exponent <= conv_std_logic_vector(399,11);
WHEN "0110110001" =>
manhi <= conv_std_logic_vector(4065606,24);
manlo <= conv_std_logic_vector(28280174,28);
exponent <= conv_std_logic_vector(398,11);
WHEN "0110110010" =>
manhi <= conv_std_logic_vector(13893366,24);
manlo <= conv_std_logic_vector(266881350,28);
exponent <= conv_std_logic_vector(396,11);
WHEN "0110110011" =>
manhi <= conv_std_logic_vector(5788937,24);
manlo <= conv_std_logic_vector(232096008,28);
exponent <= conv_std_logic_vector(395,11);
WHEN "0110110100" =>
manhi <= conv_std_logic_vector(16429280,24);
manlo <= conv_std_logic_vector(78498076,28);
exponent <= conv_std_logic_vector(393,11);
WHEN "0110110101" =>
manhi <= conv_std_logic_vector(7654758,24);
manlo <= conv_std_logic_vector(160696217,28);
exponent <= conv_std_logic_vector(392,11);
WHEN "0110110110" =>
manhi <= conv_std_logic_vector(1198826,24);
manlo <= conv_std_logic_vector(87006684,28);
exponent <= conv_std_logic_vector(391,11);
WHEN "0110110111" =>
manhi <= conv_std_logic_vector(9674849,24);
manlo <= conv_std_logic_vector(166079254,28);
exponent <= conv_std_logic_vector(389,11);
WHEN "0110111000" =>
manhi <= conv_std_logic_vector(2685126,24);
manlo <= conv_std_logic_vector(63154951,28);
exponent <= conv_std_logic_vector(388,11);
WHEN "0110111001" =>
manhi <= conv_std_logic_vector(11861966,24);
manlo <= conv_std_logic_vector(91696135,28);
exponent <= conv_std_logic_vector(386,11);
WHEN "0110111010" =>
manhi <= conv_std_logic_vector(4294316,24);
manlo <= conv_std_logic_vector(212296424,28);
exponent <= conv_std_logic_vector(385,11);
WHEN "0110111011" =>
manhi <= conv_std_logic_vector(14229918,24);
manlo <= conv_std_logic_vector(223047784,28);
exponent <= conv_std_logic_vector(383,11);
WHEN "0110111100" =>
manhi <= conv_std_logic_vector(6036558,24);
manlo <= conv_std_logic_vector(232962025,28);
exponent <= conv_std_logic_vector(382,11);
WHEN "0110111101" =>
manhi <= conv_std_logic_vector(8221,24);
manlo <= conv_std_logic_vector(133893557,28);
exponent <= conv_std_logic_vector(381,11);
WHEN "0110111110" =>
manhi <= conv_std_logic_vector(7922853,24);
manlo <= conv_std_logic_vector(125492404,28);
exponent <= conv_std_logic_vector(379,11);
WHEN "0110111111" =>
manhi <= conv_std_logic_vector(1396079,24);
manlo <= conv_std_logic_vector(135612572,28);
exponent <= conv_std_logic_vector(378,11);
WHEN "0111000000" =>
manhi <= conv_std_logic_vector(9965111,24);
manlo <= conv_std_logic_vector(47990958,28);
exponent <= conv_std_logic_vector(376,11);
WHEN "0111000001" =>
manhi <= conv_std_logic_vector(2898688,24);
manlo <= conv_std_logic_vector(203019642,28);
exponent <= conv_std_logic_vector(375,11);
WHEN "0111000010" =>
manhi <= conv_std_logic_vector(12176227,24);
manlo <= conv_std_logic_vector(103393586,28);
exponent <= conv_std_logic_vector(373,11);
WHEN "0111000011" =>
manhi <= conv_std_logic_vector(4525537,24);
manlo <= conv_std_logic_vector(38936964,28);
exponent <= conv_std_logic_vector(372,11);
WHEN "0111000100" =>
manhi <= conv_std_logic_vector(14570163,24);
manlo <= conv_std_logic_vector(185128905,28);
exponent <= conv_std_logic_vector(370,11);
WHEN "0111000101" =>
manhi <= conv_std_logic_vector(6286897,24);
manlo <= conv_std_logic_vector(12037044,28);
exponent <= conv_std_logic_vector(369,11);
WHEN "0111000110" =>
manhi <= conv_std_logic_vector(192410,24);
manlo <= conv_std_logic_vector(9691196,28);
exponent <= conv_std_logic_vector(368,11);
WHEN "0111000111" =>
manhi <= conv_std_logic_vector(8193890,24);
manlo <= conv_std_logic_vector(46224319,28);
exponent <= conv_std_logic_vector(366,11);
WHEN "0111001000" =>
manhi <= conv_std_logic_vector(1595497,24);
manlo <= conv_std_logic_vector(45130080,28);
exponent <= conv_std_logic_vector(365,11);
WHEN "0111001001" =>
manhi <= conv_std_logic_vector(10258557,24);
manlo <= conv_std_logic_vector(218068546,28);
exponent <= conv_std_logic_vector(363,11);
WHEN "0111001010" =>
manhi <= conv_std_logic_vector(3114594,24);
manlo <= conv_std_logic_vector(194203222,28);
exponent <= conv_std_logic_vector(362,11);
WHEN "0111001011" =>
manhi <= conv_std_logic_vector(12493936,24);
manlo <= conv_std_logic_vector(228530713,28);
exponent <= conv_std_logic_vector(360,11);
WHEN "0111001100" =>
manhi <= conv_std_logic_vector(4759294,24);
manlo <= conv_std_logic_vector(189728046,28);
exponent <= conv_std_logic_vector(359,11);
WHEN "0111001101" =>
manhi <= conv_std_logic_vector(14914142,24);
manlo <= conv_std_logic_vector(25337562,28);
exponent <= conv_std_logic_vector(357,11);
WHEN "0111001110" =>
manhi <= conv_std_logic_vector(6539982,24);
manlo <= conv_std_logic_vector(56762382,28);
exponent <= conv_std_logic_vector(356,11);
WHEN "0111001111" =>
manhi <= conv_std_logic_vector(378619,24);
manlo <= conv_std_logic_vector(186677702,28);
exponent <= conv_std_logic_vector(355,11);
WHEN "0111010000" =>
manhi <= conv_std_logic_vector(8467900,24);
manlo <= conv_std_logic_vector(266785510,28);
exponent <= conv_std_logic_vector(353,11);
WHEN "0111010001" =>
manhi <= conv_std_logic_vector(1797103,24);
manlo <= conv_std_logic_vector(17183357,28);
exponent <= conv_std_logic_vector(352,11);
WHEN "0111010010" =>
manhi <= conv_std_logic_vector(10555224,24);
manlo <= conv_std_logic_vector(126067134,28);
exponent <= conv_std_logic_vector(350,11);
WHEN "0111010011" =>
manhi <= conv_std_logic_vector(3332869,24);
manlo <= conv_std_logic_vector(228611260,28);
exponent <= conv_std_logic_vector(349,11);
WHEN "0111010100" =>
manhi <= conv_std_logic_vector(12815132,24);
manlo <= conv_std_logic_vector(155705738,28);
exponent <= conv_std_logic_vector(347,11);
WHEN "0111010101" =>
manhi <= conv_std_logic_vector(4995617,24);
manlo <= conv_std_logic_vector(85136440,28);
exponent <= conv_std_logic_vector(346,11);
WHEN "0111010110" =>
manhi <= conv_std_logic_vector(15261895,24);
manlo <= conv_std_logic_vector(3688335,28);
exponent <= conv_std_logic_vector(344,11);
WHEN "0111010111" =>
manhi <= conv_std_logic_vector(6795844,24);
manlo <= conv_std_logic_vector(137097782,28);
exponent <= conv_std_logic_vector(343,11);
WHEN "0111011000" =>
manhi <= conv_std_logic_vector(566872,24);
manlo <= conv_std_logic_vector(175764875,28);
exponent <= conv_std_logic_vector(342,11);
WHEN "0111011001" =>
manhi <= conv_std_logic_vector(8744918,24);
manlo <= conv_std_logic_vector(152414052,28);
exponent <= conv_std_logic_vector(340,11);
WHEN "0111011010" =>
manhi <= conv_std_logic_vector(2000921,24);
manlo <= conv_std_logic_vector(54921723,28);
exponent <= conv_std_logic_vector(339,11);
WHEN "0111011011" =>
manhi <= conv_std_logic_vector(10855146,24);
manlo <= conv_std_logic_vector(129996510,28);
exponent <= conv_std_logic_vector(337,11);
WHEN "0111011100" =>
manhi <= conv_std_logic_vector(3553540,24);
manlo <= conv_std_logic_vector(37023540,28);
exponent <= conv_std_logic_vector(336,11);
WHEN "0111011101" =>
manhi <= conv_std_logic_vector(13139852,24);
manlo <= conv_std_logic_vector(221848100,28);
exponent <= conv_std_logic_vector(334,11);
WHEN "0111011110" =>
manhi <= conv_std_logic_vector(5234533,24);
manlo <= conv_std_logic_vector(32943194,28);
exponent <= conv_std_logic_vector(333,11);
WHEN "0111011111" =>
manhi <= conv_std_logic_vector(15613463,24);
manlo <= conv_std_logic_vector(232436445,28);
exponent <= conv_std_logic_vector(331,11);
WHEN "0111100000" =>
manhi <= conv_std_logic_vector(7054514,24);
manlo <= conv_std_logic_vector(111791498,28);
exponent <= conv_std_logic_vector(330,11);
WHEN "0111100001" =>
manhi <= conv_std_logic_vector(757191,24);
manlo <= conv_std_logic_vector(90062360,28);
exponent <= conv_std_logic_vector(329,11);
WHEN "0111100010" =>
manhi <= conv_std_logic_vector(9024975,24);
manlo <= conv_std_logic_vector(238219590,28);
exponent <= conv_std_logic_vector(327,11);
WHEN "0111100011" =>
manhi <= conv_std_logic_vector(2206975,24);
manlo <= conv_std_logic_vector(232222812,28);
exponent <= conv_std_logic_vector(326,11);
WHEN "0111100100" =>
manhi <= conv_std_logic_vector(11158359,24);
manlo <= conv_std_logic_vector(155073518,28);
exponent <= conv_std_logic_vector(324,11);
WHEN "0111100101" =>
manhi <= conv_std_logic_vector(3776631,24);
manlo <= conv_std_logic_vector(232102510,28);
exponent <= conv_std_logic_vector(323,11);
WHEN "0111100110" =>
manhi <= conv_std_logic_vector(13468136,24);
manlo <= conv_std_logic_vector(71264246,28);
exponent <= conv_std_logic_vector(321,11);
WHEN "0111100111" =>
manhi <= conv_std_logic_vector(5476070,24);
manlo <= conv_std_logic_vector(155401688,28);
exponent <= conv_std_logic_vector(320,11);
WHEN "0111101000" =>
manhi <= conv_std_logic_vector(15968890,24);
manlo <= conv_std_logic_vector(140531032,28);
exponent <= conv_std_logic_vector(318,11);
WHEN "0111101001" =>
manhi <= conv_std_logic_vector(7316022,24);
manlo <= conv_std_logic_vector(197790036,28);
exponent <= conv_std_logic_vector(317,11);
WHEN "0111101010" =>
manhi <= conv_std_logic_vector(949598,24);
manlo <= conv_std_logic_vector(108723575,28);
exponent <= conv_std_logic_vector(316,11);
WHEN "0111101011" =>
manhi <= conv_std_logic_vector(9308106,24);
manlo <= conv_std_logic_vector(82754530,28);
exponent <= conv_std_logic_vector(314,11);
WHEN "0111101100" =>
manhi <= conv_std_logic_vector(2415291,24);
manlo <= conv_std_logic_vector(157597766,28);
exponent <= conv_std_logic_vector(313,11);
WHEN "0111101101" =>
manhi <= conv_std_logic_vector(11464899,24);
manlo <= conv_std_logic_vector(231735034,28);
exponent <= conv_std_logic_vector(311,11);
WHEN "0111101110" =>
manhi <= conv_std_logic_vector(4002171,24);
manlo <= conv_std_logic_vector(161749904,28);
exponent <= conv_std_logic_vector(310,11);
WHEN "0111101111" =>
manhi <= conv_std_logic_vector(13800021,24);
manlo <= conv_std_logic_vector(267486845,28);
exponent <= conv_std_logic_vector(308,11);
WHEN "0111110000" =>
manhi <= conv_std_logic_vector(5720258,24);
manlo <= conv_std_logic_vector(121711942,28);
exponent <= conv_std_logic_vector(307,11);
WHEN "0111110001" =>
manhi <= conv_std_logic_vector(16328217,24);
manlo <= conv_std_logic_vector(85566619,28);
exponent <= conv_std_logic_vector(305,11);
WHEN "0111110010" =>
manhi <= conv_std_logic_vector(7580400,24);
manlo <= conv_std_logic_vector(165916765,28);
exponent <= conv_std_logic_vector(304,11);
WHEN "0111110011" =>
manhi <= conv_std_logic_vector(1144116,24);
manlo <= conv_std_logic_vector(209234965,28);
exponent <= conv_std_logic_vector(303,11);
WHEN "0111110100" =>
manhi <= conv_std_logic_vector(9594343,24);
manlo <= conv_std_logic_vector(148128653,28);
exponent <= conv_std_logic_vector(301,11);
WHEN "0111110101" =>
manhi <= conv_std_logic_vector(2625893,24);
manlo <= conv_std_logic_vector(48717694,28);
exponent <= conv_std_logic_vector(300,11);
WHEN "0111110110" =>
manhi <= conv_std_logic_vector(11774803,24);
manlo <= conv_std_logic_vector(228357100,28);
exponent <= conv_std_logic_vector(298,11);
WHEN "0111110111" =>
manhi <= conv_std_logic_vector(4230186,24);
manlo <= conv_std_logic_vector(57439900,28);
exponent <= conv_std_logic_vector(297,11);
WHEN "0111111000" =>
manhi <= conv_std_logic_vector(14135549,24);
manlo <= conv_std_logic_vector(147041206,28);
exponent <= conv_std_logic_vector(295,11);
WHEN "0111111001" =>
manhi <= conv_std_logic_vector(5967125,24);
manlo <= conv_std_logic_vector(222682176,28);
exponent <= conv_std_logic_vector(294,11);
WHEN "0111111010" =>
manhi <= conv_std_logic_vector(16691487,24);
manlo <= conv_std_logic_vector(12959237,28);
exponent <= conv_std_logic_vector(292,11);
WHEN "0111111011" =>
manhi <= conv_std_logic_vector(7847679,24);
manlo <= conv_std_logic_vector(147174066,28);
exponent <= conv_std_logic_vector(291,11);
WHEN "0111111100" =>
manhi <= conv_std_logic_vector(1340769,24);
manlo <= conv_std_logic_vector(168148656,28);
exponent <= conv_std_logic_vector(290,11);
WHEN "0111111101" =>
manhi <= conv_std_logic_vector(9883721,24);
manlo <= conv_std_logic_vector(190474495,28);
exponent <= conv_std_logic_vector(288,11);
WHEN "0111111110" =>
manhi <= conv_std_logic_vector(2838805,24);
manlo <= conv_std_logic_vector(196335986,28);
exponent <= conv_std_logic_vector(287,11);
WHEN "0111111111" =>
manhi <= conv_std_logic_vector(12088108,24);
manlo <= conv_std_logic_vector(120857634,28);
exponent <= conv_std_logic_vector(285,11);
WHEN "1000000000" =>
manhi <= conv_std_logic_vector(4460702,24);
manlo <= conv_std_logic_vector(229771569,28);
exponent <= conv_std_logic_vector(284,11);
WHEN "1000000001" =>
manhi <= conv_std_logic_vector(14474758,24);
manlo <= conv_std_logic_vector(236628147,28);
exponent <= conv_std_logic_vector(282,11);
WHEN "1000000010" =>
manhi <= conv_std_logic_vector(6216702,24);
manlo <= conv_std_logic_vector(29481361,28);
exponent <= conv_std_logic_vector(281,11);
WHEN "1000000011" =>
manhi <= conv_std_logic_vector(140763,24);
manlo <= conv_std_logic_vector(131310533,28);
exponent <= conv_std_logic_vector(280,11);
WHEN "1000000100" =>
manhi <= conv_std_logic_vector(8117891,24);
manlo <= conv_std_logic_vector(96879140,28);
exponent <= conv_std_logic_vector(278,11);
WHEN "1000000101" =>
manhi <= conv_std_logic_vector(1539580,24);
manlo <= conv_std_logic_vector(98694067,28);
exponent <= conv_std_logic_vector(277,11);
WHEN "1000000110" =>
manhi <= conv_std_logic_vector(10176275,24);
manlo <= conv_std_logic_vector(66343668,28);
exponent <= conv_std_logic_vector(275,11);
WHEN "1000000111" =>
manhi <= conv_std_logic_vector(3054054,24);
manlo <= conv_std_logic_vector(159783892,28);
exponent <= conv_std_logic_vector(274,11);
WHEN "1000001000" =>
manhi <= conv_std_logic_vector(12404850,24);
manlo <= conv_std_logic_vector(262311967,28);
exponent <= conv_std_logic_vector(272,11);
WHEN "1000001001" =>
manhi <= conv_std_logic_vector(4693748,24);
manlo <= conv_std_logic_vector(264030756,28);
exponent <= conv_std_logic_vector(271,11);
WHEN "1000001010" =>
manhi <= conv_std_logic_vector(14817690,24);
manlo <= conv_std_logic_vector(106917994,28);
exponent <= conv_std_logic_vector(269,11);
WHEN "1000001011" =>
manhi <= conv_std_logic_vector(6469017,24);
manlo <= conv_std_logic_vector(5191992,28);
exponent <= conv_std_logic_vector(268,11);
WHEN "1000001100" =>
manhi <= conv_std_logic_vector(326406,24);
manlo <= conv_std_logic_vector(114083215,28);
exponent <= conv_std_logic_vector(267,11);
WHEN "1000001101" =>
manhi <= conv_std_logic_vector(8391068,24);
manlo <= conv_std_logic_vector(64117214,28);
exponent <= conv_std_logic_vector(265,11);
WHEN "1000001110" =>
manhi <= conv_std_logic_vector(1740572,24);
manlo <= conv_std_logic_vector(183091279,28);
exponent <= conv_std_logic_vector(264,11);
WHEN "1000001111" =>
manhi <= conv_std_logic_vector(10472039,24);
manlo <= conv_std_logic_vector(2244224,28);
exponent <= conv_std_logic_vector(262,11);
WHEN "1000010000" =>
manhi <= conv_std_logic_vector(3271665,24);
manlo <= conv_std_logic_vector(109958542,28);
exponent <= conv_std_logic_vector(261,11);
WHEN "1000010001" =>
manhi <= conv_std_logic_vector(12725069,24);
manlo <= conv_std_logic_vector(41968573,28);
exponent <= conv_std_logic_vector(259,11);
WHEN "1000010010" =>
manhi <= conv_std_logic_vector(4929352,24);
manlo <= conv_std_logic_vector(94809674,28);
exponent <= conv_std_logic_vector(258,11);
WHEN "1000010011" =>
manhi <= conv_std_logic_vector(15164384,24);
manlo <= conv_std_logic_vector(252890425,28);
exponent <= conv_std_logic_vector(256,11);
WHEN "1000010100" =>
manhi <= conv_std_logic_vector(6724100,24);
manlo <= conv_std_logic_vector(163583158,28);
exponent <= conv_std_logic_vector(255,11);
WHEN "1000010101" =>
manhi <= conv_std_logic_vector(514086,24);
manlo <= conv_std_logic_vector(118679222,28);
exponent <= conv_std_logic_vector(254,11);
WHEN "1000010110" =>
manhi <= conv_std_logic_vector(8667242,24);
manlo <= conv_std_logic_vector(192770478,28);
exponent <= conv_std_logic_vector(252,11);
WHEN "1000010111" =>
manhi <= conv_std_logic_vector(1943770,24);
manlo <= conv_std_logic_vector(136437165,28);
exponent <= conv_std_logic_vector(251,11);
WHEN "1000011000" =>
manhi <= conv_std_logic_vector(10771048,24);
manlo <= conv_std_logic_vector(58883744,28);
exponent <= conv_std_logic_vector(249,11);
WHEN "1000011001" =>
manhi <= conv_std_logic_vector(3491664,24);
manlo <= conv_std_logic_vector(24836209,28);
exponent <= conv_std_logic_vector(248,11);
WHEN "1000011010" =>
manhi <= conv_std_logic_vector(13048801,24);
manlo <= conv_std_logic_vector(33938829,28);
exponent <= conv_std_logic_vector(246,11);
WHEN "1000011011" =>
manhi <= conv_std_logic_vector(5167541,24);
manlo <= conv_std_logic_vector(6894318,28);
exponent <= conv_std_logic_vector(245,11);
WHEN "1000011100" =>
manhi <= conv_std_logic_vector(15514883,24);
manlo <= conv_std_logic_vector(216092120,28);
exponent <= conv_std_logic_vector(243,11);
WHEN "1000011101" =>
manhi <= conv_std_logic_vector(6981983,24);
manlo <= conv_std_logic_vector(70071320,28);
exponent <= conv_std_logic_vector(242,11);
WHEN "1000011110" =>
manhi <= conv_std_logic_vector(703825,24);
manlo <= conv_std_logic_vector(239890498,28);
exponent <= conv_std_logic_vector(241,11);
WHEN "1000011111" =>
manhi <= conv_std_logic_vector(8946447,24);
manlo <= conv_std_logic_vector(185687386,28);
exponent <= conv_std_logic_vector(239,11);
WHEN "1000100000" =>
manhi <= conv_std_logic_vector(2149198,24);
manlo <= conv_std_logic_vector(12777107,28);
exponent <= conv_std_logic_vector(238,11);
WHEN "1000100001" =>
manhi <= conv_std_logic_vector(11073338,24);
manlo <= conv_std_logic_vector(132295567,28);
exponent <= conv_std_logic_vector(236,11);
WHEN "1000100010" =>
manhi <= conv_std_logic_vector(3714076,24);
manlo <= conv_std_logic_vector(227171857,28);
exponent <= conv_std_logic_vector(235,11);
WHEN "1000100011" =>
manhi <= conv_std_logic_vector(13376085,24);
manlo <= conv_std_logic_vector(119368169,28);
exponent <= conv_std_logic_vector(233,11);
WHEN "1000100100" =>
manhi <= conv_std_logic_vector(5408343,24);
manlo <= conv_std_logic_vector(99290689,28);
exponent <= conv_std_logic_vector(232,11);
WHEN "1000100101" =>
manhi <= conv_std_logic_vector(15869228,24);
manlo <= conv_std_logic_vector(196569651,28);
exponent <= conv_std_logic_vector(230,11);
WHEN "1000100110" =>
manhi <= conv_std_logic_vector(7242695,24);
manlo <= conv_std_logic_vector(184868911,28);
exponent <= conv_std_logic_vector(229,11);
WHEN "1000100111" =>
manhi <= conv_std_logic_vector(895647,24);
manlo <= conv_std_logic_vector(101480846,28);
exponent <= conv_std_logic_vector(228,11);
WHEN "1000101000" =>
manhi <= conv_std_logic_vector(9228716,24);
manlo <= conv_std_logic_vector(111040654,28);
exponent <= conv_std_logic_vector(226,11);
WHEN "1000101001" =>
manhi <= conv_std_logic_vector(2356879,24);
manlo <= conv_std_logic_vector(205878747,28);
exponent <= conv_std_logic_vector(225,11);
WHEN "1000101010" =>
manhi <= conv_std_logic_vector(11378945,24);
manlo <= conv_std_logic_vector(223412824,28);
exponent <= conv_std_logic_vector(223,11);
WHEN "1000101011" =>
manhi <= conv_std_logic_vector(3938930,24);
manlo <= conv_std_logic_vector(43159582,28);
exponent <= conv_std_logic_vector(222,11);
WHEN "1000101100" =>
manhi <= conv_std_logic_vector(13706961,24);
manlo <= conv_std_logic_vector(24539717,28);
exponent <= conv_std_logic_vector(220,11);
WHEN "1000101101" =>
manhi <= conv_std_logic_vector(5651788,24);
manlo <= conv_std_logic_vector(17696328,28);
exponent <= conv_std_logic_vector(219,11);
WHEN "1000101110" =>
manhi <= conv_std_logic_vector(16227461,24);
manlo <= conv_std_logic_vector(248897772,28);
exponent <= conv_std_logic_vector(217,11);
WHEN "1000101111" =>
manhi <= conv_std_logic_vector(7506268,24);
manlo <= conv_std_logic_vector(253353585,28);
exponent <= conv_std_logic_vector(216,11);
WHEN "1000110000" =>
manhi <= conv_std_logic_vector(1089573,24);
manlo <= conv_std_logic_vector(199085712,28);
exponent <= conv_std_logic_vector(215,11);
WHEN "1000110001" =>
manhi <= conv_std_logic_vector(9514082,24);
manlo <= conv_std_logic_vector(134954983,28);
exponent <= conv_std_logic_vector(213,11);
WHEN "1000110010" =>
manhi <= conv_std_logic_vector(2566840,24);
manlo <= conv_std_logic_vector(107836942,28);
exponent <= conv_std_logic_vector(212,11);
WHEN "1000110011" =>
manhi <= conv_std_logic_vector(11687906,24);
manlo <= conv_std_logic_vector(170784064,28);
exponent <= conv_std_logic_vector(210,11);
WHEN "1000110100" =>
manhi <= conv_std_logic_vector(4166250,24);
manlo <= conv_std_logic_vector(219198631,28);
exponent <= conv_std_logic_vector(209,11);
WHEN "1000110101" =>
manhi <= conv_std_logic_vector(14041467,24);
manlo <= conv_std_logic_vector(127426909,28);
exponent <= conv_std_logic_vector(207,11);
WHEN "1000110110" =>
manhi <= conv_std_logic_vector(5897904,24);
manlo <= conv_std_logic_vector(29159081,28);
exponent <= conv_std_logic_vector(206,11);
WHEN "1000110111" =>
manhi <= conv_std_logic_vector(16589626,24);
manlo <= conv_std_logic_vector(15093248,28);
exponent <= conv_std_logic_vector(204,11);
WHEN "1000111000" =>
manhi <= conv_std_logic_vector(7772734,24);
manlo <= conv_std_logic_vector(112367334,28);
exponent <= conv_std_logic_vector(203,11);
WHEN "1000111001" =>
manhi <= conv_std_logic_vector(1285628,24);
manlo <= conv_std_logic_vector(21894417,28);
exponent <= conv_std_logic_vector(202,11);
WHEN "1000111010" =>
manhi <= conv_std_logic_vector(9802579,24);
manlo <= conv_std_logic_vector(254146435,28);
exponent <= conv_std_logic_vector(200,11);
WHEN "1000111011" =>
manhi <= conv_std_logic_vector(2779104,24);
manlo <= conv_std_logic_vector(257348234,28);
exponent <= conv_std_logic_vector(199,11);
WHEN "1000111100" =>
manhi <= conv_std_logic_vector(12000257,24);
manlo <= conv_std_logic_vector(188607876,28);
exponent <= conv_std_logic_vector(197,11);
WHEN "1000111101" =>
manhi <= conv_std_logic_vector(4396065,24);
manlo <= conv_std_logic_vector(238395052,28);
exponent <= conv_std_logic_vector(196,11);
WHEN "1000111110" =>
manhi <= conv_std_logic_vector(14379644,24);
manlo <= conv_std_logic_vector(116776141,28);
exponent <= conv_std_logic_vector(194,11);
WHEN "1000111111" =>
manhi <= conv_std_logic_vector(6146720,24);
manlo <= conv_std_logic_vector(217697734,28);
exponent <= conv_std_logic_vector(193,11);
WHEN "1001000000" =>
manhi <= conv_std_logic_vector(89274,24);
manlo <= conv_std_logic_vector(34078121,28);
exponent <= conv_std_logic_vector(192,11);
WHEN "1001000001" =>
manhi <= conv_std_logic_vector(8042123,24);
manlo <= conv_std_logic_vector(228091050,28);
exponent <= conv_std_logic_vector(190,11);
WHEN "1001000010" =>
manhi <= conv_std_logic_vector(1483833,24);
manlo <= conv_std_logic_vector(200872250,28);
exponent <= conv_std_logic_vector(189,11);
WHEN "1001000011" =>
manhi <= conv_std_logic_vector(10094243,24);
manlo <= conv_std_logic_vector(28573613,28);
exponent <= conv_std_logic_vector(187,11);
WHEN "1001000100" =>
manhi <= conv_std_logic_vector(2993698,24);
manlo <= conv_std_logic_vector(193026703,28);
exponent <= conv_std_logic_vector(186,11);
WHEN "1001000101" =>
manhi <= conv_std_logic_vector(12316036,24);
manlo <= conv_std_logic_vector(62602992,28);
exponent <= conv_std_logic_vector(184,11);
WHEN "1001000110" =>
manhi <= conv_std_logic_vector(4628402,24);
manlo <= conv_std_logic_vector(200475490,28);
exponent <= conv_std_logic_vector(183,11);
WHEN "1001000111" =>
manhi <= conv_std_logic_vector(14721532,24);
manlo <= conv_std_logic_vector(67122338,28);
exponent <= conv_std_logic_vector(181,11);
WHEN "1001001000" =>
manhi <= conv_std_logic_vector(6398267,24);
manlo <= conv_std_logic_vector(216803728,28);
exponent <= conv_std_logic_vector(180,11);
WHEN "1001001001" =>
manhi <= conv_std_logic_vector(274352,24);
manlo <= conv_std_logic_vector(17200594,28);
exponent <= conv_std_logic_vector(179,11);
WHEN "1001001010" =>
manhi <= conv_std_logic_vector(8314469,24);
manlo <= conv_std_logic_vector(86446456,28);
exponent <= conv_std_logic_vector(177,11);
WHEN "1001001011" =>
manhi <= conv_std_logic_vector(1684214,24);
manlo <= conv_std_logic_vector(93587914,28);
exponent <= conv_std_logic_vector(176,11);
WHEN "1001001100" =>
manhi <= conv_std_logic_vector(10389106,24);
manlo <= conv_std_logic_vector(193148951,28);
exponent <= conv_std_logic_vector(174,11);
WHEN "1001001101" =>
manhi <= conv_std_logic_vector(3210647,24);
manlo <= conv_std_logic_vector(64824977,28);
exponent <= conv_std_logic_vector(173,11);
WHEN "1001001110" =>
manhi <= conv_std_logic_vector(12635279,24);
manlo <= conv_std_logic_vector(224939505,28);
exponent <= conv_std_logic_vector(171,11);
WHEN "1001001111" =>
manhi <= conv_std_logic_vector(4863289,24);
manlo <= conv_std_logic_vector(17355920,28);
exponent <= conv_std_logic_vector(170,11);
WHEN "1001010000" =>
manhi <= conv_std_logic_vector(15067171,24);
manlo <= conv_std_logic_vector(171641236,28);
exponent <= conv_std_logic_vector(168,11);
WHEN "1001010001" =>
manhi <= conv_std_logic_vector(6652575,24);
manlo <= conv_std_logic_vector(15694991,28);
exponent <= conv_std_logic_vector(167,11);
WHEN "1001010010" =>
manhi <= conv_std_logic_vector(461460,24);
manlo <= conv_std_logic_vector(236949593,28);
exponent <= conv_std_logic_vector(166,11);
WHEN "1001010011" =>
manhi <= conv_std_logic_vector(8589803,24);
manlo <= conv_std_logic_vector(73170085,28);
exponent <= conv_std_logic_vector(164,11);
WHEN "1001010100" =>
manhi <= conv_std_logic_vector(1886793,24);
manlo <= conv_std_logic_vector(200887360,28);
exponent <= conv_std_logic_vector(163,11);
WHEN "1001010101" =>
manhi <= conv_std_logic_vector(10687205,24);
manlo <= conv_std_logic_vector(242930225,28);
exponent <= conv_std_logic_vector(161,11);
WHEN "1001010110" =>
manhi <= conv_std_logic_vector(3429976,24);
manlo <= conv_std_logic_vector(97980458,28);
exponent <= conv_std_logic_vector(160,11);
WHEN "1001010111" =>
manhi <= conv_std_logic_vector(12958026,24);
manlo <= conv_std_logic_vector(144828568,28);
exponent <= conv_std_logic_vector(158,11);
WHEN "1001011000" =>
manhi <= conv_std_logic_vector(5100752,24);
manlo <= conv_std_logic_vector(219332721,28);
exponent <= conv_std_logic_vector(157,11);
WHEN "1001011001" =>
manhi <= conv_std_logic_vector(15416603,24);
manlo <= conv_std_logic_vector(206580322,28);
exponent <= conv_std_logic_vector(155,11);
WHEN "1001011010" =>
manhi <= conv_std_logic_vector(6909672,24);
manlo <= conv_std_logic_vector(228709240,28);
exponent <= conv_std_logic_vector(154,11);
WHEN "1001011011" =>
manhi <= conv_std_logic_vector(650622,24);
manlo <= conv_std_logic_vector(232984198,28);
exponent <= conv_std_logic_vector(153,11);
WHEN "1001011100" =>
manhi <= conv_std_logic_vector(8868158,24);
manlo <= conv_std_logic_vector(132673062,28);
exponent <= conv_std_logic_vector(151,11);
WHEN "1001011101" =>
manhi <= conv_std_logic_vector(2091596,24);
manlo <= conv_std_logic_vector(20173170,28);
exponent <= conv_std_logic_vector(150,11);
WHEN "1001011110" =>
manhi <= conv_std_logic_vector(10988576,24);
manlo <= conv_std_logic_vector(44856082,28);
exponent <= conv_std_logic_vector(148,11);
WHEN "1001011111" =>
manhi <= conv_std_logic_vector(3651712,24);
manlo <= conv_std_logic_vector(56970522,28);
exponent <= conv_std_logic_vector(147,11);
WHEN "1001100000" =>
manhi <= conv_std_logic_vector(13284314,24);
manlo <= conv_std_logic_vector(208786223,28);
exponent <= conv_std_logic_vector(145,11);
WHEN "1001100001" =>
manhi <= conv_std_logic_vector(5340822,24);
manlo <= conv_std_logic_vector(76928898,28);
exponent <= conv_std_logic_vector(144,11);
WHEN "1001100010" =>
manhi <= conv_std_logic_vector(15769870,24);
manlo <= conv_std_logic_vector(69445892,28);
exponent <= conv_std_logic_vector(142,11);
WHEN "1001100011" =>
manhi <= conv_std_logic_vector(7169591,24);
manlo <= conv_std_logic_vector(217224162,28);
exponent <= conv_std_logic_vector(141,11);
WHEN "1001100100" =>
manhi <= conv_std_logic_vector(841860,24);
manlo <= conv_std_logic_vector(147476782,28);
exponent <= conv_std_logic_vector(140,11);
WHEN "1001100101" =>
manhi <= conv_std_logic_vector(9149568,24);
manlo <= conv_std_logic_vector(37524984,28);
exponent <= conv_std_logic_vector(138,11);
WHEN "1001100110" =>
manhi <= conv_std_logic_vector(2298645,24);
manlo <= conv_std_logic_vector(193659590,28);
exponent <= conv_std_logic_vector(137,11);
WHEN "1001100111" =>
manhi <= conv_std_logic_vector(11293253,24);
manlo <= conv_std_logic_vector(107316618,28);
exponent <= conv_std_logic_vector(135,11);
WHEN "1001101000" =>
manhi <= conv_std_logic_vector(3875881,24);
manlo <= conv_std_logic_vector(51654059,28);
exponent <= conv_std_logic_vector(134,11);
WHEN "1001101001" =>
manhi <= conv_std_logic_vector(13614183,24);
manlo <= conv_std_logic_vector(111249634,28);
exponent <= conv_std_logic_vector(132,11);
WHEN "1001101010" =>
manhi <= conv_std_logic_vector(5583526,24);
manlo <= conv_std_logic_vector(17717412,28);
exponent <= conv_std_logic_vector(131,11);
WHEN "1001101011" =>
manhi <= conv_std_logic_vector(16127013,24);
manlo <= conv_std_logic_vector(48769099,28);
exponent <= conv_std_logic_vector(129,11);
WHEN "1001101100" =>
manhi <= conv_std_logic_vector(7432362,24);
manlo <= conv_std_logic_vector(238120049,28);
exponent <= conv_std_logic_vector(128,11);
WHEN "1001101101" =>
manhi <= conv_std_logic_vector(1035196,24);
manlo <= conv_std_logic_vector(188962402,28);
exponent <= conv_std_logic_vector(127,11);
WHEN "1001101110" =>
manhi <= conv_std_logic_vector(9434065,24);
manlo <= conv_std_logic_vector(194820226,28);
exponent <= conv_std_logic_vector(125,11);
WHEN "1001101111" =>
manhi <= conv_std_logic_vector(2507967,24);
manlo <= conv_std_logic_vector(93233285,28);
exponent <= conv_std_logic_vector(124,11);
WHEN "1001110000" =>
manhi <= conv_std_logic_vector(11601273,24);
manlo <= conv_std_logic_vector(239123672,28);
exponent <= conv_std_logic_vector(122,11);
WHEN "1001110001" =>
manhi <= conv_std_logic_vector(4102510,24);
manlo <= conv_std_logic_vector(1244898,28);
exponent <= conv_std_logic_vector(121,11);
WHEN "1001110010" =>
manhi <= conv_std_logic_vector(13947671,24);
manlo <= conv_std_logic_vector(197996828,28);
exponent <= conv_std_logic_vector(119,11);
WHEN "1001110011" =>
manhi <= conv_std_logic_vector(5828893,24);
manlo <= conv_std_logic_vector(16622593,28);
exponent <= conv_std_logic_vector(118,11);
WHEN "1001110100" =>
manhi <= conv_std_logic_vector(16488075,24);
manlo <= conv_std_logic_vector(20144764,28);
exponent <= conv_std_logic_vector(116,11);
WHEN "1001110101" =>
manhi <= conv_std_logic_vector(7698017,24);
manlo <= conv_std_logic_vector(102592250,28);
exponent <= conv_std_logic_vector(115,11);
WHEN "1001110110" =>
manhi <= conv_std_logic_vector(1230654,24);
manlo <= conv_std_logic_vector(96196092,28);
exponent <= conv_std_logic_vector(114,11);
WHEN "1001110111" =>
manhi <= conv_std_logic_vector(9721685,24);
manlo <= conv_std_logic_vector(36636777,28);
exponent <= conv_std_logic_vector(112,11);
WHEN "1001111000" =>
manhi <= conv_std_logic_vector(2719585,24);
manlo <= conv_std_logic_vector(237160861,28);
exponent <= conv_std_logic_vector(111,11);
WHEN "1001111001" =>
manhi <= conv_std_logic_vector(11912674,24);
manlo <= conv_std_logic_vector(87541902,28);
exponent <= conv_std_logic_vector(109,11);
WHEN "1001111010" =>
manhi <= conv_std_logic_vector(4331625,24);
manlo <= conv_std_logic_vector(172036325,28);
exponent <= conv_std_logic_vector(108,11);
WHEN "1001111011" =>
manhi <= conv_std_logic_vector(14284819,24);
manlo <= conv_std_logic_vector(125225503,28);
exponent <= conv_std_logic_vector(106,11);
WHEN "1001111100" =>
manhi <= conv_std_logic_vector(6076952,24);
manlo <= conv_std_logic_vector(133715238,28);
exponent <= conv_std_logic_vector(105,11);
WHEN "1001111101" =>
manhi <= conv_std_logic_vector(37941,24);
manlo <= conv_std_logic_vector(126448851,28);
exponent <= conv_std_logic_vector(104,11);
WHEN "1001111110" =>
manhi <= conv_std_logic_vector(7966586,24);
manlo <= conv_std_logic_vector(250893596,28);
exponent <= conv_std_logic_vector(102,11);
WHEN "1001111111" =>
manhi <= conv_std_logic_vector(1428256,24);
manlo <= conv_std_logic_vector(212630882,28);
exponent <= conv_std_logic_vector(101,11);
WHEN "1010000000" =>
manhi <= conv_std_logic_vector(10012460,24);
manlo <= conv_std_logic_vector(168603206,28);
exponent <= conv_std_logic_vector(99,11);
WHEN "1010000001" =>
manhi <= conv_std_logic_vector(2933526,24);
manlo <= conv_std_logic_vector(143402282,28);
exponent <= conv_std_logic_vector(98,11);
WHEN "1010000010" =>
manhi <= conv_std_logic_vector(12227491,24);
manlo <= conv_std_logic_vector(213203509,28);
exponent <= conv_std_logic_vector(96,11);
WHEN "1010000011" =>
manhi <= conv_std_logic_vector(4563255,24);
manlo <= conv_std_logic_vector(104522224,28);
exponent <= conv_std_logic_vector(95,11);
WHEN "1010000100" =>
manhi <= conv_std_logic_vector(14625666,24);
manlo <= conv_std_logic_vector(203000190,28);
exponent <= conv_std_logic_vector(93,11);
WHEN "1010000101" =>
manhi <= conv_std_logic_vector(6327733,24);
manlo <= conv_std_logic_vector(246711469,28);
exponent <= conv_std_logic_vector(92,11);
WHEN "1010000110" =>
manhi <= conv_std_logic_vector(222456,24);
manlo <= conv_std_logic_vector(34640152,28);
exponent <= conv_std_logic_vector(91,11);
WHEN "1010000111" =>
manhi <= conv_std_logic_vector(8238103,24);
manlo <= conv_std_logic_vector(142733230,28);
exponent <= conv_std_logic_vector(89,11);
WHEN "1010001000" =>
manhi <= conv_std_logic_vector(1628027,24);
manlo <= conv_std_logic_vector(144984792,28);
exponent <= conv_std_logic_vector(88,11);
WHEN "1010001001" =>
manhi <= conv_std_logic_vector(10306426,24);
manlo <= conv_std_logic_vector(223510234,28);
exponent <= conv_std_logic_vector(86,11);
WHEN "1010001010" =>
manhi <= conv_std_logic_vector(3149814,24);
manlo <= conv_std_logic_vector(209464872,28);
exponent <= conv_std_logic_vector(85,11);
WHEN "1010001011" =>
manhi <= conv_std_logic_vector(12545763,24);
manlo <= conv_std_logic_vector(212245812,28);
exponent <= conv_std_logic_vector(83,11);
WHEN "1010001100" =>
manhi <= conv_std_logic_vector(4797426,24);
manlo <= conv_std_logic_vector(224882259,28);
exponent <= conv_std_logic_vector(82,11);
WHEN "1010001101" =>
manhi <= conv_std_logic_vector(14970254,24);
manlo <= conv_std_logic_vector(54358776,28);
exponent <= conv_std_logic_vector(80,11);
WHEN "1010001110" =>
manhi <= conv_std_logic_vector(6581267,24);
manlo <= conv_std_logic_vector(51917314,28);
exponent <= conv_std_logic_vector(79,11);
WHEN "1010001111" =>
manhi <= conv_std_logic_vector(408995,24);
manlo <= conv_std_logic_vector(130890803,28);
exponent <= conv_std_logic_vector(78,11);
WHEN "1010010000" =>
manhi <= conv_std_logic_vector(8512599,24);
manlo <= conv_std_logic_vector(137347476,28);
exponent <= conv_std_logic_vector(76,11);
WHEN "1010010001" =>
manhi <= conv_std_logic_vector(1829990,24);
manlo <= conv_std_logic_vector(106170554,28);
exponent <= conv_std_logic_vector(75,11);
WHEN "1010010010" =>
manhi <= conv_std_logic_vector(10603618,24);
manlo <= conv_std_logic_vector(204595238,28);
exponent <= conv_std_logic_vector(73,11);
WHEN "1010010011" =>
manhi <= conv_std_logic_vector(3368476,24);
manlo <= conv_std_logic_vector(102605239,28);
exponent <= conv_std_logic_vector(72,11);
WHEN "1010010100" =>
manhi <= conv_std_logic_vector(12867528,24);
manlo <= conv_std_logic_vector(59687307,28);
exponent <= conv_std_logic_vector(70,11);
WHEN "1010010101" =>
manhi <= conv_std_logic_vector(5034167,24);
manlo <= conv_std_logic_vector(235251142,28);
exponent <= conv_std_logic_vector(69,11);
WHEN "1010010110" =>
manhi <= conv_std_logic_vector(15318622,24);
manlo <= conv_std_logic_vector(227223140,28);
exponent <= conv_std_logic_vector(67,11);
WHEN "1010010111" =>
manhi <= conv_std_logic_vector(6837582,24);
manlo <= conv_std_logic_vector(138925467,28);
exponent <= conv_std_logic_vector(66,11);
WHEN "1010011000" =>
manhi <= conv_std_logic_vector(597581,24);
manlo <= conv_std_logic_vector(205088968,28);
exponent <= conv_std_logic_vector(65,11);
WHEN "1010011001" =>
manhi <= conv_std_logic_vector(8790107,24);
manlo <= conv_std_logic_vector(152356460,28);
exponent <= conv_std_logic_vector(63,11);
WHEN "1010011010" =>
manhi <= conv_std_logic_vector(2034169,24);
manlo <= conv_std_logic_vector(110749946,28);
exponent <= conv_std_logic_vector(62,11);
WHEN "1010011011" =>
manhi <= conv_std_logic_vector(10904071,24);
manlo <= conv_std_logic_vector(218226183,28);
exponent <= conv_std_logic_vector(60,11);
WHEN "1010011100" =>
manhi <= conv_std_logic_vector(3589537,24);
manlo <= conv_std_logic_vector(102830143,28);
exponent <= conv_std_logic_vector(59,11);
WHEN "1010011101" =>
manhi <= conv_std_logic_vector(13192823,24);
manlo <= conv_std_logic_vector(110639602,28);
exponent <= conv_std_logic_vector(57,11);
WHEN "1010011110" =>
manhi <= conv_std_logic_vector(5273506,24);
manlo <= conv_std_logic_vector(188352155,28);
exponent <= conv_std_logic_vector(56,11);
WHEN "1010011111" =>
manhi <= conv_std_logic_vector(15670814,24);
manlo <= conv_std_logic_vector(48227643,28);
exponent <= conv_std_logic_vector(54,11);
WHEN "1010100000" =>
manhi <= conv_std_logic_vector(7096710,24);
manlo <= conv_std_logic_vector(112532514,28);
exponent <= conv_std_logic_vector(53,11);
WHEN "1010100001" =>
manhi <= conv_std_logic_vector(788237,24);
manlo <= conv_std_logic_vector(112565412,28);
exponent <= conv_std_logic_vector(52,11);
WHEN "1010100010" =>
manhi <= conv_std_logic_vector(9070660,24);
manlo <= conv_std_logic_vector(201680253,28);
exponent <= conv_std_logic_vector(50,11);
WHEN "1010100011" =>
manhi <= conv_std_logic_vector(2240588,24);
manlo <= conv_std_logic_vector(244138286,28);
exponent <= conv_std_logic_vector(49,11);
WHEN "1010100100" =>
manhi <= conv_std_logic_vector(11207821,24);
manlo <= conv_std_logic_vector(206597824,28);
exponent <= conv_std_logic_vector(47,11);
WHEN "1010100101" =>
manhi <= conv_std_logic_vector(3813024,24);
manlo <= conv_std_logic_vector(29987310,28);
exponent <= conv_std_logic_vector(46,11);
WHEN "1010100110" =>
manhi <= conv_std_logic_vector(13521688,24);
manlo <= conv_std_logic_vector(27790821,28);
exponent <= conv_std_logic_vector(44,11);
WHEN "1010100111" =>
manhi <= conv_std_logic_vector(5515471,24);
manlo <= conv_std_logic_vector(219963166,28);
exponent <= conv_std_logic_vector(43,11);
WHEN "1010101000" =>
manhi <= conv_std_logic_vector(16026870,24);
manlo <= conv_std_logic_vector(39964772,28);
exponent <= conv_std_logic_vector(41,11);
WHEN "1010101001" =>
manhi <= conv_std_logic_vector(7358681,24);
manlo <= conv_std_logic_vector(204327682,28);
exponent <= conv_std_logic_vector(40,11);
WHEN "1010101010" =>
manhi <= conv_std_logic_vector(980985,24);
manlo <= conv_std_logic_vector(43247066,28);
exponent <= conv_std_logic_vector(39,11);
WHEN "1010101011" =>
manhi <= conv_std_logic_vector(9354292,24);
manlo <= conv_std_logic_vector(128160132,28);
exponent <= conv_std_logic_vector(37,11);
WHEN "1010101100" =>
manhi <= conv_std_logic_vector(2449273,24);
manlo <= conv_std_logic_vector(126511008,28);
exponent <= conv_std_logic_vector(36,11);
WHEN "1010101101" =>
manhi <= conv_std_logic_vector(11514904,24);
manlo <= conv_std_logic_vector(217311246,28);
exponent <= conv_std_logic_vector(34,11);
WHEN "1010101110" =>
manhi <= conv_std_logic_vector(4038963,24);
manlo <= conv_std_logic_vector(49913566,28);
exponent <= conv_std_logic_vector(33,11);
WHEN "1010101111" =>
manhi <= conv_std_logic_vector(13854161,24);
manlo <= conv_std_logic_vector(124821568,28);
exponent <= conv_std_logic_vector(31,11);
WHEN "1010110000" =>
manhi <= conv_std_logic_vector(5760092,24);
manlo <= conv_std_logic_vector(12957085,28);
exponent <= conv_std_logic_vector(30,11);
WHEN "1010110001" =>
manhi <= conv_std_logic_vector(16386833,24);
manlo <= conv_std_logic_vector(43278038,28);
exponent <= conv_std_logic_vector(28,11);
WHEN "1010110010" =>
manhi <= conv_std_logic_vector(7623527,24);
manlo <= conv_std_logic_vector(199937734,28);
exponent <= conv_std_logic_vector(27,11);
WHEN "1010110011" =>
manhi <= conv_std_logic_vector(1175847,24);
manlo <= conv_std_logic_vector(253947561,28);
exponent <= conv_std_logic_vector(26,11);
WHEN "1010110100" =>
manhi <= conv_std_logic_vector(9641036,24);
manlo <= conv_std_logic_vector(141497796,28);
exponent <= conv_std_logic_vector(24,11);
WHEN "1010110101" =>
manhi <= conv_std_logic_vector(2660247,24);
manlo <= conv_std_logic_vector(255766959,28);
exponent <= conv_std_logic_vector(23,11);
WHEN "1010110110" =>
manhi <= conv_std_logic_vector(11825357,24);
manlo <= conv_std_logic_vector(136095046,28);
exponent <= conv_std_logic_vector(21,11);
WHEN "1010110111" =>
manhi <= conv_std_logic_vector(4267381,24);
manlo <= conv_std_logic_vector(138414926,28);
exponent <= conv_std_logic_vector(20,11);
WHEN "1010111000" =>
manhi <= conv_std_logic_vector(14190283,24);
manlo <= conv_std_logic_vector(25479908,28);
exponent <= conv_std_logic_vector(18,11);
WHEN "1010111001" =>
manhi <= conv_std_logic_vector(6007396,24);
manlo <= conv_std_logic_vector(140400514,28);
exponent <= conv_std_logic_vector(17,11);
WHEN "1010111010" =>
manhi <= conv_std_logic_vector(16750746,24);
manlo <= conv_std_logic_vector(23924155,28);
exponent <= conv_std_logic_vector(15,11);
WHEN "1010111011" =>
manhi <= conv_std_logic_vector(7891279,24);
manlo <= conv_std_logic_vector(245330892,28);
exponent <= conv_std_logic_vector(14,11);
WHEN "1010111100" =>
manhi <= conv_std_logic_vector(1372848,24);
manlo <= conv_std_logic_vector(263794815,28);
exponent <= conv_std_logic_vector(13,11);
WHEN "1010111101" =>
manhi <= conv_std_logic_vector(9930927,24);
manlo <= conv_std_logic_vector(14029030,28);
exponent <= conv_std_logic_vector(11,11);
WHEN "1010111110" =>
manhi <= conv_std_logic_vector(2873537,24);
manlo <= conv_std_logic_vector(129274844,28);
exponent <= conv_std_logic_vector(10,11);
WHEN "1010111111" =>
manhi <= conv_std_logic_vector(12139216,24);
manlo <= conv_std_logic_vector(224845565,28);
exponent <= conv_std_logic_vector(8,11);
WHEN "1011000000" =>
manhi <= conv_std_logic_vector(4498306,24);
manlo <= conv_std_logic_vector(82126943,28);
exponent <= conv_std_logic_vector(7,11);
WHEN "1011000001" =>
manhi <= conv_std_logic_vector(14530093,24);
manlo <= conv_std_logic_vector(7024665,28);
exponent <= conv_std_logic_vector(5,11);
WHEN "1011000010" =>
manhi <= conv_std_logic_vector(6257414,24);
manlo <= conv_std_logic_vector(187437029,28);
exponent <= conv_std_logic_vector(4,11);
WHEN "1011000011" =>
manhi <= conv_std_logic_vector(170718,24);
manlo <= conv_std_logic_vector(36971864,28);
exponent <= conv_std_logic_vector(3,11);
WHEN "1011000100" =>
manhi <= conv_std_logic_vector(8161970,24);
manlo <= conv_std_logic_vector(42518955,28);
exponent <= conv_std_logic_vector(1,11);
WHEN "1011000101" =>
manhi <= conv_std_logic_vector(1572011,24);
manlo <= conv_std_logic_vector(197150320,28);
exponent <= conv_std_logic_vector(0,11);
WHEN others =>
manhi <= conv_std_logic_vector(0,24);
manlo <= conv_std_logic_vector(0,28);
exponent <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/dp_log.vhd | 10 | 10521 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** DOUBLE PRECISION LOG(LN) - TOP LEVEL ***
--*** ***
--*** DP_LOG.VHD ***
--*** ***
--*** Function: IEEE754 DP LN() ***
--*** ***
--*** 11/08/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Latency = 27 + 7*DoubleSpeed + ***
--*** RoundConvert*(1+DoubleSpeed) ***
--*** DoubleSpeed = 0, RoundConvert = 0 : 27 ***
--*** DoubleSpeed = 1, RoundConvert = 0 : 34 ***
--*** DoubleSpeed = 0, RoundConvert = 1 : 28 ***
--*** DoubleSpeed = 1, RoundConvert = 1 : 36 ***
--*** ***
--***************************************************
ENTITY dp_log IS
GENERIC (
roundconvert : integer := 0; -- 0 = no round, 1 = round
doublespeed : integer := 0; -- 0/1
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
END dp_log;
ARCHITECTURE rtl OF dp_log IS
constant expwidth : positive := 11;
constant manwidth : positive := 52;
constant coredepth : positive := 26 + 7*doublespeed;
signal signinff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal maninff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signnode : STD_LOGIC;
signal mantissanode : STD_LOGIC_VECTOR (53 DOWNTO 1);
signal exponentnode : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zeronode : STD_LOGIC;
-- conditions
signal zeroman : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal zeroexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal maxexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal zeromaninff : STD_LOGIC;
signal zeroexpinff : STD_LOGIC;
signal maxexpinff : STD_LOGIC;
signal naninff : STD_LOGIC;
signal nanff : STD_LOGIC_VECTOR (coredepth-3 DOWNTO 1);
signal infinityinff : STD_LOGIC;
signal infinityff : STD_LOGIC_VECTOR (coredepth-3 DOWNTO 1);
component dp_ln_core
GENERIC (
doublespeed : integer := 0; -- 0/1
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aaman : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
aaexp : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
ccman : OUT STD_LOGIC_VECTOR (53 DOWNTO 1);
ccexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
ccsgn : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
end component;
component dp_lnnornd
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signln : IN STD_LOGIC;
exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1);
nanin : IN STD_LOGIC;
infinityin : IN STD_LOGIC;
zeroin : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
end component;
component dp_lnrnd
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signln : IN STD_LOGIC;
exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1);
nanin : IN STD_LOGIC;
infinityin : IN STD_LOGIC;
zeroin : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
end component;
component dp_lnrndpipe
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signln : IN STD_LOGIC;
exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1);
nanin : IN STD_LOGIC;
infinityin : IN STD_LOGIC;
zeroin : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
end component;
BEGIN
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO manwidth LOOP
maninff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
expinff(k) <= '0';
END LOOP;
signinff <= "00";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
maninff <= mantissain;
expinff <= exponentin;
signinff(1) <= signin;
signinff(2) <= signinff(1);
END IF;
END IF;
END PROCESS;
--********************
--*** CHECK INPUTS ***
--********************
zeroman(1) <= maninff(1);
gca: FOR k IN 2 TO manwidth GENERATE
zeroman(k) <= zeroman(k-1) OR maninff(k);
END GENERATE;
zeroexp(1) <= expinff(1);
gcb: FOR k IN 2 TO expwidth GENERATE
zeroexp(k) <= zeroexp(k-1) OR expinff(k);
END GENERATE;
maxexp(1) <= expinff(1);
gcc: FOR k IN 2 TO expwidth GENERATE
maxexp(k) <= maxexp(k-1) AND expinff(k);
END GENERATE;
pcc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
zeromaninff <= '0';
zeroexpinff <= '0';
maxexpinff <= '0';
naninff <= '0';
FOR k IN 1 TO coredepth-3 LOOP
nanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
zeromaninff <= NOT(zeroman(manwidth));
zeroexpinff <= NOT(zeroexp(expwidth));
maxexpinff <= maxexp(expwidth);
-- infinity when exp = zero
-- nan when man != 0, exp = max
-- all ffs '1' when condition true
naninff <= (zeromaninff AND maxexpinff) OR signinff(2);
infinityinff <= zeroexpinff OR maxexpinff;
-- nan output when nan input
nanff(1) <= naninff;
FOR k IN 2 TO coredepth-3 LOOP
nanff(k) <= nanff(k-1);
END LOOP;
infinityff(1) <= infinityinff;
FOR k IN 2 TO coredepth-3 LOOP
infinityff(k) <= infinityff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--***************
--*** LN CORE ***
--***************
lncore: dp_ln_core
GENERIC MAP (doublespeed=>doublespeed,device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aaman=>mantissain,aaexp=>exponentin,
ccman=>mantissanode,ccexp=>exponentnode,ccsgn=>signnode,
zeroout=>zeronode);
--************************
--*** ROUND AND OUTPUT ***
--************************
gra: IF (roundconvert = 0) GENERATE
norndout: dp_lnnornd
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
signln=>signnode,
exponentln=>exponentnode,
mantissaln=>mantissanode,
nanin=>nanff(coredepth-3),
infinityin=>infinityff(coredepth-3),
zeroin=>zeronode,
signout=>signout,
exponentout=>exponentout,
mantissaout=>mantissaout,
nanout=>nanout,overflowout=>overflowout,zeroout=>zeroout);
END GENERATE;
grb: IF (roundconvert = 1 AND doublespeed = 0) GENERATE
rndout: dp_lnrnd
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
signln=>signnode,
exponentln=>exponentnode,
mantissaln=>mantissanode,
nanin=>nanff(coredepth-3),
infinityin=>infinityff(coredepth-3),
zeroin=>zeronode,
signout=>signout,
exponentout=>exponentout,
mantissaout=>mantissaout,
nanout=>nanout,overflowout=>overflowout,zeroout=>zeroout);
END GENERATE;
grc: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
rndoutpipe: dp_lnrndpipe
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
signln=>signnode,
exponentln=>exponentnode,
mantissaln=>mantissanode,
nanin=>nanff(coredepth-3),
infinityin=>infinityff(coredepth-3),
zeroin=>zeronode,
signout=>signout,
exponentout=>exponentout,
mantissaout=>mantissaout,
nanout=>nanout,overflowout=>overflowout,zeroout=>zeroout);
END GENERATE;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_mul54usb.vhd | 10 | 3839 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_MUL54USB.VHD ***
--*** ***
--*** Function: 4/5/6 pipeline stage unsigned ***
--*** 54 bit multiplier (behavioral) ***
--*** ***
--*** 24/04/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 31/01/08 ML see below ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_mul54usb IS
GENERIC (
latency : positive := 5; -- 4/5/6
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
prune : integer := 0 -- 0 = pruned multiplier, 1 = normal multiplier
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (72 DOWNTO 1)
);
END fp_mul54usb;
ARCHITECTURE rtl OF fp_mul54usb IS
constant delaydepth : integer := latency - 2;
type muldelfftype IS ARRAY (delaydepth DOWNTO 1) OF STD_LOGIC_VECTOR (72 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (72 DOWNTO 1);
signal aaff, bbff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal mulff : STD_LOGIC_VECTOR (108 DOWNTO 1);
signal muldelff : muldelfftype;
signal mulnode : STD_LOGIC_VECTOR (108 DOWNTO 1);
signal mulonenode, multwonode : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal multhrnode : STD_LOGIC_VECTOR (72 DOWNTO 1);
BEGIN
gza: FOR k IN 1 TO 72 GENERATE
zerovec(k) <= '0';
END GENERATE;
pma: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
mulff(k) <= '0';
END LOOP;
FOR k IN 1 TO 108 LOOP
mulff(k) <= '0';
END LOOP;
FOR k IN 1 TO delaydepth LOOP
FOR j IN 1 TO 72 LOOP
muldelff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
bbff <= bb;
mulff <= mulnode;
muldelff(1)(72 DOWNTO 1) <= mulff(108 DOWNTO 37);
FOR k IN 2 TO delaydepth LOOP
muldelff(k)(72 DOWNTO 1) <= muldelff(k-1)(72 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
-- full multiplier
gpa: IF (prune = 1) GENERATE
mulonenode <= zerovec(54 DOWNTO 1);
multwonode <= zerovec(54 DOWNTO 1);
multhrnode <= zerovec(72 DOWNTO 1);
mulnode <= aaff * bbff;
END GENERATE;
-- pruned multiplier (18x18 LSB contribution missing)
gpb: IF (prune = 0) GENERATE
mulonenode <= aaff(18 DOWNTO 1) * bbff(54 DOWNTO 19);
multwonode <= bbff(18 DOWNTO 1) * aaff(54 DOWNTO 19);
multhrnode <= aaff(54 DOWNTO 19) * bbff(54 DOWNTO 19);
mulnode <= (multhrnode & zerovec(36 DOWNTO 1)) +
(zerovec(36 DOWNTO 1) & mulonenode & zerovec(18 DOWNTO 1)) +
(zerovec(36 DOWNTO 1) & multwonode & zerovec(18 DOWNTO 1));
END GENERATE;
cc <= muldelff(delaydepth)(72 DOWNTO 1);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_lsftcomb36.vhd | 10 | 3820 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_LSFTCOMB36.VHD ***
--*** ***
--*** Function: Combinatorial left shift, 36 ***
--*** bit number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_lsftcomb36 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END hcc_lsftcomb36;
ARCHITECTURE rtl OF hcc_lsftcomb36 IS
signal levzip, levone, levtwo, levthr : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
levzip <= inbus;
-- shift by 0,1,2,3
levone(1) <= (levzip(1) AND NOT(shift(2)) AND NOT(shift(1)));
levone(2) <= (levzip(2) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(1) AND NOT(shift(2)) AND shift(1));
levone(3) <= (levzip(3) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(2) AND NOT(shift(2)) AND shift(1)) OR
(levzip(1) AND shift(2) AND NOT(shift(1)));
gaa: FOR k IN 4 TO 36 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(k-1) AND NOT(shift(2)) AND shift(1)) OR
(levzip(k-2) AND shift(2) AND NOT(shift(1))) OR
(levzip(k-3) AND shift(2) AND shift(1));
END GENERATE;
-- shift by 0,4,8,12
gba: FOR k IN 1 TO 4 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3)));
END GENERATE;
gbb: FOR k IN 5 TO 8 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3));
END GENERATE;
gbc: FOR k IN 9 TO 12 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3)));
END GENERATE;
gbd: FOR k IN 13 TO 36 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3))) OR
(levone(k-12) AND shift(4) AND shift(3));
END GENERATE;
gca: FOR k IN 1 TO 16 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5)));
END GENERATE;
gcb: FOR k IN 17 TO 32 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(k-16) AND NOT(shift(6)) AND shift(5));
END GENERATE;
gcc: FOR k IN 33 TO 36 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(k-16) AND NOT(shift(6)) AND shift(5)) OR
(levtwo(k-32) AND shift(6) AND NOT(shift(5)));
END GENERATE;
outbus <= levthr;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_lsftcomb36.vhd | 10 | 3820 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_LSFTCOMB36.VHD ***
--*** ***
--*** Function: Combinatorial left shift, 36 ***
--*** bit number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_lsftcomb36 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END hcc_lsftcomb36;
ARCHITECTURE rtl OF hcc_lsftcomb36 IS
signal levzip, levone, levtwo, levthr : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
levzip <= inbus;
-- shift by 0,1,2,3
levone(1) <= (levzip(1) AND NOT(shift(2)) AND NOT(shift(1)));
levone(2) <= (levzip(2) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(1) AND NOT(shift(2)) AND shift(1));
levone(3) <= (levzip(3) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(2) AND NOT(shift(2)) AND shift(1)) OR
(levzip(1) AND shift(2) AND NOT(shift(1)));
gaa: FOR k IN 4 TO 36 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(k-1) AND NOT(shift(2)) AND shift(1)) OR
(levzip(k-2) AND shift(2) AND NOT(shift(1))) OR
(levzip(k-3) AND shift(2) AND shift(1));
END GENERATE;
-- shift by 0,4,8,12
gba: FOR k IN 1 TO 4 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3)));
END GENERATE;
gbb: FOR k IN 5 TO 8 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3));
END GENERATE;
gbc: FOR k IN 9 TO 12 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3)));
END GENERATE;
gbd: FOR k IN 13 TO 36 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3))) OR
(levone(k-12) AND shift(4) AND shift(3));
END GENERATE;
gca: FOR k IN 1 TO 16 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5)));
END GENERATE;
gcb: FOR k IN 17 TO 32 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(k-16) AND NOT(shift(6)) AND shift(5));
END GENERATE;
gcc: FOR k IN 33 TO 36 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR
(levtwo(k-16) AND NOT(shift(6)) AND shift(5)) OR
(levtwo(k-32) AND shift(6) AND NOT(shift(5)));
END GENERATE;
outbus <= levthr;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_divrnd.vhd | 10 | 6587 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** SINGLE PRECISION DIVIDER - OUTPUT STAGE ***
--*** ***
--*** FP_DIVRND.VHD ***
--*** ***
--*** Function: Output Stage, Rounding ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: Latency = 2 ***
--***************************************************
ENTITY fp_divrnd IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentdiv : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
mantissadiv : IN STD_LOGIC_VECTOR (24 DOWNTO 1); -- includes roundbit
nanin : IN STD_LOGIC;
dividebyzeroin : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC;
dividebyzeroout : OUT STD_LOGIC
);
END fp_divrnd;
ARCHITECTURE rtl OF fp_divrnd IS
constant expwidth : positive := 8;
constant manwidth : positive := 23;
signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal dividebyzeroff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal overflowbitff : STD_LOGIC;
signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1);
signal infinitygen : STD_LOGIC_VECTOR (expwidth+1 DOWNTO 1);
signal zerogen : STD_LOGIC_VECTOR (expwidth+1 DOWNTO 1);
signal setmanzero, setmanmax : STD_LOGIC;
signal setexpzero, setexpmax : STD_LOGIC;
signal setmanzeroff, setmanmaxff : STD_LOGIC;
signal setexpzeroff, setexpmaxff : STD_LOGIC;
BEGIN
gzv: FOR k IN 1 TO manwidth-1 GENERATE
zerovec(k) <= '0';
END GENERATE;
pra: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signff <= "00";
nanff <= "00";
dividebyzeroff <= "00";
FOR k IN 1 TO manwidth LOOP
roundmantissaff(k) <= '0';
mantissaff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth+2 LOOP
exponentoneff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= '0';
END LOOP;
overflowbitff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF(enable = '1') THEN
signff(1) <= signin;
signff(2) <= signff(1);
nanff(1) <= nanin;
nanff(2) <= nanff(1);
dividebyzeroff(1) <= dividebyzeroin;
dividebyzeroff(2) <= dividebyzeroff(1);
roundmantissaff <= mantissadiv(manwidth+1 DOWNTO 2) + (zerovec & mantissadiv(1));
overflowbitff <= manoverflow(manwidth+1);
-- nan takes precedence (set max)
-- nan takes precedence (set max)
FOR k IN 1 TO manwidth LOOP
mantissaff(k) <= (roundmantissaff(k) AND setmanzero) OR setmanmax;
END LOOP;
exponentoneff(expwidth+2 DOWNTO 1) <= exponentdiv(expwidth+2 DOWNTO 1);
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= (exponentnode(k) AND setexpzero) OR setexpmax;
END LOOP;
END IF;
END IF;
END PROCESS;
exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) +
(zerovec(expwidth+1 DOWNTO 1) & overflowbitff);
--*********************************
--*** PREDICT MANTISSA OVERFLOW ***
--*********************************
manoverflow(1) <= mantissadiv(1);
gmoa: FOR k IN 2 TO manwidth+1 GENERATE
manoverflow(k) <= manoverflow(k-1) AND mantissadiv(k);
END GENERATE;
--**********************************
--*** CHECK GENERATED CONDITIONS ***
--**********************************
-- infinity if exponent >= 255
infinitygen(1) <= exponentnode(1);
gia: FOR k IN 2 TO expwidth GENERATE
infinitygen(k) <= infinitygen(k-1) AND exponentnode(k);
END GENERATE;
infinitygen(expwidth+1) <= infinitygen(expwidth) OR
(exponentnode(expwidth+1) AND
NOT(exponentnode(expwidth+2))); -- ;1' if infinity
-- zero if exponent <= 0
zerogen(1) <= exponentnode(1);
gza: FOR k IN 2 TO expwidth GENERATE
zerogen(k) <= zerogen(k-1) OR exponentnode(k);
END GENERATE;
zerogen(expwidth+1) <= zerogen(expwidth) AND
NOT(exponentnode(expwidth+2)); -- '0' if zero
-- set mantissa to 0 when infinity or zero condition
setmanzero <= NOT(infinitygen(expwidth+1)) AND zerogen(expwidth+1) AND NOT(dividebyzeroff(1));
-- setmantissa to "11..11" when nan
setmanmax <= nanff(1);
-- set exponent to 0 when zero condition
setexpzero <= zerogen(expwidth+1);
-- set exponent to "11..11" when nan, infinity, or divide by 0
setexpmax <= nanff(1) OR infinitygen(expwidth+1) OR dividebyzeroff(1);
--***************
--*** OUTPUTS ***
--***************
signout <= signff(2);
mantissaout <= mantissaff;
exponentout <= exponenttwoff(expwidth DOWNTO 1);
-----------------------------------------------
nanout <= nanff(2);
invalidout <= nanff(2);
dividebyzeroout <= dividebyzeroff(2);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/dp_lsftpipe64.vhd | 10 | 4808 |
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOAT CONVERT - CORE LEVEL **
--*** ***
--*** DP_LSFTPIPE64.VHD ***
--*** ***
--*** Function: Pipelined Left Shift 64 Bits ***
--*** ***
--*** 01/12/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lsftpipe64 IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
END dp_lsftpipe64;
ARCHITECTURE rtl of dp_lsftpipe64 IS
signal levzip, levone, levtwo, levtwoff, levthr : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal shiftff : STD_LOGIC_VECTOR (6 DOWNTO 5);
BEGIN
levzip <= inbus;
gla: FOR k IN 4 TO 64 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(k-1) AND NOT(shift(2)) AND shift(1)) OR
(levzip(k-2) AND shift(2) AND NOT(shift(1))) OR
(levzip(k-3) AND shift(2) AND shift(1));
END GENERATE;
levone(3) <= (levzip(3) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(2) AND NOT(shift(2)) AND shift(1)) OR
(levzip(1) AND shift(2) AND NOT(shift(1)));
levone(2) <= (levzip(2) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(1) AND NOT(shift(2)) AND shift(1));
levone(1) <= (levzip(1) AND NOT(shift(2)) AND NOT(shift(1)));
glba: FOR k IN 13 TO 64 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3))) OR
(levone(k-12) AND shift(4) AND shift(3));
END GENERATE;
glbb: FOR k IN 9 TO 12 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3)));
END GENERATE;
glbc: FOR k IN 5 TO 8 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3));
END GENERATE;
glbd: FOR k IN 1 TO 4 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3)));
END GENERATE;
pp: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
levtwoff(k) <= '0';
END LOOP;
shiftff <= "00";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
levtwoff <= levtwo;
shiftff <= shift(6 DOWNTO 5);
END IF;
END IF;
END PROCESS;
glca: FOR k IN 49 TO 64 GENERATE
levthr(k) <= (levtwoff(k) AND NOT(shiftff(6)) AND NOT(shiftff(5))) OR
(levtwoff(k-16) AND NOT(shiftff(6)) AND shiftff(5)) OR
(levtwoff(k-32) AND shiftff(6) AND NOT(shiftff(5))) OR
(levtwoff(k-48) AND shiftff(6) AND shiftff(5));
END GENERATE;
glcb: FOR k IN 33 TO 48 GENERATE
levthr(k) <= (levtwoff(k) AND NOT(shiftff(6)) AND NOT(shiftff(5))) OR
(levtwoff(k-16) AND NOT(shiftff(6)) AND shiftff(5)) OR
(levtwoff(k-32) AND shiftff(6) AND NOT(shiftff(5)));
END GENERATE;
glcc: FOR k IN 17 TO 32 GENERATE
levthr(k) <= (levtwoff(k) AND NOT(shiftff(6)) AND NOT(shiftff(5))) OR
(levtwoff(k-16) AND NOT(shiftff(6)) AND shiftff(5));
END GENERATE;
glcd: FOR k IN 1 TO 16 GENERATE
levthr(k) <= (levtwoff(k) AND NOT(shiftff(6)) AND NOT(shiftff(5)));
END GENERATE;
outbus <= levthr;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_sqr.vhd | 10 | 9456 |
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** SINGLE PRECISION SQUARE ROOT - TOP LEVEL ***
--*** ***
--*** FP_SQR.VHD ***
--*** ***
--*** Function: IEEE754 FP Square Root ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Latency = 28 ***
--*** Based on FPROOT1.VHD (12/06) ***
--***************************************************
ENTITY fp_sqr IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC
);
END fp_sqr;
ARCHITECTURE rtl OF fp_sqr IS
constant manwidth : positive := 23;
constant expwidth : positive := 8;
type expfftype IS ARRAY (manwidth+4 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signinff : STD_LOGIC;
signal maninff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (manwidth+4 DOWNTO 1);
signal expnode, expdiv : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (manwidth+3 DOWNTO 1);
signal squareroot : STD_LOGIC_VECTOR (manwidth+2 DOWNTO 1);
signal roundff, manff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal roundbit : STD_LOGIC;
signal preadjust : STD_LOGIC;
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
-- conditions
signal nanmanff, nanexpff : STD_LOGIC_VECTOR (manwidth+4 DOWNTO 1);
signal zeroexpff, zeromanff : STD_LOGIC_VECTOR (manwidth+3 DOWNTO 1);
signal expinzero, expinmax : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal maninzero : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expzero, expmax, manzero : STD_LOGIC;
signal infinitycondition, nancondition : STD_LOGIC;
component fp_sqrroot IS
GENERIC (width : positive := 52);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
rad : IN STD_LOGIC_VECTOR (width+1 DOWNTO 1);
root : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
FOR k IN 1 TO manwidth LOOP
maninff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
expinff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+4 LOOP
signff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+4 LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO manwidth LOOP
roundff(k) <= '0';
manff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
signinff <= signin;
maninff <= mantissain;
expinff <= exponentin;
signff(1) <= signinff;
FOR k IN 2 TO manwidth+4 LOOP
signff(k) <= signff(k-1);
END LOOP;
expff(1)(expwidth DOWNTO 1) <= expdiv;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) + offset;
FOR k IN 3 TO manwidth+3 LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
FOR k IN 1 TO expwidth LOOP
expff(manwidth+4)(k) <= (expff(manwidth+3)(k) AND zeroexpff(manwidth+3)) OR nanexpff(manwidth+3);
END LOOP;
roundff <= squareroot(manwidth+1 DOWNTO 2) + (zerovec(manwidth-1 DOWNTO 1) & roundbit);
FOR k IN 1 TO manwidth LOOP
manff(k) <= (roundff(k) AND zeromanff(manwidth+3)) OR nanmanff(manwidth+3);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** CONDITIONS ***
--*******************
pcc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO manwidth+4 LOOP
nanmanff(k) <= '0';
nanexpff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+3 LOOP
zeroexpff(k) <= '0';
zeromanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
nanmanff(1) <= nancondition; -- level 1
nanexpff(1) <= nancondition OR infinitycondition; -- also max exp when infinity
FOR k IN 2 TO manwidth+4 LOOP
nanmanff(k) <= nanmanff(k-1);
nanexpff(k) <= nanexpff(k-1);
END LOOP;
zeromanff(1) <= expzero AND NOT(infinitycondition); -- level 1
zeroexpff(1) <= expzero; -- level 1
FOR k IN 2 TO manwidth+3 LOOP
zeromanff(k) <= zeromanff(k-1);
zeroexpff(k) <= zeroexpff(k-1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
-- if exponent is odd, double mantissa and adjust exponent
-- core latency manwidth+2 = 25
-- top latency = core + 1 (input) + 2 (output) = 28
sqr: fp_sqrroot
GENERIC MAP (width=>manwidth+2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
rad=>radicand,
root=>squareroot);
radicand(1) <= '0';
radicand(2) <= maninff(1) AND NOT(preadjust);
gra: FOR k IN 3 TO manwidth+1 GENERATE
radicand(k) <= (maninff(k-1) AND NOT(preadjust)) OR (maninff(k-2) AND preadjust);
END GENERATE;
radicand(manwidth+2) <= NOT(preadjust) OR (maninff(manwidth) AND preadjust);
radicand(manwidth+3) <= preadjust;
--****************
--*** EXPONENT ***
--****************
-- subtract 1023, divide result/2, if odd - preadjust
-- if zero input, zero exponent and mantissa
expnode <= expinff - offset;
preadjust <= expnode(1);
expdiv <= expnode(expwidth) & expnode(expwidth DOWNTO 2);
--*************
--*** ROUND ***
--*************
-- only need to round up, round to nearest not possible out of root
roundbit <= squareroot(1);
--*********************
--*** SPECIAL CASES ***
--*********************
-- 1. if negative input, invalid operation, NAN (unless -0)
-- 2. -0 in -0 out
-- 3. infinity in, invalid operation, infinity out
-- 4. NAN in, invalid operation, NAN
-- '0' if 0
expinzero(1) <= expinff(1);
gxza: FOR k IN 2 TO expwidth GENERATE
expinzero(k) <= expinzero(k-1) OR expinff(k);
END GENERATE;
expzero <= expinzero(expwidth); -- '0' when zero
-- '1' if nan or infinity
expinmax(1) <= expinff(1);
gxia: FOR k IN 2 TO expwidth GENERATE
expinmax(k) <= expinmax(k-1) AND expinff(k);
END GENERATE;
expmax <= expinmax(expwidth); -- '1' when true
-- '1' if not zero or infinity
maninzero(1) <= maninff(1);
gmza: FOR k IN 2 TO manwidth GENERATE
maninzero(k) <= maninzero(k-1) OR maninff(k);
END GENERATE;
manzero <= maninzero(manwidth);
infinitycondition <= NOT(manzero) AND expmax;
nancondition <= (signinff AND expzero) OR (expmax AND manzero);
--***************
--*** OUTPUTS ***
--***************
signout <= signff(manwidth+4);
exponentout <= expff(manwidth+4)(expwidth DOWNTO 1);
mantissaout <= manff;
-----------------------------------------------
nanout <= nanmanff(manwidth+4);
invalidout <= nanmanff(manwidth+4);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_alufp1x_sv.vhd | 10 | 12097 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_ALUFP1X.VHD ***
--*** ***
--*** Function: Single Precision Floating Point ***
--*** Adder ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 16/04/09 - add NAN support ***
--*** 04/05/10 - optimized structure ***
--*** 15/10/10 - bug in shiftcheckbit ***
--*** ***
--***************************************************
ENTITY hcc_alufp1x IS
GENERIC (
mantissa : positive := 32;
shiftspeed : integer := 0;
outputpipe : integer := 1; -- 0 = no pipe, 1 = pipe (for this function only - input, not output pipes affected)
addsub_resetval : std_logic
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
addsub : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip, aanan : IN STD_LOGIC;
bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
bbsat, bbzip, bbnan : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip, ccnan : OUT STD_LOGIC
);
END hcc_alufp1x;
ARCHITECTURE rtl OF hcc_alufp1x IS
type exponentbasefftype IS ARRAY (3+shiftspeed DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1);
-- input registers and nodes
signal aaff, bbff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
signal aasatff, aazipff, bbsatff, bbzipff : STD_LOGIC;
signal aananff, bbnanff : STD_LOGIC;
signal addsubff : STD_LOGIC;
signal aanode, bbnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
signal aasatnode, aazipnode, bbsatnode, bbzipnode : STD_LOGIC;
signal aanannode, bbnannode : STD_LOGIC;
signal addsubnode : STD_LOGIC;
signal addsubctlff : STD_LOGIC_VECTOR (3+shiftspeed DOWNTO 1);
signal mantissaleftff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal mantissarightff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal mantissaleftdelayff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal exponentshiftff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal exponentbaseff : exponentbasefftype;
signal invertleftff, invertrightff : STD_LOGIC_VECTOR (2+shiftspeed DOWNTO 1);
signal shiftcheckff, shiftcheckdelayff : STD_LOGIC;
signal aluleftff, alurightff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal aluff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal ccsatff, cczipff, ccnanff : STD_LOGIC_VECTOR (3+shiftspeed DOWNTO 1);
signal mantissaleftnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal zeroaluright : STD_LOGIC;
signal aluleftnode, alurightnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal alucarrybitnode : STD_LOGIC;
signal subexponentone, subexponenttwo : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal switch : STD_LOGIC;
signal shiftcheck : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal shiftcheckbit : STD_LOGIC;
signal shiftbusnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal aaexp, bbexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal aaman, bbman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
component hcc_rsftpipe32
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
end component;
component hcc_rsftpipe36
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component hcc_rsftcomb32
PORT (
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
end component;
component hcc_rsftcomb36
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
pin: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa+10 LOOP
aaff(k) <= '0';
bbff(k) <= '0';
END LOOP;
aasatff <= '0';
aazipff <= '0';
aananff <= '0';
bbsatff <= '0';
bbzipff <= '0';
bbnanff <= '0';
addsubff <= addsub_resetval;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
bbff <= bb;
aasatff <= aasat;
bbsatff <= bbsat;
aazipff <= aazip;
bbzipff <= bbzip;
aananff <= aanan;
bbnanff <= bbnan;
addsubff <= addsub;
END IF;
END IF;
END PROCESS;
gina: IF (outputpipe = 1) GENERATE
aanode <= aaff;
bbnode <= bbff;
aasatnode <= aasatff;
bbsatnode <= bbsatff;
aazipnode <= aazipff;
bbzipnode <= bbzipff;
aanannode <= aananff;
bbnannode <= bbnanff;
addsubnode <= addsubff;
END GENERATE;
ginb: IF (outputpipe = 0) GENERATE
aanode <= aa;
bbnode <= bb;
aasatnode <= aasat;
bbsatnode <= bbsat;
aazipnode <= aazip;
bbzipnode <= bbzip;
aanannode <= aanan;
bbnannode <= bbnan;
addsubnode <= addsub;
END GENERATE;
paa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 3+shiftspeed LOOP
addsubctlff(k) <= '0';
END LOOP;
FOR k IN 1 TO mantissa LOOP
mantissaleftff(k) <= '0';
mantissarightff(k) <= '0';
mantissaleftdelayff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
exponentshiftff(k) <= '0';
END LOOP;
FOR k IN 1 TO 3+shiftspeed LOOP
FOR j IN 1 TO 10 LOOP
exponentbaseff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO 2+shiftspeed LOOP
invertleftff(k) <= '0';
invertrightff(k) <= '0';
END LOOP;
shiftcheckff <= '0';
shiftcheckdelayff <= '0';
FOR k IN 1 TO mantissa LOOP
aluleftff(k) <= '0';
alurightff(k) <= '0';
aluff(k) <= '0';
END LOOP;
FOR k IN 1 TO 3+shiftspeed LOOP
ccsatff(k) <= '0';
cczipff(k) <= '0';
ccnanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
addsubctlff(1) <= addsubnode;
FOR k IN 2 TO 3+shiftspeed LOOP
addsubctlff(k) <= addsubctlff(k-1);
END LOOP;
FOR k IN 1 TO mantissa LOOP
mantissaleftff(k) <= (aanode(k+10) AND NOT(switch)) OR (bbnode(k+10) AND switch);
mantissarightff(k) <= (bbnode(k+10) AND NOT(switch)) OR (aanode(k+10) AND switch);
END LOOP;
-- only use if shiftspeed = 1
mantissaleftdelayff <= mantissaleftff;
FOR k IN 1 TO 10 LOOP
exponentshiftff(k) <= (subexponentone(k) AND NOT(switch)) OR (subexponenttwo(k) AND switch);
END LOOP;
FOR k IN 1 TO 10 LOOP
exponentbaseff(1)(k) <= (aanode(k) AND NOT(switch)) OR (bbnode(k) AND switch);
END LOOP;
FOR k IN 2 TO 3+shiftspeed LOOP
exponentbaseff(k)(10 DOWNTO 1) <= exponentbaseff(k-1)(10 DOWNTO 1);
END LOOP;
invertleftff(1) <= addsubnode AND switch;
invertrightff(1) <= addsubnode AND NOT(switch);
FOR k IN 2 TO 2+shiftspeed LOOP
invertleftff(k) <= invertleftff(k-1);
invertrightff(k) <= invertrightff(k-1);
END LOOP;
shiftcheckff <= shiftcheckbit;
shiftcheckdelayff <= shiftcheckff;
aluleftff <= mantissaleftnode;
alurightff <= shiftbusnode;
aluff <= aluleftnode + alurightnode + alucarrybitnode;
ccsatff(1) <= aasatnode OR bbsatnode;
cczipff(1) <= aazipnode AND bbzipnode;
-- add/sub infinity is invalid OP, NAN out
ccnanff(1) <= aanannode OR bbnannode OR aasatnode OR bbsatnode;
FOR k IN 2 TO 3+shiftspeed LOOP
ccsatff(k) <= ccsatff(k-1);
cczipff(k) <= cczipff(k-1);
ccnanff(k) <= ccnanff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
gmsa: IF (shiftspeed = 0) GENERATE
mantissaleftnode <= mantissaleftff;
zeroaluright <= shiftcheckff;
END GENERATE;
gmsb: IF (shiftspeed = 1) GENERATE
mantissaleftnode <= mantissaleftdelayff;
zeroaluright <= shiftcheckdelayff;
END GENERATE;
gma: FOR k IN 1 TO mantissa GENERATE
aluleftnode(k) <= aluleftff(k) XOR invertleftff(2+shiftspeed);
alurightnode(k) <= (alurightff(k) XOR invertrightff(2+shiftspeed)) AND NOT(zeroaluright);
END GENERATE;
alucarrybitnode <= addsubctlff(2+shiftspeed);
subexponentone <= aanode(10 DOWNTO 1) - bbnode(10 DOWNTO 1);
subexponenttwo <= bbnode(10 DOWNTO 1) - aanode(10 DOWNTO 1);
switch <= subexponentone(10);
gsa: IF (mantissa = 32) GENERATE
-- 31 ok, 32 not
shiftcheck <= "0000000000";
-- if '1', then zero right bus
-- 15/10/10 - was down to exponentshiftff(5) - zeroed any shift >= 16. Old design was ok because it
-- used shiftcheck subtract 31, not caught because unlikely to cause differences for small designs
shiftcheckbit <= exponentshiftff(10) OR exponentshiftff(9) OR exponentshiftff(8) OR
exponentshiftff(7) OR exponentshiftff(6);
gsb: IF (shiftspeed = 0) GENERATE
shiftone: hcc_rsftcomb32
PORT MAP (inbus=>mantissarightff,shift=>exponentshiftff(5 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
gsc: IF (shiftspeed = 1) GENERATE
shifttwo: hcc_rsftpipe32
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
inbus=>mantissarightff,shift=>exponentshiftff(5 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
END GENERATE;
gsd: IF (mantissa = 36) GENERATE
-- 35 ok, 36 not
shiftcheck <= exponentshiftff - "0000100100";
-- if '1', then zero right bus
shiftcheckbit <= NOT(shiftcheck(10));
gse: IF (shiftspeed = 0) GENERATE
shiftone: hcc_rsftcomb36
PORT MAP (inbus=>mantissarightff,shift=>exponentshiftff(6 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
gsf: IF (shiftspeed = 1) GENERATE
shifttwo: hcc_rsftpipe36
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
inbus=>mantissarightff,shift=>exponentshiftff(6 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
END GENERATE;
--*** OUTPUT ***
cc <= aluff & exponentbaseff(3+shiftspeed)(10 DOWNTO 1);
ccsat <= ccsatff(3+shiftspeed);
cczip <= cczipff(3+shiftspeed);
ccnan <= ccnanff(3+shiftspeed);
--*** DEBUG SECTION ***
aaexp <= aa(10 DOWNTO 1);
bbexp <= bb(10 DOWNTO 1);
ccexp <= exponentbaseff(3+shiftspeed)(10 DOWNTO 1);
aaman <= aa(mantissa+10 DOWNTO 11);
bbman <= bb(mantissa+10 DOWNTO 11);
ccman <= aluff;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dp_explut20.vhd | 10 | 142711 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_EXPLUT20.VHD ***
--*** ***
--*** Function: Look Up Table - EXP() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_explut20 IS
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1)
);
END dp_explut20;
ARCHITECTURE rtl OF dp_explut20 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "0000000000" =>
manhi <= conv_std_logic_vector(0,24);
manlo <= conv_std_logic_vector(0,28);
WHEN "0000000001" =>
manhi <= conv_std_logic_vector(16,24);
manlo <= conv_std_logic_vector(2048,28);
WHEN "0000000010" =>
manhi <= conv_std_logic_vector(32,24);
manlo <= conv_std_logic_vector(8192,28);
WHEN "0000000011" =>
manhi <= conv_std_logic_vector(48,24);
manlo <= conv_std_logic_vector(18432,28);
WHEN "0000000100" =>
manhi <= conv_std_logic_vector(64,24);
manlo <= conv_std_logic_vector(32768,28);
WHEN "0000000101" =>
manhi <= conv_std_logic_vector(80,24);
manlo <= conv_std_logic_vector(51200,28);
WHEN "0000000110" =>
manhi <= conv_std_logic_vector(96,24);
manlo <= conv_std_logic_vector(73728,28);
WHEN "0000000111" =>
manhi <= conv_std_logic_vector(112,24);
manlo <= conv_std_logic_vector(100352,28);
WHEN "0000001000" =>
manhi <= conv_std_logic_vector(128,24);
manlo <= conv_std_logic_vector(131072,28);
WHEN "0000001001" =>
manhi <= conv_std_logic_vector(144,24);
manlo <= conv_std_logic_vector(165888,28);
WHEN "0000001010" =>
manhi <= conv_std_logic_vector(160,24);
manlo <= conv_std_logic_vector(204801,28);
WHEN "0000001011" =>
manhi <= conv_std_logic_vector(176,24);
manlo <= conv_std_logic_vector(247809,28);
WHEN "0000001100" =>
manhi <= conv_std_logic_vector(192,24);
manlo <= conv_std_logic_vector(294913,28);
WHEN "0000001101" =>
manhi <= conv_std_logic_vector(208,24);
manlo <= conv_std_logic_vector(346113,28);
WHEN "0000001110" =>
manhi <= conv_std_logic_vector(224,24);
manlo <= conv_std_logic_vector(401410,28);
WHEN "0000001111" =>
manhi <= conv_std_logic_vector(240,24);
manlo <= conv_std_logic_vector(460802,28);
WHEN "0000010000" =>
manhi <= conv_std_logic_vector(256,24);
manlo <= conv_std_logic_vector(524291,28);
WHEN "0000010001" =>
manhi <= conv_std_logic_vector(272,24);
manlo <= conv_std_logic_vector(591875,28);
WHEN "0000010010" =>
manhi <= conv_std_logic_vector(288,24);
manlo <= conv_std_logic_vector(663556,28);
WHEN "0000010011" =>
manhi <= conv_std_logic_vector(304,24);
manlo <= conv_std_logic_vector(739332,28);
WHEN "0000010100" =>
manhi <= conv_std_logic_vector(320,24);
manlo <= conv_std_logic_vector(819205,28);
WHEN "0000010101" =>
manhi <= conv_std_logic_vector(336,24);
manlo <= conv_std_logic_vector(903174,28);
WHEN "0000010110" =>
manhi <= conv_std_logic_vector(352,24);
manlo <= conv_std_logic_vector(991239,28);
WHEN "0000010111" =>
manhi <= conv_std_logic_vector(368,24);
manlo <= conv_std_logic_vector(1083400,28);
WHEN "0000011000" =>
manhi <= conv_std_logic_vector(384,24);
manlo <= conv_std_logic_vector(1179657,28);
WHEN "0000011001" =>
manhi <= conv_std_logic_vector(400,24);
manlo <= conv_std_logic_vector(1280010,28);
WHEN "0000011010" =>
manhi <= conv_std_logic_vector(416,24);
manlo <= conv_std_logic_vector(1384459,28);
WHEN "0000011011" =>
manhi <= conv_std_logic_vector(432,24);
manlo <= conv_std_logic_vector(1493005,28);
WHEN "0000011100" =>
manhi <= conv_std_logic_vector(448,24);
manlo <= conv_std_logic_vector(1605646,28);
WHEN "0000011101" =>
manhi <= conv_std_logic_vector(464,24);
manlo <= conv_std_logic_vector(1722384,28);
WHEN "0000011110" =>
manhi <= conv_std_logic_vector(480,24);
manlo <= conv_std_logic_vector(1843218,28);
WHEN "0000011111" =>
manhi <= conv_std_logic_vector(496,24);
manlo <= conv_std_logic_vector(1968147,28);
WHEN "0000100000" =>
manhi <= conv_std_logic_vector(512,24);
manlo <= conv_std_logic_vector(2097173,28);
WHEN "0000100001" =>
manhi <= conv_std_logic_vector(528,24);
manlo <= conv_std_logic_vector(2230295,28);
WHEN "0000100010" =>
manhi <= conv_std_logic_vector(544,24);
manlo <= conv_std_logic_vector(2367514,28);
WHEN "0000100011" =>
manhi <= conv_std_logic_vector(560,24);
manlo <= conv_std_logic_vector(2508828,28);
WHEN "0000100100" =>
manhi <= conv_std_logic_vector(576,24);
manlo <= conv_std_logic_vector(2654238,28);
WHEN "0000100101" =>
manhi <= conv_std_logic_vector(592,24);
manlo <= conv_std_logic_vector(2803745,28);
WHEN "0000100110" =>
manhi <= conv_std_logic_vector(608,24);
manlo <= conv_std_logic_vector(2957348,28);
WHEN "0000100111" =>
manhi <= conv_std_logic_vector(624,24);
manlo <= conv_std_logic_vector(3115047,28);
WHEN "0000101000" =>
manhi <= conv_std_logic_vector(640,24);
manlo <= conv_std_logic_vector(3276842,28);
WHEN "0000101001" =>
manhi <= conv_std_logic_vector(656,24);
manlo <= conv_std_logic_vector(3442733,28);
WHEN "0000101010" =>
manhi <= conv_std_logic_vector(672,24);
manlo <= conv_std_logic_vector(3612720,28);
WHEN "0000101011" =>
manhi <= conv_std_logic_vector(688,24);
manlo <= conv_std_logic_vector(3786804,28);
WHEN "0000101100" =>
manhi <= conv_std_logic_vector(704,24);
manlo <= conv_std_logic_vector(3964983,28);
WHEN "0000101101" =>
manhi <= conv_std_logic_vector(720,24);
manlo <= conv_std_logic_vector(4147259,28);
WHEN "0000101110" =>
manhi <= conv_std_logic_vector(736,24);
manlo <= conv_std_logic_vector(4333631,28);
WHEN "0000101111" =>
manhi <= conv_std_logic_vector(752,24);
manlo <= conv_std_logic_vector(4524100,28);
WHEN "0000110000" =>
manhi <= conv_std_logic_vector(768,24);
manlo <= conv_std_logic_vector(4718664,28);
WHEN "0000110001" =>
manhi <= conv_std_logic_vector(784,24);
manlo <= conv_std_logic_vector(4917325,28);
WHEN "0000110010" =>
manhi <= conv_std_logic_vector(800,24);
manlo <= conv_std_logic_vector(5120081,28);
WHEN "0000110011" =>
manhi <= conv_std_logic_vector(816,24);
manlo <= conv_std_logic_vector(5326934,28);
WHEN "0000110100" =>
manhi <= conv_std_logic_vector(832,24);
manlo <= conv_std_logic_vector(5537884,28);
WHEN "0000110101" =>
manhi <= conv_std_logic_vector(848,24);
manlo <= conv_std_logic_vector(5752929,28);
WHEN "0000110110" =>
manhi <= conv_std_logic_vector(864,24);
manlo <= conv_std_logic_vector(5972071,28);
WHEN "0000110111" =>
manhi <= conv_std_logic_vector(880,24);
manlo <= conv_std_logic_vector(6195308,28);
WHEN "0000111000" =>
manhi <= conv_std_logic_vector(896,24);
manlo <= conv_std_logic_vector(6422642,28);
WHEN "0000111001" =>
manhi <= conv_std_logic_vector(912,24);
manlo <= conv_std_logic_vector(6654073,28);
WHEN "0000111010" =>
manhi <= conv_std_logic_vector(928,24);
manlo <= conv_std_logic_vector(6889599,28);
WHEN "0000111011" =>
manhi <= conv_std_logic_vector(944,24);
manlo <= conv_std_logic_vector(7129222,28);
WHEN "0000111100" =>
manhi <= conv_std_logic_vector(960,24);
manlo <= conv_std_logic_vector(7372941,28);
WHEN "0000111101" =>
manhi <= conv_std_logic_vector(976,24);
manlo <= conv_std_logic_vector(7620756,28);
WHEN "0000111110" =>
manhi <= conv_std_logic_vector(992,24);
manlo <= conv_std_logic_vector(7872667,28);
WHEN "0000111111" =>
manhi <= conv_std_logic_vector(1008,24);
manlo <= conv_std_logic_vector(8128675,28);
WHEN "0001000000" =>
manhi <= conv_std_logic_vector(1024,24);
manlo <= conv_std_logic_vector(8388779,28);
WHEN "0001000001" =>
manhi <= conv_std_logic_vector(1040,24);
manlo <= conv_std_logic_vector(8652979,28);
WHEN "0001000010" =>
manhi <= conv_std_logic_vector(1056,24);
manlo <= conv_std_logic_vector(8921275,28);
WHEN "0001000011" =>
manhi <= conv_std_logic_vector(1072,24);
manlo <= conv_std_logic_vector(9193668,28);
WHEN "0001000100" =>
manhi <= conv_std_logic_vector(1088,24);
manlo <= conv_std_logic_vector(9470157,28);
WHEN "0001000101" =>
manhi <= conv_std_logic_vector(1104,24);
manlo <= conv_std_logic_vector(9750742,28);
WHEN "0001000110" =>
manhi <= conv_std_logic_vector(1120,24);
manlo <= conv_std_logic_vector(10035423,28);
WHEN "0001000111" =>
manhi <= conv_std_logic_vector(1136,24);
manlo <= conv_std_logic_vector(10324201,28);
WHEN "0001001000" =>
manhi <= conv_std_logic_vector(1152,24);
manlo <= conv_std_logic_vector(10617075,28);
WHEN "0001001001" =>
manhi <= conv_std_logic_vector(1168,24);
manlo <= conv_std_logic_vector(10914045,28);
WHEN "0001001010" =>
manhi <= conv_std_logic_vector(1184,24);
manlo <= conv_std_logic_vector(11215112,28);
WHEN "0001001011" =>
manhi <= conv_std_logic_vector(1200,24);
manlo <= conv_std_logic_vector(11520275,28);
WHEN "0001001100" =>
manhi <= conv_std_logic_vector(1216,24);
manlo <= conv_std_logic_vector(11829534,28);
WHEN "0001001101" =>
manhi <= conv_std_logic_vector(1232,24);
manlo <= conv_std_logic_vector(12142889,28);
WHEN "0001001110" =>
manhi <= conv_std_logic_vector(1248,24);
manlo <= conv_std_logic_vector(12460341,28);
WHEN "0001001111" =>
manhi <= conv_std_logic_vector(1264,24);
manlo <= conv_std_logic_vector(12781889,28);
WHEN "0001010000" =>
manhi <= conv_std_logic_vector(1280,24);
manlo <= conv_std_logic_vector(13107533,28);
WHEN "0001010001" =>
manhi <= conv_std_logic_vector(1296,24);
manlo <= conv_std_logic_vector(13437274,28);
WHEN "0001010010" =>
manhi <= conv_std_logic_vector(1312,24);
manlo <= conv_std_logic_vector(13771111,28);
WHEN "0001010011" =>
manhi <= conv_std_logic_vector(1328,24);
manlo <= conv_std_logic_vector(14109044,28);
WHEN "0001010100" =>
manhi <= conv_std_logic_vector(1344,24);
manlo <= conv_std_logic_vector(14451074,28);
WHEN "0001010101" =>
manhi <= conv_std_logic_vector(1360,24);
manlo <= conv_std_logic_vector(14797200,28);
WHEN "0001010110" =>
manhi <= conv_std_logic_vector(1376,24);
manlo <= conv_std_logic_vector(15147422,28);
WHEN "0001010111" =>
manhi <= conv_std_logic_vector(1392,24);
manlo <= conv_std_logic_vector(15501741,28);
WHEN "0001011000" =>
manhi <= conv_std_logic_vector(1408,24);
manlo <= conv_std_logic_vector(15860156,28);
WHEN "0001011001" =>
manhi <= conv_std_logic_vector(1424,24);
manlo <= conv_std_logic_vector(16222667,28);
WHEN "0001011010" =>
manhi <= conv_std_logic_vector(1440,24);
manlo <= conv_std_logic_vector(16589275,28);
WHEN "0001011011" =>
manhi <= conv_std_logic_vector(1456,24);
manlo <= conv_std_logic_vector(16959979,28);
WHEN "0001011100" =>
manhi <= conv_std_logic_vector(1472,24);
manlo <= conv_std_logic_vector(17334779,28);
WHEN "0001011101" =>
manhi <= conv_std_logic_vector(1488,24);
manlo <= conv_std_logic_vector(17713676,28);
WHEN "0001011110" =>
manhi <= conv_std_logic_vector(1504,24);
manlo <= conv_std_logic_vector(18096669,28);
WHEN "0001011111" =>
manhi <= conv_std_logic_vector(1520,24);
manlo <= conv_std_logic_vector(18483758,28);
WHEN "0001100000" =>
manhi <= conv_std_logic_vector(1536,24);
manlo <= conv_std_logic_vector(18874944,28);
WHEN "0001100001" =>
manhi <= conv_std_logic_vector(1552,24);
manlo <= conv_std_logic_vector(19270226,28);
WHEN "0001100010" =>
manhi <= conv_std_logic_vector(1568,24);
manlo <= conv_std_logic_vector(19669605,28);
WHEN "0001100011" =>
manhi <= conv_std_logic_vector(1584,24);
manlo <= conv_std_logic_vector(20073080,28);
WHEN "0001100100" =>
manhi <= conv_std_logic_vector(1600,24);
manlo <= conv_std_logic_vector(20480651,28);
WHEN "0001100101" =>
manhi <= conv_std_logic_vector(1616,24);
manlo <= conv_std_logic_vector(20892319,28);
WHEN "0001100110" =>
manhi <= conv_std_logic_vector(1632,24);
manlo <= conv_std_logic_vector(21308083,28);
WHEN "0001100111" =>
manhi <= conv_std_logic_vector(1648,24);
manlo <= conv_std_logic_vector(21727943,28);
WHEN "0001101000" =>
manhi <= conv_std_logic_vector(1664,24);
manlo <= conv_std_logic_vector(22151900,28);
WHEN "0001101001" =>
manhi <= conv_std_logic_vector(1680,24);
manlo <= conv_std_logic_vector(22579954,28);
WHEN "0001101010" =>
manhi <= conv_std_logic_vector(1696,24);
manlo <= conv_std_logic_vector(23012103,28);
WHEN "0001101011" =>
manhi <= conv_std_logic_vector(1712,24);
manlo <= conv_std_logic_vector(23448350,28);
WHEN "0001101100" =>
manhi <= conv_std_logic_vector(1728,24);
manlo <= conv_std_logic_vector(23888692,28);
WHEN "0001101101" =>
manhi <= conv_std_logic_vector(1744,24);
manlo <= conv_std_logic_vector(24333131,28);
WHEN "0001101110" =>
manhi <= conv_std_logic_vector(1760,24);
manlo <= conv_std_logic_vector(24781667,28);
WHEN "0001101111" =>
manhi <= conv_std_logic_vector(1776,24);
manlo <= conv_std_logic_vector(25234298,28);
WHEN "0001110000" =>
manhi <= conv_std_logic_vector(1792,24);
manlo <= conv_std_logic_vector(25691027,28);
WHEN "0001110001" =>
manhi <= conv_std_logic_vector(1808,24);
manlo <= conv_std_logic_vector(26151851,28);
WHEN "0001110010" =>
manhi <= conv_std_logic_vector(1824,24);
manlo <= conv_std_logic_vector(26616773,28);
WHEN "0001110011" =>
manhi <= conv_std_logic_vector(1840,24);
manlo <= conv_std_logic_vector(27085790,28);
WHEN "0001110100" =>
manhi <= conv_std_logic_vector(1856,24);
manlo <= conv_std_logic_vector(27558904,28);
WHEN "0001110101" =>
manhi <= conv_std_logic_vector(1872,24);
manlo <= conv_std_logic_vector(28036115,28);
WHEN "0001110110" =>
manhi <= conv_std_logic_vector(1888,24);
manlo <= conv_std_logic_vector(28517422,28);
WHEN "0001110111" =>
manhi <= conv_std_logic_vector(1904,24);
manlo <= conv_std_logic_vector(29002825,28);
WHEN "0001111000" =>
manhi <= conv_std_logic_vector(1920,24);
manlo <= conv_std_logic_vector(29492325,28);
WHEN "0001111001" =>
manhi <= conv_std_logic_vector(1936,24);
manlo <= conv_std_logic_vector(29985921,28);
WHEN "0001111010" =>
manhi <= conv_std_logic_vector(1952,24);
manlo <= conv_std_logic_vector(30483614,28);
WHEN "0001111011" =>
manhi <= conv_std_logic_vector(1968,24);
manlo <= conv_std_logic_vector(30985404,28);
WHEN "0001111100" =>
manhi <= conv_std_logic_vector(1984,24);
manlo <= conv_std_logic_vector(31491289,28);
WHEN "0001111101" =>
manhi <= conv_std_logic_vector(2000,24);
manlo <= conv_std_logic_vector(32001272,28);
WHEN "0001111110" =>
manhi <= conv_std_logic_vector(2016,24);
manlo <= conv_std_logic_vector(32515350,28);
WHEN "0001111111" =>
manhi <= conv_std_logic_vector(2032,24);
manlo <= conv_std_logic_vector(33033526,28);
WHEN "0010000000" =>
manhi <= conv_std_logic_vector(2048,24);
manlo <= conv_std_logic_vector(33555797,28);
WHEN "0010000001" =>
manhi <= conv_std_logic_vector(2064,24);
manlo <= conv_std_logic_vector(34082166,28);
WHEN "0010000010" =>
manhi <= conv_std_logic_vector(2080,24);
manlo <= conv_std_logic_vector(34612630,28);
WHEN "0010000011" =>
manhi <= conv_std_logic_vector(2096,24);
manlo <= conv_std_logic_vector(35147192,28);
WHEN "0010000100" =>
manhi <= conv_std_logic_vector(2112,24);
manlo <= conv_std_logic_vector(35685849,28);
WHEN "0010000101" =>
manhi <= conv_std_logic_vector(2128,24);
manlo <= conv_std_logic_vector(36228604,28);
WHEN "0010000110" =>
manhi <= conv_std_logic_vector(2144,24);
manlo <= conv_std_logic_vector(36775455,28);
WHEN "0010000111" =>
manhi <= conv_std_logic_vector(2160,24);
manlo <= conv_std_logic_vector(37326402,28);
WHEN "0010001000" =>
manhi <= conv_std_logic_vector(2176,24);
manlo <= conv_std_logic_vector(37881446,28);
WHEN "0010001001" =>
manhi <= conv_std_logic_vector(2192,24);
manlo <= conv_std_logic_vector(38440586,28);
WHEN "0010001010" =>
manhi <= conv_std_logic_vector(2208,24);
manlo <= conv_std_logic_vector(39003823,28);
WHEN "0010001011" =>
manhi <= conv_std_logic_vector(2224,24);
manlo <= conv_std_logic_vector(39571157,28);
WHEN "0010001100" =>
manhi <= conv_std_logic_vector(2240,24);
manlo <= conv_std_logic_vector(40142587,28);
WHEN "0010001101" =>
manhi <= conv_std_logic_vector(2256,24);
manlo <= conv_std_logic_vector(40718113,28);
WHEN "0010001110" =>
manhi <= conv_std_logic_vector(2272,24);
manlo <= conv_std_logic_vector(41297736,28);
WHEN "0010001111" =>
manhi <= conv_std_logic_vector(2288,24);
manlo <= conv_std_logic_vector(41881456,28);
WHEN "0010010000" =>
manhi <= conv_std_logic_vector(2304,24);
manlo <= conv_std_logic_vector(42469272,28);
WHEN "0010010001" =>
manhi <= conv_std_logic_vector(2320,24);
manlo <= conv_std_logic_vector(43061185,28);
WHEN "0010010010" =>
manhi <= conv_std_logic_vector(2336,24);
manlo <= conv_std_logic_vector(43657194,28);
WHEN "0010010011" =>
manhi <= conv_std_logic_vector(2352,24);
manlo <= conv_std_logic_vector(44257300,28);
WHEN "0010010100" =>
manhi <= conv_std_logic_vector(2368,24);
manlo <= conv_std_logic_vector(44861503,28);
WHEN "0010010101" =>
manhi <= conv_std_logic_vector(2384,24);
manlo <= conv_std_logic_vector(45469802,28);
WHEN "0010010110" =>
manhi <= conv_std_logic_vector(2400,24);
manlo <= conv_std_logic_vector(46082197,28);
WHEN "0010010111" =>
manhi <= conv_std_logic_vector(2416,24);
manlo <= conv_std_logic_vector(46698690,28);
WHEN "0010011000" =>
manhi <= conv_std_logic_vector(2432,24);
manlo <= conv_std_logic_vector(47319278,28);
WHEN "0010011001" =>
manhi <= conv_std_logic_vector(2448,24);
manlo <= conv_std_logic_vector(47943964,28);
WHEN "0010011010" =>
manhi <= conv_std_logic_vector(2464,24);
manlo <= conv_std_logic_vector(48572746,28);
WHEN "0010011011" =>
manhi <= conv_std_logic_vector(2480,24);
manlo <= conv_std_logic_vector(49205624,28);
WHEN "0010011100" =>
manhi <= conv_std_logic_vector(2496,24);
manlo <= conv_std_logic_vector(49842600,28);
WHEN "0010011101" =>
manhi <= conv_std_logic_vector(2512,24);
manlo <= conv_std_logic_vector(50483672,28);
WHEN "0010011110" =>
manhi <= conv_std_logic_vector(2528,24);
manlo <= conv_std_logic_vector(51128840,28);
WHEN "0010011111" =>
manhi <= conv_std_logic_vector(2544,24);
manlo <= conv_std_logic_vector(51778105,28);
WHEN "0010100000" =>
manhi <= conv_std_logic_vector(2560,24);
manlo <= conv_std_logic_vector(52431467,28);
WHEN "0010100001" =>
manhi <= conv_std_logic_vector(2576,24);
manlo <= conv_std_logic_vector(53088925,28);
WHEN "0010100010" =>
manhi <= conv_std_logic_vector(2592,24);
manlo <= conv_std_logic_vector(53750480,28);
WHEN "0010100011" =>
manhi <= conv_std_logic_vector(2608,24);
manlo <= conv_std_logic_vector(54416132,28);
WHEN "0010100100" =>
manhi <= conv_std_logic_vector(2624,24);
manlo <= conv_std_logic_vector(55085880,28);
WHEN "0010100101" =>
manhi <= conv_std_logic_vector(2640,24);
manlo <= conv_std_logic_vector(55759725,28);
WHEN "0010100110" =>
manhi <= conv_std_logic_vector(2656,24);
manlo <= conv_std_logic_vector(56437666,28);
WHEN "0010100111" =>
manhi <= conv_std_logic_vector(2672,24);
manlo <= conv_std_logic_vector(57119704,28);
WHEN "0010101000" =>
manhi <= conv_std_logic_vector(2688,24);
manlo <= conv_std_logic_vector(57805839,28);
WHEN "0010101001" =>
manhi <= conv_std_logic_vector(2704,24);
manlo <= conv_std_logic_vector(58496071,28);
WHEN "0010101010" =>
manhi <= conv_std_logic_vector(2720,24);
manlo <= conv_std_logic_vector(59190399,28);
WHEN "0010101011" =>
manhi <= conv_std_logic_vector(2736,24);
manlo <= conv_std_logic_vector(59888823,28);
WHEN "0010101100" =>
manhi <= conv_std_logic_vector(2752,24);
manlo <= conv_std_logic_vector(60591345,28);
WHEN "0010101101" =>
manhi <= conv_std_logic_vector(2768,24);
manlo <= conv_std_logic_vector(61297963,28);
WHEN "0010101110" =>
manhi <= conv_std_logic_vector(2784,24);
manlo <= conv_std_logic_vector(62008678,28);
WHEN "0010101111" =>
manhi <= conv_std_logic_vector(2800,24);
manlo <= conv_std_logic_vector(62723489,28);
WHEN "0010110000" =>
manhi <= conv_std_logic_vector(2816,24);
manlo <= conv_std_logic_vector(63442397,28);
WHEN "0010110001" =>
manhi <= conv_std_logic_vector(2832,24);
manlo <= conv_std_logic_vector(64165402,28);
WHEN "0010110010" =>
manhi <= conv_std_logic_vector(2848,24);
manlo <= conv_std_logic_vector(64892504,28);
WHEN "0010110011" =>
manhi <= conv_std_logic_vector(2864,24);
manlo <= conv_std_logic_vector(65623702,28);
WHEN "0010110100" =>
manhi <= conv_std_logic_vector(2880,24);
manlo <= conv_std_logic_vector(66358997,28);
WHEN "0010110101" =>
manhi <= conv_std_logic_vector(2896,24);
manlo <= conv_std_logic_vector(67098389,28);
WHEN "0010110110" =>
manhi <= conv_std_logic_vector(2912,24);
manlo <= conv_std_logic_vector(67841877,28);
WHEN "0010110111" =>
manhi <= conv_std_logic_vector(2928,24);
manlo <= conv_std_logic_vector(68589462,28);
WHEN "0010111000" =>
manhi <= conv_std_logic_vector(2944,24);
manlo <= conv_std_logic_vector(69341144,28);
WHEN "0010111001" =>
manhi <= conv_std_logic_vector(2960,24);
manlo <= conv_std_logic_vector(70096922,28);
WHEN "0010111010" =>
manhi <= conv_std_logic_vector(2976,24);
manlo <= conv_std_logic_vector(70856798,28);
WHEN "0010111011" =>
manhi <= conv_std_logic_vector(2992,24);
manlo <= conv_std_logic_vector(71620769,28);
WHEN "0010111100" =>
manhi <= conv_std_logic_vector(3008,24);
manlo <= conv_std_logic_vector(72388838,28);
WHEN "0010111101" =>
manhi <= conv_std_logic_vector(3024,24);
manlo <= conv_std_logic_vector(73161004,28);
WHEN "0010111110" =>
manhi <= conv_std_logic_vector(3040,24);
manlo <= conv_std_logic_vector(73937266,28);
WHEN "0010111111" =>
manhi <= conv_std_logic_vector(3056,24);
manlo <= conv_std_logic_vector(74717625,28);
WHEN "0011000000" =>
manhi <= conv_std_logic_vector(3072,24);
manlo <= conv_std_logic_vector(75502080,28);
WHEN "0011000001" =>
manhi <= conv_std_logic_vector(3088,24);
manlo <= conv_std_logic_vector(76290633,28);
WHEN "0011000010" =>
manhi <= conv_std_logic_vector(3104,24);
manlo <= conv_std_logic_vector(77083282,28);
WHEN "0011000011" =>
manhi <= conv_std_logic_vector(3120,24);
manlo <= conv_std_logic_vector(77880028,28);
WHEN "0011000100" =>
manhi <= conv_std_logic_vector(3136,24);
manlo <= conv_std_logic_vector(78680870,28);
WHEN "0011000101" =>
manhi <= conv_std_logic_vector(3152,24);
manlo <= conv_std_logic_vector(79485810,28);
WHEN "0011000110" =>
manhi <= conv_std_logic_vector(3168,24);
manlo <= conv_std_logic_vector(80294846,28);
WHEN "0011000111" =>
manhi <= conv_std_logic_vector(3184,24);
manlo <= conv_std_logic_vector(81107979,28);
WHEN "0011001000" =>
manhi <= conv_std_logic_vector(3200,24);
manlo <= conv_std_logic_vector(81925209,28);
WHEN "0011001001" =>
manhi <= conv_std_logic_vector(3216,24);
manlo <= conv_std_logic_vector(82746535,28);
WHEN "0011001010" =>
manhi <= conv_std_logic_vector(3232,24);
manlo <= conv_std_logic_vector(83571958,28);
WHEN "0011001011" =>
manhi <= conv_std_logic_vector(3248,24);
manlo <= conv_std_logic_vector(84401479,28);
WHEN "0011001100" =>
manhi <= conv_std_logic_vector(3264,24);
manlo <= conv_std_logic_vector(85235095,28);
WHEN "0011001101" =>
manhi <= conv_std_logic_vector(3280,24);
manlo <= conv_std_logic_vector(86072809,28);
WHEN "0011001110" =>
manhi <= conv_std_logic_vector(3296,24);
manlo <= conv_std_logic_vector(86914620,28);
WHEN "0011001111" =>
manhi <= conv_std_logic_vector(3312,24);
manlo <= conv_std_logic_vector(87760527,28);
WHEN "0011010000" =>
manhi <= conv_std_logic_vector(3328,24);
manlo <= conv_std_logic_vector(88610531,28);
WHEN "0011010001" =>
manhi <= conv_std_logic_vector(3344,24);
manlo <= conv_std_logic_vector(89464632,28);
WHEN "0011010010" =>
manhi <= conv_std_logic_vector(3360,24);
manlo <= conv_std_logic_vector(90322830,28);
WHEN "0011010011" =>
manhi <= conv_std_logic_vector(3376,24);
manlo <= conv_std_logic_vector(91185124,28);
WHEN "0011010100" =>
manhi <= conv_std_logic_vector(3392,24);
manlo <= conv_std_logic_vector(92051516,28);
WHEN "0011010101" =>
manhi <= conv_std_logic_vector(3408,24);
manlo <= conv_std_logic_vector(92922004,28);
WHEN "0011010110" =>
manhi <= conv_std_logic_vector(3424,24);
manlo <= conv_std_logic_vector(93796589,28);
WHEN "0011010111" =>
manhi <= conv_std_logic_vector(3440,24);
manlo <= conv_std_logic_vector(94675271,28);
WHEN "0011011000" =>
manhi <= conv_std_logic_vector(3456,24);
manlo <= conv_std_logic_vector(95558049,28);
WHEN "0011011001" =>
manhi <= conv_std_logic_vector(3472,24);
manlo <= conv_std_logic_vector(96444925,28);
WHEN "0011011010" =>
manhi <= conv_std_logic_vector(3488,24);
manlo <= conv_std_logic_vector(97335897,28);
WHEN "0011011011" =>
manhi <= conv_std_logic_vector(3504,24);
manlo <= conv_std_logic_vector(98230967,28);
WHEN "0011011100" =>
manhi <= conv_std_logic_vector(3520,24);
manlo <= conv_std_logic_vector(99130133,28);
WHEN "0011011101" =>
manhi <= conv_std_logic_vector(3536,24);
manlo <= conv_std_logic_vector(100033396,28);
WHEN "0011011110" =>
manhi <= conv_std_logic_vector(3552,24);
manlo <= conv_std_logic_vector(100940755,28);
WHEN "0011011111" =>
manhi <= conv_std_logic_vector(3568,24);
manlo <= conv_std_logic_vector(101852212,28);
WHEN "0011100000" =>
manhi <= conv_std_logic_vector(3584,24);
manlo <= conv_std_logic_vector(102767766,28);
WHEN "0011100001" =>
manhi <= conv_std_logic_vector(3600,24);
manlo <= conv_std_logic_vector(103687416,28);
WHEN "0011100010" =>
manhi <= conv_std_logic_vector(3616,24);
manlo <= conv_std_logic_vector(104611163,28);
WHEN "0011100011" =>
manhi <= conv_std_logic_vector(3632,24);
manlo <= conv_std_logic_vector(105539008,28);
WHEN "0011100100" =>
manhi <= conv_std_logic_vector(3648,24);
manlo <= conv_std_logic_vector(106470949,28);
WHEN "0011100101" =>
manhi <= conv_std_logic_vector(3664,24);
manlo <= conv_std_logic_vector(107406987,28);
WHEN "0011100110" =>
manhi <= conv_std_logic_vector(3680,24);
manlo <= conv_std_logic_vector(108347122,28);
WHEN "0011100111" =>
manhi <= conv_std_logic_vector(3696,24);
manlo <= conv_std_logic_vector(109291353,28);
WHEN "0011101000" =>
manhi <= conv_std_logic_vector(3712,24);
manlo <= conv_std_logic_vector(110239682,28);
WHEN "0011101001" =>
manhi <= conv_std_logic_vector(3728,24);
manlo <= conv_std_logic_vector(111192108,28);
WHEN "0011101010" =>
manhi <= conv_std_logic_vector(3744,24);
manlo <= conv_std_logic_vector(112148630,28);
WHEN "0011101011" =>
manhi <= conv_std_logic_vector(3760,24);
manlo <= conv_std_logic_vector(113109250,28);
WHEN "0011101100" =>
manhi <= conv_std_logic_vector(3776,24);
manlo <= conv_std_logic_vector(114073966,28);
WHEN "0011101101" =>
manhi <= conv_std_logic_vector(3792,24);
manlo <= conv_std_logic_vector(115042779,28);
WHEN "0011101110" =>
manhi <= conv_std_logic_vector(3808,24);
manlo <= conv_std_logic_vector(116015689,28);
WHEN "0011101111" =>
manhi <= conv_std_logic_vector(3824,24);
manlo <= conv_std_logic_vector(116992696,28);
WHEN "0011110000" =>
manhi <= conv_std_logic_vector(3840,24);
manlo <= conv_std_logic_vector(117973801,28);
WHEN "0011110001" =>
manhi <= conv_std_logic_vector(3856,24);
manlo <= conv_std_logic_vector(118959001,28);
WHEN "0011110010" =>
manhi <= conv_std_logic_vector(3872,24);
manlo <= conv_std_logic_vector(119948299,28);
WHEN "0011110011" =>
manhi <= conv_std_logic_vector(3888,24);
manlo <= conv_std_logic_vector(120941694,28);
WHEN "0011110100" =>
manhi <= conv_std_logic_vector(3904,24);
manlo <= conv_std_logic_vector(121939186,28);
WHEN "0011110101" =>
manhi <= conv_std_logic_vector(3920,24);
manlo <= conv_std_logic_vector(122940775,28);
WHEN "0011110110" =>
manhi <= conv_std_logic_vector(3936,24);
manlo <= conv_std_logic_vector(123946461,28);
WHEN "0011110111" =>
manhi <= conv_std_logic_vector(3952,24);
manlo <= conv_std_logic_vector(124956243,28);
WHEN "0011111000" =>
manhi <= conv_std_logic_vector(3968,24);
manlo <= conv_std_logic_vector(125970123,28);
WHEN "0011111001" =>
manhi <= conv_std_logic_vector(3984,24);
manlo <= conv_std_logic_vector(126988100,28);
WHEN "0011111010" =>
manhi <= conv_std_logic_vector(4000,24);
manlo <= conv_std_logic_vector(128010173,28);
WHEN "0011111011" =>
manhi <= conv_std_logic_vector(4016,24);
manlo <= conv_std_logic_vector(129036344,28);
WHEN "0011111100" =>
manhi <= conv_std_logic_vector(4032,24);
manlo <= conv_std_logic_vector(130066611,28);
WHEN "0011111101" =>
manhi <= conv_std_logic_vector(4048,24);
manlo <= conv_std_logic_vector(131100976,28);
WHEN "0011111110" =>
manhi <= conv_std_logic_vector(4064,24);
manlo <= conv_std_logic_vector(132139437,28);
WHEN "0011111111" =>
manhi <= conv_std_logic_vector(4080,24);
manlo <= conv_std_logic_vector(133181996,28);
WHEN "0100000000" =>
manhi <= conv_std_logic_vector(4096,24);
manlo <= conv_std_logic_vector(134228651,28);
WHEN "0100000001" =>
manhi <= conv_std_logic_vector(4112,24);
manlo <= conv_std_logic_vector(135279404,28);
WHEN "0100000010" =>
manhi <= conv_std_logic_vector(4128,24);
manlo <= conv_std_logic_vector(136334253,28);
WHEN "0100000011" =>
manhi <= conv_std_logic_vector(4144,24);
manlo <= conv_std_logic_vector(137393200,28);
WHEN "0100000100" =>
manhi <= conv_std_logic_vector(4160,24);
manlo <= conv_std_logic_vector(138456243,28);
WHEN "0100000101" =>
manhi <= conv_std_logic_vector(4176,24);
manlo <= conv_std_logic_vector(139523384,28);
WHEN "0100000110" =>
manhi <= conv_std_logic_vector(4192,24);
manlo <= conv_std_logic_vector(140594622,28);
WHEN "0100000111" =>
manhi <= conv_std_logic_vector(4208,24);
manlo <= conv_std_logic_vector(141669956,28);
WHEN "0100001000" =>
manhi <= conv_std_logic_vector(4224,24);
manlo <= conv_std_logic_vector(142749388,28);
WHEN "0100001001" =>
manhi <= conv_std_logic_vector(4240,24);
manlo <= conv_std_logic_vector(143832916,28);
WHEN "0100001010" =>
manhi <= conv_std_logic_vector(4256,24);
manlo <= conv_std_logic_vector(144920542,28);
WHEN "0100001011" =>
manhi <= conv_std_logic_vector(4272,24);
manlo <= conv_std_logic_vector(146012265,28);
WHEN "0100001100" =>
manhi <= conv_std_logic_vector(4288,24);
manlo <= conv_std_logic_vector(147108085,28);
WHEN "0100001101" =>
manhi <= conv_std_logic_vector(4304,24);
manlo <= conv_std_logic_vector(148208001,28);
WHEN "0100001110" =>
manhi <= conv_std_logic_vector(4320,24);
manlo <= conv_std_logic_vector(149312015,28);
WHEN "0100001111" =>
manhi <= conv_std_logic_vector(4336,24);
manlo <= conv_std_logic_vector(150420126,28);
WHEN "0100010000" =>
manhi <= conv_std_logic_vector(4352,24);
manlo <= conv_std_logic_vector(151532334,28);
WHEN "0100010001" =>
manhi <= conv_std_logic_vector(4368,24);
manlo <= conv_std_logic_vector(152648639,28);
WHEN "0100010010" =>
manhi <= conv_std_logic_vector(4384,24);
manlo <= conv_std_logic_vector(153769041,28);
WHEN "0100010011" =>
manhi <= conv_std_logic_vector(4400,24);
manlo <= conv_std_logic_vector(154893541,28);
WHEN "0100010100" =>
manhi <= conv_std_logic_vector(4416,24);
manlo <= conv_std_logic_vector(156022137,28);
WHEN "0100010101" =>
manhi <= conv_std_logic_vector(4432,24);
manlo <= conv_std_logic_vector(157154830,28);
WHEN "0100010110" =>
manhi <= conv_std_logic_vector(4448,24);
manlo <= conv_std_logic_vector(158291621,28);
WHEN "0100010111" =>
manhi <= conv_std_logic_vector(4464,24);
manlo <= conv_std_logic_vector(159432508,28);
WHEN "0100011000" =>
manhi <= conv_std_logic_vector(4480,24);
manlo <= conv_std_logic_vector(160577493,28);
WHEN "0100011001" =>
manhi <= conv_std_logic_vector(4496,24);
manlo <= conv_std_logic_vector(161726574,28);
WHEN "0100011010" =>
manhi <= conv_std_logic_vector(4512,24);
manlo <= conv_std_logic_vector(162879753,28);
WHEN "0100011011" =>
manhi <= conv_std_logic_vector(4528,24);
manlo <= conv_std_logic_vector(164037029,28);
WHEN "0100011100" =>
manhi <= conv_std_logic_vector(4544,24);
manlo <= conv_std_logic_vector(165198402,28);
WHEN "0100011101" =>
manhi <= conv_std_logic_vector(4560,24);
manlo <= conv_std_logic_vector(166363872,28);
WHEN "0100011110" =>
manhi <= conv_std_logic_vector(4576,24);
manlo <= conv_std_logic_vector(167533439,28);
WHEN "0100011111" =>
manhi <= conv_std_logic_vector(4592,24);
manlo <= conv_std_logic_vector(168707104,28);
WHEN "0100100000" =>
manhi <= conv_std_logic_vector(4608,24);
manlo <= conv_std_logic_vector(169884865,28);
WHEN "0100100001" =>
manhi <= conv_std_logic_vector(4624,24);
manlo <= conv_std_logic_vector(171066724,28);
WHEN "0100100010" =>
manhi <= conv_std_logic_vector(4640,24);
manlo <= conv_std_logic_vector(172252679,28);
WHEN "0100100011" =>
manhi <= conv_std_logic_vector(4656,24);
manlo <= conv_std_logic_vector(173442732,28);
WHEN "0100100100" =>
manhi <= conv_std_logic_vector(4672,24);
manlo <= conv_std_logic_vector(174636882,28);
WHEN "0100100101" =>
manhi <= conv_std_logic_vector(4688,24);
manlo <= conv_std_logic_vector(175835129,28);
WHEN "0100100110" =>
manhi <= conv_std_logic_vector(4704,24);
manlo <= conv_std_logic_vector(177037474,28);
WHEN "0100100111" =>
manhi <= conv_std_logic_vector(4720,24);
manlo <= conv_std_logic_vector(178243915,28);
WHEN "0100101000" =>
manhi <= conv_std_logic_vector(4736,24);
manlo <= conv_std_logic_vector(179454454,28);
WHEN "0100101001" =>
manhi <= conv_std_logic_vector(4752,24);
manlo <= conv_std_logic_vector(180669089,28);
WHEN "0100101010" =>
manhi <= conv_std_logic_vector(4768,24);
manlo <= conv_std_logic_vector(181887822,28);
WHEN "0100101011" =>
manhi <= conv_std_logic_vector(4784,24);
manlo <= conv_std_logic_vector(183110652,28);
WHEN "0100101100" =>
manhi <= conv_std_logic_vector(4800,24);
manlo <= conv_std_logic_vector(184337579,28);
WHEN "0100101101" =>
manhi <= conv_std_logic_vector(4816,24);
manlo <= conv_std_logic_vector(185568604,28);
WHEN "0100101110" =>
manhi <= conv_std_logic_vector(4832,24);
manlo <= conv_std_logic_vector(186803725,28);
WHEN "0100101111" =>
manhi <= conv_std_logic_vector(4848,24);
manlo <= conv_std_logic_vector(188042944,28);
WHEN "0100110000" =>
manhi <= conv_std_logic_vector(4864,24);
manlo <= conv_std_logic_vector(189286260,28);
WHEN "0100110001" =>
manhi <= conv_std_logic_vector(4880,24);
manlo <= conv_std_logic_vector(190533673,28);
WHEN "0100110010" =>
manhi <= conv_std_logic_vector(4896,24);
manlo <= conv_std_logic_vector(191785183,28);
WHEN "0100110011" =>
manhi <= conv_std_logic_vector(4912,24);
manlo <= conv_std_logic_vector(193040791,28);
WHEN "0100110100" =>
manhi <= conv_std_logic_vector(4928,24);
manlo <= conv_std_logic_vector(194300496,28);
WHEN "0100110101" =>
manhi <= conv_std_logic_vector(4944,24);
manlo <= conv_std_logic_vector(195564298,28);
WHEN "0100110110" =>
manhi <= conv_std_logic_vector(4960,24);
manlo <= conv_std_logic_vector(196832197,28);
WHEN "0100110111" =>
manhi <= conv_std_logic_vector(4976,24);
manlo <= conv_std_logic_vector(198104193,28);
WHEN "0100111000" =>
manhi <= conv_std_logic_vector(4992,24);
manlo <= conv_std_logic_vector(199380286,28);
WHEN "0100111001" =>
manhi <= conv_std_logic_vector(5008,24);
manlo <= conv_std_logic_vector(200660477,28);
WHEN "0100111010" =>
manhi <= conv_std_logic_vector(5024,24);
manlo <= conv_std_logic_vector(201944765,28);
WHEN "0100111011" =>
manhi <= conv_std_logic_vector(5040,24);
manlo <= conv_std_logic_vector(203233150,28);
WHEN "0100111100" =>
manhi <= conv_std_logic_vector(5056,24);
manlo <= conv_std_logic_vector(204525633,28);
WHEN "0100111101" =>
manhi <= conv_std_logic_vector(5072,24);
manlo <= conv_std_logic_vector(205822213,28);
WHEN "0100111110" =>
manhi <= conv_std_logic_vector(5088,24);
manlo <= conv_std_logic_vector(207122889,28);
WHEN "0100111111" =>
manhi <= conv_std_logic_vector(5104,24);
manlo <= conv_std_logic_vector(208427664,28);
WHEN "0101000000" =>
manhi <= conv_std_logic_vector(5120,24);
manlo <= conv_std_logic_vector(209736535,28);
WHEN "0101000001" =>
manhi <= conv_std_logic_vector(5136,24);
manlo <= conv_std_logic_vector(211049504,28);
WHEN "0101000010" =>
manhi <= conv_std_logic_vector(5152,24);
manlo <= conv_std_logic_vector(212366570,28);
WHEN "0101000011" =>
manhi <= conv_std_logic_vector(5168,24);
manlo <= conv_std_logic_vector(213687733,28);
WHEN "0101000100" =>
manhi <= conv_std_logic_vector(5184,24);
manlo <= conv_std_logic_vector(215012993,28);
WHEN "0101000101" =>
manhi <= conv_std_logic_vector(5200,24);
manlo <= conv_std_logic_vector(216342351,28);
WHEN "0101000110" =>
manhi <= conv_std_logic_vector(5216,24);
manlo <= conv_std_logic_vector(217675806,28);
WHEN "0101000111" =>
manhi <= conv_std_logic_vector(5232,24);
manlo <= conv_std_logic_vector(219013358,28);
WHEN "0101001000" =>
manhi <= conv_std_logic_vector(5248,24);
manlo <= conv_std_logic_vector(220355007,28);
WHEN "0101001001" =>
manhi <= conv_std_logic_vector(5264,24);
manlo <= conv_std_logic_vector(221700754,28);
WHEN "0101001010" =>
manhi <= conv_std_logic_vector(5280,24);
manlo <= conv_std_logic_vector(223050598,28);
WHEN "0101001011" =>
manhi <= conv_std_logic_vector(5296,24);
manlo <= conv_std_logic_vector(224404540,28);
WHEN "0101001100" =>
manhi <= conv_std_logic_vector(5312,24);
manlo <= conv_std_logic_vector(225762578,28);
WHEN "0101001101" =>
manhi <= conv_std_logic_vector(5328,24);
manlo <= conv_std_logic_vector(227124714,28);
WHEN "0101001110" =>
manhi <= conv_std_logic_vector(5344,24);
manlo <= conv_std_logic_vector(228490948,28);
WHEN "0101001111" =>
manhi <= conv_std_logic_vector(5360,24);
manlo <= conv_std_logic_vector(229861278,28);
WHEN "0101010000" =>
manhi <= conv_std_logic_vector(5376,24);
manlo <= conv_std_logic_vector(231235706,28);
WHEN "0101010001" =>
manhi <= conv_std_logic_vector(5392,24);
manlo <= conv_std_logic_vector(232614231,28);
WHEN "0101010010" =>
manhi <= conv_std_logic_vector(5408,24);
manlo <= conv_std_logic_vector(233996854,28);
WHEN "0101010011" =>
manhi <= conv_std_logic_vector(5424,24);
manlo <= conv_std_logic_vector(235383573,28);
WHEN "0101010100" =>
manhi <= conv_std_logic_vector(5440,24);
manlo <= conv_std_logic_vector(236774391,28);
WHEN "0101010101" =>
manhi <= conv_std_logic_vector(5456,24);
manlo <= conv_std_logic_vector(238169305,28);
WHEN "0101010110" =>
manhi <= conv_std_logic_vector(5472,24);
manlo <= conv_std_logic_vector(239568317,28);
WHEN "0101010111" =>
manhi <= conv_std_logic_vector(5488,24);
manlo <= conv_std_logic_vector(240971426,28);
WHEN "0101011000" =>
manhi <= conv_std_logic_vector(5504,24);
manlo <= conv_std_logic_vector(242378633,28);
WHEN "0101011001" =>
manhi <= conv_std_logic_vector(5520,24);
manlo <= conv_std_logic_vector(243789936,28);
WHEN "0101011010" =>
manhi <= conv_std_logic_vector(5536,24);
manlo <= conv_std_logic_vector(245205338,28);
WHEN "0101011011" =>
manhi <= conv_std_logic_vector(5552,24);
manlo <= conv_std_logic_vector(246624836,28);
WHEN "0101011100" =>
manhi <= conv_std_logic_vector(5568,24);
manlo <= conv_std_logic_vector(248048432,28);
WHEN "0101011101" =>
manhi <= conv_std_logic_vector(5584,24);
manlo <= conv_std_logic_vector(249476125,28);
WHEN "0101011110" =>
manhi <= conv_std_logic_vector(5600,24);
manlo <= conv_std_logic_vector(250907916,28);
WHEN "0101011111" =>
manhi <= conv_std_logic_vector(5616,24);
manlo <= conv_std_logic_vector(252343804,28);
WHEN "0101100000" =>
manhi <= conv_std_logic_vector(5632,24);
manlo <= conv_std_logic_vector(253783789,28);
WHEN "0101100001" =>
manhi <= conv_std_logic_vector(5648,24);
manlo <= conv_std_logic_vector(255227872,28);
WHEN "0101100010" =>
manhi <= conv_std_logic_vector(5664,24);
manlo <= conv_std_logic_vector(256676052,28);
WHEN "0101100011" =>
manhi <= conv_std_logic_vector(5680,24);
manlo <= conv_std_logic_vector(258128329,28);
WHEN "0101100100" =>
manhi <= conv_std_logic_vector(5696,24);
manlo <= conv_std_logic_vector(259584704,28);
WHEN "0101100101" =>
manhi <= conv_std_logic_vector(5712,24);
manlo <= conv_std_logic_vector(261045176,28);
WHEN "0101100110" =>
manhi <= conv_std_logic_vector(5728,24);
manlo <= conv_std_logic_vector(262509746,28);
WHEN "0101100111" =>
manhi <= conv_std_logic_vector(5744,24);
manlo <= conv_std_logic_vector(263978413,28);
WHEN "0101101000" =>
manhi <= conv_std_logic_vector(5760,24);
manlo <= conv_std_logic_vector(265451178,28);
WHEN "0101101001" =>
manhi <= conv_std_logic_vector(5776,24);
manlo <= conv_std_logic_vector(266928039,28);
WHEN "0101101010" =>
manhi <= conv_std_logic_vector(5792,24);
manlo <= conv_std_logic_vector(268408999,28);
WHEN "0101101011" =>
manhi <= conv_std_logic_vector(5809,24);
manlo <= conv_std_logic_vector(1458599,28);
WHEN "0101101100" =>
manhi <= conv_std_logic_vector(5825,24);
manlo <= conv_std_logic_vector(2947754,28);
WHEN "0101101101" =>
manhi <= conv_std_logic_vector(5841,24);
manlo <= conv_std_logic_vector(4441005,28);
WHEN "0101101110" =>
manhi <= conv_std_logic_vector(5857,24);
manlo <= conv_std_logic_vector(5938354,28);
WHEN "0101101111" =>
manhi <= conv_std_logic_vector(5873,24);
manlo <= conv_std_logic_vector(7439800,28);
WHEN "0101110000" =>
manhi <= conv_std_logic_vector(5889,24);
manlo <= conv_std_logic_vector(8945344,28);
WHEN "0101110001" =>
manhi <= conv_std_logic_vector(5905,24);
manlo <= conv_std_logic_vector(10454985,28);
WHEN "0101110010" =>
manhi <= conv_std_logic_vector(5921,24);
manlo <= conv_std_logic_vector(11968724,28);
WHEN "0101110011" =>
manhi <= conv_std_logic_vector(5937,24);
manlo <= conv_std_logic_vector(13486560,28);
WHEN "0101110100" =>
manhi <= conv_std_logic_vector(5953,24);
manlo <= conv_std_logic_vector(15008494,28);
WHEN "0101110101" =>
manhi <= conv_std_logic_vector(5969,24);
manlo <= conv_std_logic_vector(16534525,28);
WHEN "0101110110" =>
manhi <= conv_std_logic_vector(5985,24);
manlo <= conv_std_logic_vector(18064653,28);
WHEN "0101110111" =>
manhi <= conv_std_logic_vector(6001,24);
manlo <= conv_std_logic_vector(19598879,28);
WHEN "0101111000" =>
manhi <= conv_std_logic_vector(6017,24);
manlo <= conv_std_logic_vector(21137203,28);
WHEN "0101111001" =>
manhi <= conv_std_logic_vector(6033,24);
manlo <= conv_std_logic_vector(22679624,28);
WHEN "0101111010" =>
manhi <= conv_std_logic_vector(6049,24);
manlo <= conv_std_logic_vector(24226142,28);
WHEN "0101111011" =>
manhi <= conv_std_logic_vector(6065,24);
manlo <= conv_std_logic_vector(25776758,28);
WHEN "0101111100" =>
manhi <= conv_std_logic_vector(6081,24);
manlo <= conv_std_logic_vector(27331471,28);
WHEN "0101111101" =>
manhi <= conv_std_logic_vector(6097,24);
manlo <= conv_std_logic_vector(28890282,28);
WHEN "0101111110" =>
manhi <= conv_std_logic_vector(6113,24);
manlo <= conv_std_logic_vector(30453190,28);
WHEN "0101111111" =>
manhi <= conv_std_logic_vector(6129,24);
manlo <= conv_std_logic_vector(32020196,28);
WHEN "0110000000" =>
manhi <= conv_std_logic_vector(6145,24);
manlo <= conv_std_logic_vector(33591299,28);
WHEN "0110000001" =>
manhi <= conv_std_logic_vector(6161,24);
manlo <= conv_std_logic_vector(35166500,28);
WHEN "0110000010" =>
manhi <= conv_std_logic_vector(6177,24);
manlo <= conv_std_logic_vector(36745798,28);
WHEN "0110000011" =>
manhi <= conv_std_logic_vector(6193,24);
manlo <= conv_std_logic_vector(38329194,28);
WHEN "0110000100" =>
manhi <= conv_std_logic_vector(6209,24);
manlo <= conv_std_logic_vector(39916688,28);
WHEN "0110000101" =>
manhi <= conv_std_logic_vector(6225,24);
manlo <= conv_std_logic_vector(41508278,28);
WHEN "0110000110" =>
manhi <= conv_std_logic_vector(6241,24);
manlo <= conv_std_logic_vector(43103967,28);
WHEN "0110000111" =>
manhi <= conv_std_logic_vector(6257,24);
manlo <= conv_std_logic_vector(44703753,28);
WHEN "0110001000" =>
manhi <= conv_std_logic_vector(6273,24);
manlo <= conv_std_logic_vector(46307636,28);
WHEN "0110001001" =>
manhi <= conv_std_logic_vector(6289,24);
manlo <= conv_std_logic_vector(47915617,28);
WHEN "0110001010" =>
manhi <= conv_std_logic_vector(6305,24);
manlo <= conv_std_logic_vector(49527695,28);
WHEN "0110001011" =>
manhi <= conv_std_logic_vector(6321,24);
manlo <= conv_std_logic_vector(51143871,28);
WHEN "0110001100" =>
manhi <= conv_std_logic_vector(6337,24);
manlo <= conv_std_logic_vector(52764145,28);
WHEN "0110001101" =>
manhi <= conv_std_logic_vector(6353,24);
manlo <= conv_std_logic_vector(54388516,28);
WHEN "0110001110" =>
manhi <= conv_std_logic_vector(6369,24);
manlo <= conv_std_logic_vector(56016985,28);
WHEN "0110001111" =>
manhi <= conv_std_logic_vector(6385,24);
manlo <= conv_std_logic_vector(57649551,28);
WHEN "0110010000" =>
manhi <= conv_std_logic_vector(6401,24);
manlo <= conv_std_logic_vector(59286215,28);
WHEN "0110010001" =>
manhi <= conv_std_logic_vector(6417,24);
manlo <= conv_std_logic_vector(60926976,28);
WHEN "0110010010" =>
manhi <= conv_std_logic_vector(6433,24);
manlo <= conv_std_logic_vector(62571835,28);
WHEN "0110010011" =>
manhi <= conv_std_logic_vector(6449,24);
manlo <= conv_std_logic_vector(64220791,28);
WHEN "0110010100" =>
manhi <= conv_std_logic_vector(6465,24);
manlo <= conv_std_logic_vector(65873845,28);
WHEN "0110010101" =>
manhi <= conv_std_logic_vector(6481,24);
manlo <= conv_std_logic_vector(67530997,28);
WHEN "0110010110" =>
manhi <= conv_std_logic_vector(6497,24);
manlo <= conv_std_logic_vector(69192246,28);
WHEN "0110010111" =>
manhi <= conv_std_logic_vector(6513,24);
manlo <= conv_std_logic_vector(70857593,28);
WHEN "0110011000" =>
manhi <= conv_std_logic_vector(6529,24);
manlo <= conv_std_logic_vector(72527037,28);
WHEN "0110011001" =>
manhi <= conv_std_logic_vector(6545,24);
manlo <= conv_std_logic_vector(74200579,28);
WHEN "0110011010" =>
manhi <= conv_std_logic_vector(6561,24);
manlo <= conv_std_logic_vector(75878219,28);
WHEN "0110011011" =>
manhi <= conv_std_logic_vector(6577,24);
manlo <= conv_std_logic_vector(77559956,28);
WHEN "0110011100" =>
manhi <= conv_std_logic_vector(6593,24);
manlo <= conv_std_logic_vector(79245791,28);
WHEN "0110011101" =>
manhi <= conv_std_logic_vector(6609,24);
manlo <= conv_std_logic_vector(80935723,28);
WHEN "0110011110" =>
manhi <= conv_std_logic_vector(6625,24);
manlo <= conv_std_logic_vector(82629753,28);
WHEN "0110011111" =>
manhi <= conv_std_logic_vector(6641,24);
manlo <= conv_std_logic_vector(84327881,28);
WHEN "0110100000" =>
manhi <= conv_std_logic_vector(6657,24);
manlo <= conv_std_logic_vector(86030106,28);
WHEN "0110100001" =>
manhi <= conv_std_logic_vector(6673,24);
manlo <= conv_std_logic_vector(87736429,28);
WHEN "0110100010" =>
manhi <= conv_std_logic_vector(6689,24);
manlo <= conv_std_logic_vector(89446849,28);
WHEN "0110100011" =>
manhi <= conv_std_logic_vector(6705,24);
manlo <= conv_std_logic_vector(91161367,28);
WHEN "0110100100" =>
manhi <= conv_std_logic_vector(6721,24);
manlo <= conv_std_logic_vector(92879983,28);
WHEN "0110100101" =>
manhi <= conv_std_logic_vector(6737,24);
manlo <= conv_std_logic_vector(94602697,28);
WHEN "0110100110" =>
manhi <= conv_std_logic_vector(6753,24);
manlo <= conv_std_logic_vector(96329508,28);
WHEN "0110100111" =>
manhi <= conv_std_logic_vector(6769,24);
manlo <= conv_std_logic_vector(98060416,28);
WHEN "0110101000" =>
manhi <= conv_std_logic_vector(6785,24);
manlo <= conv_std_logic_vector(99795423,28);
WHEN "0110101001" =>
manhi <= conv_std_logic_vector(6801,24);
manlo <= conv_std_logic_vector(101534527,28);
WHEN "0110101010" =>
manhi <= conv_std_logic_vector(6817,24);
manlo <= conv_std_logic_vector(103277728,28);
WHEN "0110101011" =>
manhi <= conv_std_logic_vector(6833,24);
manlo <= conv_std_logic_vector(105025028,28);
WHEN "0110101100" =>
manhi <= conv_std_logic_vector(6849,24);
manlo <= conv_std_logic_vector(106776425,28);
WHEN "0110101101" =>
manhi <= conv_std_logic_vector(6865,24);
manlo <= conv_std_logic_vector(108531919,28);
WHEN "0110101110" =>
manhi <= conv_std_logic_vector(6881,24);
manlo <= conv_std_logic_vector(110291512,28);
WHEN "0110101111" =>
manhi <= conv_std_logic_vector(6897,24);
manlo <= conv_std_logic_vector(112055202,28);
WHEN "0110110000" =>
manhi <= conv_std_logic_vector(6913,24);
manlo <= conv_std_logic_vector(113822989,28);
WHEN "0110110001" =>
manhi <= conv_std_logic_vector(6929,24);
manlo <= conv_std_logic_vector(115594875,28);
WHEN "0110110010" =>
manhi <= conv_std_logic_vector(6945,24);
manlo <= conv_std_logic_vector(117370858,28);
WHEN "0110110011" =>
manhi <= conv_std_logic_vector(6961,24);
manlo <= conv_std_logic_vector(119150939,28);
WHEN "0110110100" =>
manhi <= conv_std_logic_vector(6977,24);
manlo <= conv_std_logic_vector(120935117,28);
WHEN "0110110101" =>
manhi <= conv_std_logic_vector(6993,24);
manlo <= conv_std_logic_vector(122723393,28);
WHEN "0110110110" =>
manhi <= conv_std_logic_vector(7009,24);
manlo <= conv_std_logic_vector(124515767,28);
WHEN "0110110111" =>
manhi <= conv_std_logic_vector(7025,24);
manlo <= conv_std_logic_vector(126312239,28);
WHEN "0110111000" =>
manhi <= conv_std_logic_vector(7041,24);
manlo <= conv_std_logic_vector(128112808,28);
WHEN "0110111001" =>
manhi <= conv_std_logic_vector(7057,24);
manlo <= conv_std_logic_vector(129917475,28);
WHEN "0110111010" =>
manhi <= conv_std_logic_vector(7073,24);
manlo <= conv_std_logic_vector(131726240,28);
WHEN "0110111011" =>
manhi <= conv_std_logic_vector(7089,24);
manlo <= conv_std_logic_vector(133539102,28);
WHEN "0110111100" =>
manhi <= conv_std_logic_vector(7105,24);
manlo <= conv_std_logic_vector(135356063,28);
WHEN "0110111101" =>
manhi <= conv_std_logic_vector(7121,24);
manlo <= conv_std_logic_vector(137177121,28);
WHEN "0110111110" =>
manhi <= conv_std_logic_vector(7137,24);
manlo <= conv_std_logic_vector(139002276,28);
WHEN "0110111111" =>
manhi <= conv_std_logic_vector(7153,24);
manlo <= conv_std_logic_vector(140831530,28);
WHEN "0111000000" =>
manhi <= conv_std_logic_vector(7169,24);
manlo <= conv_std_logic_vector(142664881,28);
WHEN "0111000001" =>
manhi <= conv_std_logic_vector(7185,24);
manlo <= conv_std_logic_vector(144502330,28);
WHEN "0111000010" =>
manhi <= conv_std_logic_vector(7201,24);
manlo <= conv_std_logic_vector(146343877,28);
WHEN "0111000011" =>
manhi <= conv_std_logic_vector(7217,24);
manlo <= conv_std_logic_vector(148189521,28);
WHEN "0111000100" =>
manhi <= conv_std_logic_vector(7233,24);
manlo <= conv_std_logic_vector(150039263,28);
WHEN "0111000101" =>
manhi <= conv_std_logic_vector(7249,24);
manlo <= conv_std_logic_vector(151893103,28);
WHEN "0111000110" =>
manhi <= conv_std_logic_vector(7265,24);
manlo <= conv_std_logic_vector(153751041,28);
WHEN "0111000111" =>
manhi <= conv_std_logic_vector(7281,24);
manlo <= conv_std_logic_vector(155613076,28);
WHEN "0111001000" =>
manhi <= conv_std_logic_vector(7297,24);
manlo <= conv_std_logic_vector(157479210,28);
WHEN "0111001001" =>
manhi <= conv_std_logic_vector(7313,24);
manlo <= conv_std_logic_vector(159349441,28);
WHEN "0111001010" =>
manhi <= conv_std_logic_vector(7329,24);
manlo <= conv_std_logic_vector(161223770,28);
WHEN "0111001011" =>
manhi <= conv_std_logic_vector(7345,24);
manlo <= conv_std_logic_vector(163102196,28);
WHEN "0111001100" =>
manhi <= conv_std_logic_vector(7361,24);
manlo <= conv_std_logic_vector(164984721,28);
WHEN "0111001101" =>
manhi <= conv_std_logic_vector(7377,24);
manlo <= conv_std_logic_vector(166871343,28);
WHEN "0111001110" =>
manhi <= conv_std_logic_vector(7393,24);
manlo <= conv_std_logic_vector(168762063,28);
WHEN "0111001111" =>
manhi <= conv_std_logic_vector(7409,24);
manlo <= conv_std_logic_vector(170656881,28);
WHEN "0111010000" =>
manhi <= conv_std_logic_vector(7425,24);
manlo <= conv_std_logic_vector(172555797,28);
WHEN "0111010001" =>
manhi <= conv_std_logic_vector(7441,24);
manlo <= conv_std_logic_vector(174458810,28);
WHEN "0111010010" =>
manhi <= conv_std_logic_vector(7457,24);
manlo <= conv_std_logic_vector(176365921,28);
WHEN "0111010011" =>
manhi <= conv_std_logic_vector(7473,24);
manlo <= conv_std_logic_vector(178277130,28);
WHEN "0111010100" =>
manhi <= conv_std_logic_vector(7489,24);
manlo <= conv_std_logic_vector(180192437,28);
WHEN "0111010101" =>
manhi <= conv_std_logic_vector(7505,24);
manlo <= conv_std_logic_vector(182111842,28);
WHEN "0111010110" =>
manhi <= conv_std_logic_vector(7521,24);
manlo <= conv_std_logic_vector(184035345,28);
WHEN "0111010111" =>
manhi <= conv_std_logic_vector(7537,24);
manlo <= conv_std_logic_vector(185962945,28);
WHEN "0111011000" =>
manhi <= conv_std_logic_vector(7553,24);
manlo <= conv_std_logic_vector(187894643,28);
WHEN "0111011001" =>
manhi <= conv_std_logic_vector(7569,24);
manlo <= conv_std_logic_vector(189830439,28);
WHEN "0111011010" =>
manhi <= conv_std_logic_vector(7585,24);
manlo <= conv_std_logic_vector(191770333,28);
WHEN "0111011011" =>
manhi <= conv_std_logic_vector(7601,24);
manlo <= conv_std_logic_vector(193714325,28);
WHEN "0111011100" =>
manhi <= conv_std_logic_vector(7617,24);
manlo <= conv_std_logic_vector(195662415,28);
WHEN "0111011101" =>
manhi <= conv_std_logic_vector(7633,24);
manlo <= conv_std_logic_vector(197614602,28);
WHEN "0111011110" =>
manhi <= conv_std_logic_vector(7649,24);
manlo <= conv_std_logic_vector(199570888,28);
WHEN "0111011111" =>
manhi <= conv_std_logic_vector(7665,24);
manlo <= conv_std_logic_vector(201531271,28);
WHEN "0111100000" =>
manhi <= conv_std_logic_vector(7681,24);
manlo <= conv_std_logic_vector(203495752,28);
WHEN "0111100001" =>
manhi <= conv_std_logic_vector(7697,24);
manlo <= conv_std_logic_vector(205464331,28);
WHEN "0111100010" =>
manhi <= conv_std_logic_vector(7713,24);
manlo <= conv_std_logic_vector(207437008,28);
WHEN "0111100011" =>
manhi <= conv_std_logic_vector(7729,24);
manlo <= conv_std_logic_vector(209413783,28);
WHEN "0111100100" =>
manhi <= conv_std_logic_vector(7745,24);
manlo <= conv_std_logic_vector(211394656,28);
WHEN "0111100101" =>
manhi <= conv_std_logic_vector(7761,24);
manlo <= conv_std_logic_vector(213379626,28);
WHEN "0111100110" =>
manhi <= conv_std_logic_vector(7777,24);
manlo <= conv_std_logic_vector(215368695,28);
WHEN "0111100111" =>
manhi <= conv_std_logic_vector(7793,24);
manlo <= conv_std_logic_vector(217361861,28);
WHEN "0111101000" =>
manhi <= conv_std_logic_vector(7809,24);
manlo <= conv_std_logic_vector(219359125,28);
WHEN "0111101001" =>
manhi <= conv_std_logic_vector(7825,24);
manlo <= conv_std_logic_vector(221360487,28);
WHEN "0111101010" =>
manhi <= conv_std_logic_vector(7841,24);
manlo <= conv_std_logic_vector(223365947,28);
WHEN "0111101011" =>
manhi <= conv_std_logic_vector(7857,24);
manlo <= conv_std_logic_vector(225375505,28);
WHEN "0111101100" =>
manhi <= conv_std_logic_vector(7873,24);
manlo <= conv_std_logic_vector(227389161,28);
WHEN "0111101101" =>
manhi <= conv_std_logic_vector(7889,24);
manlo <= conv_std_logic_vector(229406915,28);
WHEN "0111101110" =>
manhi <= conv_std_logic_vector(7905,24);
manlo <= conv_std_logic_vector(231428767,28);
WHEN "0111101111" =>
manhi <= conv_std_logic_vector(7921,24);
manlo <= conv_std_logic_vector(233454716,28);
WHEN "0111110000" =>
manhi <= conv_std_logic_vector(7937,24);
manlo <= conv_std_logic_vector(235484764,28);
WHEN "0111110001" =>
manhi <= conv_std_logic_vector(7953,24);
manlo <= conv_std_logic_vector(237518910,28);
WHEN "0111110010" =>
manhi <= conv_std_logic_vector(7969,24);
manlo <= conv_std_logic_vector(239557153,28);
WHEN "0111110011" =>
manhi <= conv_std_logic_vector(7985,24);
manlo <= conv_std_logic_vector(241599495,28);
WHEN "0111110100" =>
manhi <= conv_std_logic_vector(8001,24);
manlo <= conv_std_logic_vector(243645934,28);
WHEN "0111110101" =>
manhi <= conv_std_logic_vector(8017,24);
manlo <= conv_std_logic_vector(245696471,28);
WHEN "0111110110" =>
manhi <= conv_std_logic_vector(8033,24);
manlo <= conv_std_logic_vector(247751107,28);
WHEN "0111110111" =>
manhi <= conv_std_logic_vector(8049,24);
manlo <= conv_std_logic_vector(249809840,28);
WHEN "0111111000" =>
manhi <= conv_std_logic_vector(8065,24);
manlo <= conv_std_logic_vector(251872671,28);
WHEN "0111111001" =>
manhi <= conv_std_logic_vector(8081,24);
manlo <= conv_std_logic_vector(253939600,28);
WHEN "0111111010" =>
manhi <= conv_std_logic_vector(8097,24);
manlo <= conv_std_logic_vector(256010627,28);
WHEN "0111111011" =>
manhi <= conv_std_logic_vector(8113,24);
manlo <= conv_std_logic_vector(258085753,28);
WHEN "0111111100" =>
manhi <= conv_std_logic_vector(8129,24);
manlo <= conv_std_logic_vector(260164976,28);
WHEN "0111111101" =>
manhi <= conv_std_logic_vector(8145,24);
manlo <= conv_std_logic_vector(262248297,28);
WHEN "0111111110" =>
manhi <= conv_std_logic_vector(8161,24);
manlo <= conv_std_logic_vector(264335716,28);
WHEN "0111111111" =>
manhi <= conv_std_logic_vector(8177,24);
manlo <= conv_std_logic_vector(266427233,28);
WHEN "1000000000" =>
manhi <= conv_std_logic_vector(8194,24);
manlo <= conv_std_logic_vector(87392,28);
WHEN "1000000001" =>
manhi <= conv_std_logic_vector(8210,24);
manlo <= conv_std_logic_vector(2187105,28);
WHEN "1000000010" =>
manhi <= conv_std_logic_vector(8226,24);
manlo <= conv_std_logic_vector(4290916,28);
WHEN "1000000011" =>
manhi <= conv_std_logic_vector(8242,24);
manlo <= conv_std_logic_vector(6398825,28);
WHEN "1000000100" =>
manhi <= conv_std_logic_vector(8258,24);
manlo <= conv_std_logic_vector(8510832,28);
WHEN "1000000101" =>
manhi <= conv_std_logic_vector(8274,24);
manlo <= conv_std_logic_vector(10626938,28);
WHEN "1000000110" =>
manhi <= conv_std_logic_vector(8290,24);
manlo <= conv_std_logic_vector(12747141,28);
WHEN "1000000111" =>
manhi <= conv_std_logic_vector(8306,24);
manlo <= conv_std_logic_vector(14871442,28);
WHEN "1000001000" =>
manhi <= conv_std_logic_vector(8322,24);
manlo <= conv_std_logic_vector(16999841,28);
WHEN "1000001001" =>
manhi <= conv_std_logic_vector(8338,24);
manlo <= conv_std_logic_vector(19132338,28);
WHEN "1000001010" =>
manhi <= conv_std_logic_vector(8354,24);
manlo <= conv_std_logic_vector(21268934,28);
WHEN "1000001011" =>
manhi <= conv_std_logic_vector(8370,24);
manlo <= conv_std_logic_vector(23409627,28);
WHEN "1000001100" =>
manhi <= conv_std_logic_vector(8386,24);
manlo <= conv_std_logic_vector(25554418,28);
WHEN "1000001101" =>
manhi <= conv_std_logic_vector(8402,24);
manlo <= conv_std_logic_vector(27703308,28);
WHEN "1000001110" =>
manhi <= conv_std_logic_vector(8418,24);
manlo <= conv_std_logic_vector(29856295,28);
WHEN "1000001111" =>
manhi <= conv_std_logic_vector(8434,24);
manlo <= conv_std_logic_vector(32013381,28);
WHEN "1000010000" =>
manhi <= conv_std_logic_vector(8450,24);
manlo <= conv_std_logic_vector(34174564,28);
WHEN "1000010001" =>
manhi <= conv_std_logic_vector(8466,24);
manlo <= conv_std_logic_vector(36339846,28);
WHEN "1000010010" =>
manhi <= conv_std_logic_vector(8482,24);
manlo <= conv_std_logic_vector(38509225,28);
WHEN "1000010011" =>
manhi <= conv_std_logic_vector(8498,24);
manlo <= conv_std_logic_vector(40682703,28);
WHEN "1000010100" =>
manhi <= conv_std_logic_vector(8514,24);
manlo <= conv_std_logic_vector(42860279,28);
WHEN "1000010101" =>
manhi <= conv_std_logic_vector(8530,24);
manlo <= conv_std_logic_vector(45041953,28);
WHEN "1000010110" =>
manhi <= conv_std_logic_vector(8546,24);
manlo <= conv_std_logic_vector(47227725,28);
WHEN "1000010111" =>
manhi <= conv_std_logic_vector(8562,24);
manlo <= conv_std_logic_vector(49417595,28);
WHEN "1000011000" =>
manhi <= conv_std_logic_vector(8578,24);
manlo <= conv_std_logic_vector(51611563,28);
WHEN "1000011001" =>
manhi <= conv_std_logic_vector(8594,24);
manlo <= conv_std_logic_vector(53809629,28);
WHEN "1000011010" =>
manhi <= conv_std_logic_vector(8610,24);
manlo <= conv_std_logic_vector(56011794,28);
WHEN "1000011011" =>
manhi <= conv_std_logic_vector(8626,24);
manlo <= conv_std_logic_vector(58218056,28);
WHEN "1000011100" =>
manhi <= conv_std_logic_vector(8642,24);
manlo <= conv_std_logic_vector(60428417,28);
WHEN "1000011101" =>
manhi <= conv_std_logic_vector(8658,24);
manlo <= conv_std_logic_vector(62642876,28);
WHEN "1000011110" =>
manhi <= conv_std_logic_vector(8674,24);
manlo <= conv_std_logic_vector(64861432,28);
WHEN "1000011111" =>
manhi <= conv_std_logic_vector(8690,24);
manlo <= conv_std_logic_vector(67084087,28);
WHEN "1000100000" =>
manhi <= conv_std_logic_vector(8706,24);
manlo <= conv_std_logic_vector(69310840,28);
WHEN "1000100001" =>
manhi <= conv_std_logic_vector(8722,24);
manlo <= conv_std_logic_vector(71541691,28);
WHEN "1000100010" =>
manhi <= conv_std_logic_vector(8738,24);
manlo <= conv_std_logic_vector(73776641,28);
WHEN "1000100011" =>
manhi <= conv_std_logic_vector(8754,24);
manlo <= conv_std_logic_vector(76015688,28);
WHEN "1000100100" =>
manhi <= conv_std_logic_vector(8770,24);
manlo <= conv_std_logic_vector(78258834,28);
WHEN "1000100101" =>
manhi <= conv_std_logic_vector(8786,24);
manlo <= conv_std_logic_vector(80506077,28);
WHEN "1000100110" =>
manhi <= conv_std_logic_vector(8802,24);
manlo <= conv_std_logic_vector(82757419,28);
WHEN "1000100111" =>
manhi <= conv_std_logic_vector(8818,24);
manlo <= conv_std_logic_vector(85012859,28);
WHEN "1000101000" =>
manhi <= conv_std_logic_vector(8834,24);
manlo <= conv_std_logic_vector(87272397,28);
WHEN "1000101001" =>
manhi <= conv_std_logic_vector(8850,24);
manlo <= conv_std_logic_vector(89536034,28);
WHEN "1000101010" =>
manhi <= conv_std_logic_vector(8866,24);
manlo <= conv_std_logic_vector(91803768,28);
WHEN "1000101011" =>
manhi <= conv_std_logic_vector(8882,24);
manlo <= conv_std_logic_vector(94075601,28);
WHEN "1000101100" =>
manhi <= conv_std_logic_vector(8898,24);
manlo <= conv_std_logic_vector(96351532,28);
WHEN "1000101101" =>
manhi <= conv_std_logic_vector(8914,24);
manlo <= conv_std_logic_vector(98631561,28);
WHEN "1000101110" =>
manhi <= conv_std_logic_vector(8930,24);
manlo <= conv_std_logic_vector(100915688,28);
WHEN "1000101111" =>
manhi <= conv_std_logic_vector(8946,24);
manlo <= conv_std_logic_vector(103203913,28);
WHEN "1000110000" =>
manhi <= conv_std_logic_vector(8962,24);
manlo <= conv_std_logic_vector(105496237,28);
WHEN "1000110001" =>
manhi <= conv_std_logic_vector(8978,24);
manlo <= conv_std_logic_vector(107792658,28);
WHEN "1000110010" =>
manhi <= conv_std_logic_vector(8994,24);
manlo <= conv_std_logic_vector(110093178,28);
WHEN "1000110011" =>
manhi <= conv_std_logic_vector(9010,24);
manlo <= conv_std_logic_vector(112397796,28);
WHEN "1000110100" =>
manhi <= conv_std_logic_vector(9026,24);
manlo <= conv_std_logic_vector(114706513,28);
WHEN "1000110101" =>
manhi <= conv_std_logic_vector(9042,24);
manlo <= conv_std_logic_vector(117019327,28);
WHEN "1000110110" =>
manhi <= conv_std_logic_vector(9058,24);
manlo <= conv_std_logic_vector(119336240,28);
WHEN "1000110111" =>
manhi <= conv_std_logic_vector(9074,24);
manlo <= conv_std_logic_vector(121657251,28);
WHEN "1000111000" =>
manhi <= conv_std_logic_vector(9090,24);
manlo <= conv_std_logic_vector(123982360,28);
WHEN "1000111001" =>
manhi <= conv_std_logic_vector(9106,24);
manlo <= conv_std_logic_vector(126311567,28);
WHEN "1000111010" =>
manhi <= conv_std_logic_vector(9122,24);
manlo <= conv_std_logic_vector(128644873,28);
WHEN "1000111011" =>
manhi <= conv_std_logic_vector(9138,24);
manlo <= conv_std_logic_vector(130982277,28);
WHEN "1000111100" =>
manhi <= conv_std_logic_vector(9154,24);
manlo <= conv_std_logic_vector(133323779,28);
WHEN "1000111101" =>
manhi <= conv_std_logic_vector(9170,24);
manlo <= conv_std_logic_vector(135669379,28);
WHEN "1000111110" =>
manhi <= conv_std_logic_vector(9186,24);
manlo <= conv_std_logic_vector(138019077,28);
WHEN "1000111111" =>
manhi <= conv_std_logic_vector(9202,24);
manlo <= conv_std_logic_vector(140372874,28);
WHEN "1001000000" =>
manhi <= conv_std_logic_vector(9218,24);
manlo <= conv_std_logic_vector(142730769,28);
WHEN "1001000001" =>
manhi <= conv_std_logic_vector(9234,24);
manlo <= conv_std_logic_vector(145092762,28);
WHEN "1001000010" =>
manhi <= conv_std_logic_vector(9250,24);
manlo <= conv_std_logic_vector(147458854,28);
WHEN "1001000011" =>
manhi <= conv_std_logic_vector(9266,24);
manlo <= conv_std_logic_vector(149829044,28);
WHEN "1001000100" =>
manhi <= conv_std_logic_vector(9282,24);
manlo <= conv_std_logic_vector(152203332,28);
WHEN "1001000101" =>
manhi <= conv_std_logic_vector(9298,24);
manlo <= conv_std_logic_vector(154581718,28);
WHEN "1001000110" =>
manhi <= conv_std_logic_vector(9314,24);
manlo <= conv_std_logic_vector(156964202,28);
WHEN "1001000111" =>
manhi <= conv_std_logic_vector(9330,24);
manlo <= conv_std_logic_vector(159350785,28);
WHEN "1001001000" =>
manhi <= conv_std_logic_vector(9346,24);
manlo <= conv_std_logic_vector(161741466,28);
WHEN "1001001001" =>
manhi <= conv_std_logic_vector(9362,24);
manlo <= conv_std_logic_vector(164136246,28);
WHEN "1001001010" =>
manhi <= conv_std_logic_vector(9378,24);
manlo <= conv_std_logic_vector(166535123,28);
WHEN "1001001011" =>
manhi <= conv_std_logic_vector(9394,24);
manlo <= conv_std_logic_vector(168938099,28);
WHEN "1001001100" =>
manhi <= conv_std_logic_vector(9410,24);
manlo <= conv_std_logic_vector(171345174,28);
WHEN "1001001101" =>
manhi <= conv_std_logic_vector(9426,24);
manlo <= conv_std_logic_vector(173756346,28);
WHEN "1001001110" =>
manhi <= conv_std_logic_vector(9442,24);
manlo <= conv_std_logic_vector(176171617,28);
WHEN "1001001111" =>
manhi <= conv_std_logic_vector(9458,24);
manlo <= conv_std_logic_vector(178590986,28);
WHEN "1001010000" =>
manhi <= conv_std_logic_vector(9474,24);
manlo <= conv_std_logic_vector(181014454,28);
WHEN "1001010001" =>
manhi <= conv_std_logic_vector(9490,24);
manlo <= conv_std_logic_vector(183442020,28);
WHEN "1001010010" =>
manhi <= conv_std_logic_vector(9506,24);
manlo <= conv_std_logic_vector(185873684,28);
WHEN "1001010011" =>
manhi <= conv_std_logic_vector(9522,24);
manlo <= conv_std_logic_vector(188309446,28);
WHEN "1001010100" =>
manhi <= conv_std_logic_vector(9538,24);
manlo <= conv_std_logic_vector(190749307,28);
WHEN "1001010101" =>
manhi <= conv_std_logic_vector(9554,24);
manlo <= conv_std_logic_vector(193193266,28);
WHEN "1001010110" =>
manhi <= conv_std_logic_vector(9570,24);
manlo <= conv_std_logic_vector(195641323,28);
WHEN "1001010111" =>
manhi <= conv_std_logic_vector(9586,24);
manlo <= conv_std_logic_vector(198093479,28);
WHEN "1001011000" =>
manhi <= conv_std_logic_vector(9602,24);
manlo <= conv_std_logic_vector(200549733,28);
WHEN "1001011001" =>
manhi <= conv_std_logic_vector(9618,24);
manlo <= conv_std_logic_vector(203010086,28);
WHEN "1001011010" =>
manhi <= conv_std_logic_vector(9634,24);
manlo <= conv_std_logic_vector(205474536,28);
WHEN "1001011011" =>
manhi <= conv_std_logic_vector(9650,24);
manlo <= conv_std_logic_vector(207943085,28);
WHEN "1001011100" =>
manhi <= conv_std_logic_vector(9666,24);
manlo <= conv_std_logic_vector(210415733,28);
WHEN "1001011101" =>
manhi <= conv_std_logic_vector(9682,24);
manlo <= conv_std_logic_vector(212892479,28);
WHEN "1001011110" =>
manhi <= conv_std_logic_vector(9698,24);
manlo <= conv_std_logic_vector(215373323,28);
WHEN "1001011111" =>
manhi <= conv_std_logic_vector(9714,24);
manlo <= conv_std_logic_vector(217858266,28);
WHEN "1001100000" =>
manhi <= conv_std_logic_vector(9730,24);
manlo <= conv_std_logic_vector(220347307,28);
WHEN "1001100001" =>
manhi <= conv_std_logic_vector(9746,24);
manlo <= conv_std_logic_vector(222840446,28);
WHEN "1001100010" =>
manhi <= conv_std_logic_vector(9762,24);
manlo <= conv_std_logic_vector(225337684,28);
WHEN "1001100011" =>
manhi <= conv_std_logic_vector(9778,24);
manlo <= conv_std_logic_vector(227839020,28);
WHEN "1001100100" =>
manhi <= conv_std_logic_vector(9794,24);
manlo <= conv_std_logic_vector(230344454,28);
WHEN "1001100101" =>
manhi <= conv_std_logic_vector(9810,24);
manlo <= conv_std_logic_vector(232853987,28);
WHEN "1001100110" =>
manhi <= conv_std_logic_vector(9826,24);
manlo <= conv_std_logic_vector(235367618,28);
WHEN "1001100111" =>
manhi <= conv_std_logic_vector(9842,24);
manlo <= conv_std_logic_vector(237885348,28);
WHEN "1001101000" =>
manhi <= conv_std_logic_vector(9858,24);
manlo <= conv_std_logic_vector(240407176,28);
WHEN "1001101001" =>
manhi <= conv_std_logic_vector(9874,24);
manlo <= conv_std_logic_vector(242933102,28);
WHEN "1001101010" =>
manhi <= conv_std_logic_vector(9890,24);
manlo <= conv_std_logic_vector(245463127,28);
WHEN "1001101011" =>
manhi <= conv_std_logic_vector(9906,24);
manlo <= conv_std_logic_vector(247997251,28);
WHEN "1001101100" =>
manhi <= conv_std_logic_vector(9922,24);
manlo <= conv_std_logic_vector(250535472,28);
WHEN "1001101101" =>
manhi <= conv_std_logic_vector(9938,24);
manlo <= conv_std_logic_vector(253077793,28);
WHEN "1001101110" =>
manhi <= conv_std_logic_vector(9954,24);
manlo <= conv_std_logic_vector(255624211,28);
WHEN "1001101111" =>
manhi <= conv_std_logic_vector(9970,24);
manlo <= conv_std_logic_vector(258174728,28);
WHEN "1001110000" =>
manhi <= conv_std_logic_vector(9986,24);
manlo <= conv_std_logic_vector(260729344,28);
WHEN "1001110001" =>
manhi <= conv_std_logic_vector(10002,24);
manlo <= conv_std_logic_vector(263288057,28);
WHEN "1001110010" =>
manhi <= conv_std_logic_vector(10018,24);
manlo <= conv_std_logic_vector(265850870,28);
WHEN "1001110011" =>
manhi <= conv_std_logic_vector(10034,24);
manlo <= conv_std_logic_vector(268417780,28);
WHEN "1001110100" =>
manhi <= conv_std_logic_vector(10051,24);
manlo <= conv_std_logic_vector(2553334,28);
WHEN "1001110101" =>
manhi <= conv_std_logic_vector(10067,24);
manlo <= conv_std_logic_vector(5128441,28);
WHEN "1001110110" =>
manhi <= conv_std_logic_vector(10083,24);
manlo <= conv_std_logic_vector(7707647,28);
WHEN "1001110111" =>
manhi <= conv_std_logic_vector(10099,24);
manlo <= conv_std_logic_vector(10290952,28);
WHEN "1001111000" =>
manhi <= conv_std_logic_vector(10115,24);
manlo <= conv_std_logic_vector(12878355,28);
WHEN "1001111001" =>
manhi <= conv_std_logic_vector(10131,24);
manlo <= conv_std_logic_vector(15469857,28);
WHEN "1001111010" =>
manhi <= conv_std_logic_vector(10147,24);
manlo <= conv_std_logic_vector(18065457,28);
WHEN "1001111011" =>
manhi <= conv_std_logic_vector(10163,24);
manlo <= conv_std_logic_vector(20665155,28);
WHEN "1001111100" =>
manhi <= conv_std_logic_vector(10179,24);
manlo <= conv_std_logic_vector(23268952,28);
WHEN "1001111101" =>
manhi <= conv_std_logic_vector(10195,24);
manlo <= conv_std_logic_vector(25876847,28);
WHEN "1001111110" =>
manhi <= conv_std_logic_vector(10211,24);
manlo <= conv_std_logic_vector(28488841,28);
WHEN "1001111111" =>
manhi <= conv_std_logic_vector(10227,24);
manlo <= conv_std_logic_vector(31104934,28);
WHEN "1010000000" =>
manhi <= conv_std_logic_vector(10243,24);
manlo <= conv_std_logic_vector(33725125,28);
WHEN "1010000001" =>
manhi <= conv_std_logic_vector(10259,24);
manlo <= conv_std_logic_vector(36349414,28);
WHEN "1010000010" =>
manhi <= conv_std_logic_vector(10275,24);
manlo <= conv_std_logic_vector(38977802,28);
WHEN "1010000011" =>
manhi <= conv_std_logic_vector(10291,24);
manlo <= conv_std_logic_vector(41610288,28);
WHEN "1010000100" =>
manhi <= conv_std_logic_vector(10307,24);
manlo <= conv_std_logic_vector(44246873,28);
WHEN "1010000101" =>
manhi <= conv_std_logic_vector(10323,24);
manlo <= conv_std_logic_vector(46887557,28);
WHEN "1010000110" =>
manhi <= conv_std_logic_vector(10339,24);
manlo <= conv_std_logic_vector(49532339,28);
WHEN "1010000111" =>
manhi <= conv_std_logic_vector(10355,24);
manlo <= conv_std_logic_vector(52181219,28);
WHEN "1010001000" =>
manhi <= conv_std_logic_vector(10371,24);
manlo <= conv_std_logic_vector(54834198,28);
WHEN "1010001001" =>
manhi <= conv_std_logic_vector(10387,24);
manlo <= conv_std_logic_vector(57491276,28);
WHEN "1010001010" =>
manhi <= conv_std_logic_vector(10403,24);
manlo <= conv_std_logic_vector(60152452,28);
WHEN "1010001011" =>
manhi <= conv_std_logic_vector(10419,24);
manlo <= conv_std_logic_vector(62817727,28);
WHEN "1010001100" =>
manhi <= conv_std_logic_vector(10435,24);
manlo <= conv_std_logic_vector(65487100,28);
WHEN "1010001101" =>
manhi <= conv_std_logic_vector(10451,24);
manlo <= conv_std_logic_vector(68160572,28);
WHEN "1010001110" =>
manhi <= conv_std_logic_vector(10467,24);
manlo <= conv_std_logic_vector(70838142,28);
WHEN "1010001111" =>
manhi <= conv_std_logic_vector(10483,24);
manlo <= conv_std_logic_vector(73519811,28);
WHEN "1010010000" =>
manhi <= conv_std_logic_vector(10499,24);
manlo <= conv_std_logic_vector(76205578,28);
WHEN "1010010001" =>
manhi <= conv_std_logic_vector(10515,24);
manlo <= conv_std_logic_vector(78895444,28);
WHEN "1010010010" =>
manhi <= conv_std_logic_vector(10531,24);
manlo <= conv_std_logic_vector(81589409,28);
WHEN "1010010011" =>
manhi <= conv_std_logic_vector(10547,24);
manlo <= conv_std_logic_vector(84287472,28);
WHEN "1010010100" =>
manhi <= conv_std_logic_vector(10563,24);
manlo <= conv_std_logic_vector(86989633,28);
WHEN "1010010101" =>
manhi <= conv_std_logic_vector(10579,24);
manlo <= conv_std_logic_vector(89695894,28);
WHEN "1010010110" =>
manhi <= conv_std_logic_vector(10595,24);
manlo <= conv_std_logic_vector(92406252,28);
WHEN "1010010111" =>
manhi <= conv_std_logic_vector(10611,24);
manlo <= conv_std_logic_vector(95120710,28);
WHEN "1010011000" =>
manhi <= conv_std_logic_vector(10627,24);
manlo <= conv_std_logic_vector(97839266,28);
WHEN "1010011001" =>
manhi <= conv_std_logic_vector(10643,24);
manlo <= conv_std_logic_vector(100561920,28);
WHEN "1010011010" =>
manhi <= conv_std_logic_vector(10659,24);
manlo <= conv_std_logic_vector(103288674,28);
WHEN "1010011011" =>
manhi <= conv_std_logic_vector(10675,24);
manlo <= conv_std_logic_vector(106019525,28);
WHEN "1010011100" =>
manhi <= conv_std_logic_vector(10691,24);
manlo <= conv_std_logic_vector(108754476,28);
WHEN "1010011101" =>
manhi <= conv_std_logic_vector(10707,24);
manlo <= conv_std_logic_vector(111493525,28);
WHEN "1010011110" =>
manhi <= conv_std_logic_vector(10723,24);
manlo <= conv_std_logic_vector(114236673,28);
WHEN "1010011111" =>
manhi <= conv_std_logic_vector(10739,24);
manlo <= conv_std_logic_vector(116983919,28);
WHEN "1010100000" =>
manhi <= conv_std_logic_vector(10755,24);
manlo <= conv_std_logic_vector(119735264,28);
WHEN "1010100001" =>
manhi <= conv_std_logic_vector(10771,24);
manlo <= conv_std_logic_vector(122490707,28);
WHEN "1010100010" =>
manhi <= conv_std_logic_vector(10787,24);
manlo <= conv_std_logic_vector(125250249,28);
WHEN "1010100011" =>
manhi <= conv_std_logic_vector(10803,24);
manlo <= conv_std_logic_vector(128013890,28);
WHEN "1010100100" =>
manhi <= conv_std_logic_vector(10819,24);
manlo <= conv_std_logic_vector(130781629,28);
WHEN "1010100101" =>
manhi <= conv_std_logic_vector(10835,24);
manlo <= conv_std_logic_vector(133553468,28);
WHEN "1010100110" =>
manhi <= conv_std_logic_vector(10851,24);
manlo <= conv_std_logic_vector(136329404,28);
WHEN "1010100111" =>
manhi <= conv_std_logic_vector(10867,24);
manlo <= conv_std_logic_vector(139109440,28);
WHEN "1010101000" =>
manhi <= conv_std_logic_vector(10883,24);
manlo <= conv_std_logic_vector(141893574,28);
WHEN "1010101001" =>
manhi <= conv_std_logic_vector(10899,24);
manlo <= conv_std_logic_vector(144681806,28);
WHEN "1010101010" =>
manhi <= conv_std_logic_vector(10915,24);
manlo <= conv_std_logic_vector(147474137,28);
WHEN "1010101011" =>
manhi <= conv_std_logic_vector(10931,24);
manlo <= conv_std_logic_vector(150270567,28);
WHEN "1010101100" =>
manhi <= conv_std_logic_vector(10947,24);
manlo <= conv_std_logic_vector(153071096,28);
WHEN "1010101101" =>
manhi <= conv_std_logic_vector(10963,24);
manlo <= conv_std_logic_vector(155875723,28);
WHEN "1010101110" =>
manhi <= conv_std_logic_vector(10979,24);
manlo <= conv_std_logic_vector(158684449,28);
WHEN "1010101111" =>
manhi <= conv_std_logic_vector(10995,24);
manlo <= conv_std_logic_vector(161497274,28);
WHEN "1010110000" =>
manhi <= conv_std_logic_vector(11011,24);
manlo <= conv_std_logic_vector(164314197,28);
WHEN "1010110001" =>
manhi <= conv_std_logic_vector(11027,24);
manlo <= conv_std_logic_vector(167135219,28);
WHEN "1010110010" =>
manhi <= conv_std_logic_vector(11043,24);
manlo <= conv_std_logic_vector(169960340,28);
WHEN "1010110011" =>
manhi <= conv_std_logic_vector(11059,24);
manlo <= conv_std_logic_vector(172789560,28);
WHEN "1010110100" =>
manhi <= conv_std_logic_vector(11075,24);
manlo <= conv_std_logic_vector(175622878,28);
WHEN "1010110101" =>
manhi <= conv_std_logic_vector(11091,24);
manlo <= conv_std_logic_vector(178460295,28);
WHEN "1010110110" =>
manhi <= conv_std_logic_vector(11107,24);
manlo <= conv_std_logic_vector(181301810,28);
WHEN "1010110111" =>
manhi <= conv_std_logic_vector(11123,24);
manlo <= conv_std_logic_vector(184147424,28);
WHEN "1010111000" =>
manhi <= conv_std_logic_vector(11139,24);
manlo <= conv_std_logic_vector(186997137,28);
WHEN "1010111001" =>
manhi <= conv_std_logic_vector(11155,24);
manlo <= conv_std_logic_vector(189850949,28);
WHEN "1010111010" =>
manhi <= conv_std_logic_vector(11171,24);
manlo <= conv_std_logic_vector(192708860,28);
WHEN "1010111011" =>
manhi <= conv_std_logic_vector(11187,24);
manlo <= conv_std_logic_vector(195570869,28);
WHEN "1010111100" =>
manhi <= conv_std_logic_vector(11203,24);
manlo <= conv_std_logic_vector(198436977,28);
WHEN "1010111101" =>
manhi <= conv_std_logic_vector(11219,24);
manlo <= conv_std_logic_vector(201307183,28);
WHEN "1010111110" =>
manhi <= conv_std_logic_vector(11235,24);
manlo <= conv_std_logic_vector(204181489,28);
WHEN "1010111111" =>
manhi <= conv_std_logic_vector(11251,24);
manlo <= conv_std_logic_vector(207059893,28);
WHEN "1011000000" =>
manhi <= conv_std_logic_vector(11267,24);
manlo <= conv_std_logic_vector(209942395,28);
WHEN "1011000001" =>
manhi <= conv_std_logic_vector(11283,24);
manlo <= conv_std_logic_vector(212828997,28);
WHEN "1011000010" =>
manhi <= conv_std_logic_vector(11299,24);
manlo <= conv_std_logic_vector(215719697,28);
WHEN "1011000011" =>
manhi <= conv_std_logic_vector(11315,24);
manlo <= conv_std_logic_vector(218614497,28);
WHEN "1011000100" =>
manhi <= conv_std_logic_vector(11331,24);
manlo <= conv_std_logic_vector(221513394,28);
WHEN "1011000101" =>
manhi <= conv_std_logic_vector(11347,24);
manlo <= conv_std_logic_vector(224416391,28);
WHEN "1011000110" =>
manhi <= conv_std_logic_vector(11363,24);
manlo <= conv_std_logic_vector(227323486,28);
WHEN "1011000111" =>
manhi <= conv_std_logic_vector(11379,24);
manlo <= conv_std_logic_vector(230234681,28);
WHEN "1011001000" =>
manhi <= conv_std_logic_vector(11395,24);
manlo <= conv_std_logic_vector(233149974,28);
WHEN "1011001001" =>
manhi <= conv_std_logic_vector(11411,24);
manlo <= conv_std_logic_vector(236069365,28);
WHEN "1011001010" =>
manhi <= conv_std_logic_vector(11427,24);
manlo <= conv_std_logic_vector(238992856,28);
WHEN "1011001011" =>
manhi <= conv_std_logic_vector(11443,24);
manlo <= conv_std_logic_vector(241920445,28);
WHEN "1011001100" =>
manhi <= conv_std_logic_vector(11459,24);
manlo <= conv_std_logic_vector(244852133,28);
WHEN "1011001101" =>
manhi <= conv_std_logic_vector(11475,24);
manlo <= conv_std_logic_vector(247787920,28);
WHEN "1011001110" =>
manhi <= conv_std_logic_vector(11491,24);
manlo <= conv_std_logic_vector(250727806,28);
WHEN "1011001111" =>
manhi <= conv_std_logic_vector(11507,24);
manlo <= conv_std_logic_vector(253671790,28);
WHEN "1011010000" =>
manhi <= conv_std_logic_vector(11523,24);
manlo <= conv_std_logic_vector(256619874,28);
WHEN "1011010001" =>
manhi <= conv_std_logic_vector(11539,24);
manlo <= conv_std_logic_vector(259572056,28);
WHEN "1011010010" =>
manhi <= conv_std_logic_vector(11555,24);
manlo <= conv_std_logic_vector(262528337,28);
WHEN "1011010011" =>
manhi <= conv_std_logic_vector(11571,24);
manlo <= conv_std_logic_vector(265488717,28);
WHEN "1011010100" =>
manhi <= conv_std_logic_vector(11588,24);
manlo <= conv_std_logic_vector(17739,28);
WHEN "1011010101" =>
manhi <= conv_std_logic_vector(11604,24);
manlo <= conv_std_logic_vector(2986317,28);
WHEN "1011010110" =>
manhi <= conv_std_logic_vector(11620,24);
manlo <= conv_std_logic_vector(5958993,28);
WHEN "1011010111" =>
manhi <= conv_std_logic_vector(11636,24);
manlo <= conv_std_logic_vector(8935768,28);
WHEN "1011011000" =>
manhi <= conv_std_logic_vector(11652,24);
manlo <= conv_std_logic_vector(11916642,28);
WHEN "1011011001" =>
manhi <= conv_std_logic_vector(11668,24);
manlo <= conv_std_logic_vector(14901615,28);
WHEN "1011011010" =>
manhi <= conv_std_logic_vector(11684,24);
manlo <= conv_std_logic_vector(17890686,28);
WHEN "1011011011" =>
manhi <= conv_std_logic_vector(11700,24);
manlo <= conv_std_logic_vector(20883857,28);
WHEN "1011011100" =>
manhi <= conv_std_logic_vector(11716,24);
manlo <= conv_std_logic_vector(23881126,28);
WHEN "1011011101" =>
manhi <= conv_std_logic_vector(11732,24);
manlo <= conv_std_logic_vector(26882494,28);
WHEN "1011011110" =>
manhi <= conv_std_logic_vector(11748,24);
manlo <= conv_std_logic_vector(29887961,28);
WHEN "1011011111" =>
manhi <= conv_std_logic_vector(11764,24);
manlo <= conv_std_logic_vector(32897527,28);
WHEN "1011100000" =>
manhi <= conv_std_logic_vector(11780,24);
manlo <= conv_std_logic_vector(35911192,28);
WHEN "1011100001" =>
manhi <= conv_std_logic_vector(11796,24);
manlo <= conv_std_logic_vector(38928956,28);
WHEN "1011100010" =>
manhi <= conv_std_logic_vector(11812,24);
manlo <= conv_std_logic_vector(41950818,28);
WHEN "1011100011" =>
manhi <= conv_std_logic_vector(11828,24);
manlo <= conv_std_logic_vector(44976780,28);
WHEN "1011100100" =>
manhi <= conv_std_logic_vector(11844,24);
manlo <= conv_std_logic_vector(48006840,28);
WHEN "1011100101" =>
manhi <= conv_std_logic_vector(11860,24);
manlo <= conv_std_logic_vector(51040999,28);
WHEN "1011100110" =>
manhi <= conv_std_logic_vector(11876,24);
manlo <= conv_std_logic_vector(54079258,28);
WHEN "1011100111" =>
manhi <= conv_std_logic_vector(11892,24);
manlo <= conv_std_logic_vector(57121615,28);
WHEN "1011101000" =>
manhi <= conv_std_logic_vector(11908,24);
manlo <= conv_std_logic_vector(60168071,28);
WHEN "1011101001" =>
manhi <= conv_std_logic_vector(11924,24);
manlo <= conv_std_logic_vector(63218625,28);
WHEN "1011101010" =>
manhi <= conv_std_logic_vector(11940,24);
manlo <= conv_std_logic_vector(66273279,28);
WHEN "1011101011" =>
manhi <= conv_std_logic_vector(11956,24);
manlo <= conv_std_logic_vector(69332032,28);
WHEN "1011101100" =>
manhi <= conv_std_logic_vector(11972,24);
manlo <= conv_std_logic_vector(72394883,28);
WHEN "1011101101" =>
manhi <= conv_std_logic_vector(11988,24);
manlo <= conv_std_logic_vector(75461834,28);
WHEN "1011101110" =>
manhi <= conv_std_logic_vector(12004,24);
manlo <= conv_std_logic_vector(78532883,28);
WHEN "1011101111" =>
manhi <= conv_std_logic_vector(12020,24);
manlo <= conv_std_logic_vector(81608032,28);
WHEN "1011110000" =>
manhi <= conv_std_logic_vector(12036,24);
manlo <= conv_std_logic_vector(84687279,28);
WHEN "1011110001" =>
manhi <= conv_std_logic_vector(12052,24);
manlo <= conv_std_logic_vector(87770625,28);
WHEN "1011110010" =>
manhi <= conv_std_logic_vector(12068,24);
manlo <= conv_std_logic_vector(90858070,28);
WHEN "1011110011" =>
manhi <= conv_std_logic_vector(12084,24);
manlo <= conv_std_logic_vector(93949615,28);
WHEN "1011110100" =>
manhi <= conv_std_logic_vector(12100,24);
manlo <= conv_std_logic_vector(97045258,28);
WHEN "1011110101" =>
manhi <= conv_std_logic_vector(12116,24);
manlo <= conv_std_logic_vector(100145000,28);
WHEN "1011110110" =>
manhi <= conv_std_logic_vector(12132,24);
manlo <= conv_std_logic_vector(103248841,28);
WHEN "1011110111" =>
manhi <= conv_std_logic_vector(12148,24);
manlo <= conv_std_logic_vector(106356781,28);
WHEN "1011111000" =>
manhi <= conv_std_logic_vector(12164,24);
manlo <= conv_std_logic_vector(109468819,28);
WHEN "1011111001" =>
manhi <= conv_std_logic_vector(12180,24);
manlo <= conv_std_logic_vector(112584957,28);
WHEN "1011111010" =>
manhi <= conv_std_logic_vector(12196,24);
manlo <= conv_std_logic_vector(115705194,28);
WHEN "1011111011" =>
manhi <= conv_std_logic_vector(12212,24);
manlo <= conv_std_logic_vector(118829530,28);
WHEN "1011111100" =>
manhi <= conv_std_logic_vector(12228,24);
manlo <= conv_std_logic_vector(121957965,28);
WHEN "1011111101" =>
manhi <= conv_std_logic_vector(12244,24);
manlo <= conv_std_logic_vector(125090499,28);
WHEN "1011111110" =>
manhi <= conv_std_logic_vector(12260,24);
manlo <= conv_std_logic_vector(128227131,28);
WHEN "1011111111" =>
manhi <= conv_std_logic_vector(12276,24);
manlo <= conv_std_logic_vector(131367863,28);
WHEN "1100000000" =>
manhi <= conv_std_logic_vector(12292,24);
manlo <= conv_std_logic_vector(134512694,28);
WHEN "1100000001" =>
manhi <= conv_std_logic_vector(12308,24);
manlo <= conv_std_logic_vector(137661624,28);
WHEN "1100000010" =>
manhi <= conv_std_logic_vector(12324,24);
manlo <= conv_std_logic_vector(140814653,28);
WHEN "1100000011" =>
manhi <= conv_std_logic_vector(12340,24);
manlo <= conv_std_logic_vector(143971780,28);
WHEN "1100000100" =>
manhi <= conv_std_logic_vector(12356,24);
manlo <= conv_std_logic_vector(147133007,28);
WHEN "1100000101" =>
manhi <= conv_std_logic_vector(12372,24);
manlo <= conv_std_logic_vector(150298333,28);
WHEN "1100000110" =>
manhi <= conv_std_logic_vector(12388,24);
manlo <= conv_std_logic_vector(153467758,28);
WHEN "1100000111" =>
manhi <= conv_std_logic_vector(12404,24);
manlo <= conv_std_logic_vector(156641282,28);
WHEN "1100001000" =>
manhi <= conv_std_logic_vector(12420,24);
manlo <= conv_std_logic_vector(159818905,28);
WHEN "1100001001" =>
manhi <= conv_std_logic_vector(12436,24);
manlo <= conv_std_logic_vector(163000627,28);
WHEN "1100001010" =>
manhi <= conv_std_logic_vector(12452,24);
manlo <= conv_std_logic_vector(166186448,28);
WHEN "1100001011" =>
manhi <= conv_std_logic_vector(12468,24);
manlo <= conv_std_logic_vector(169376368,28);
WHEN "1100001100" =>
manhi <= conv_std_logic_vector(12484,24);
manlo <= conv_std_logic_vector(172570387,28);
WHEN "1100001101" =>
manhi <= conv_std_logic_vector(12500,24);
manlo <= conv_std_logic_vector(175768505,28);
WHEN "1100001110" =>
manhi <= conv_std_logic_vector(12516,24);
manlo <= conv_std_logic_vector(178970722,28);
WHEN "1100001111" =>
manhi <= conv_std_logic_vector(12532,24);
manlo <= conv_std_logic_vector(182177038,28);
WHEN "1100010000" =>
manhi <= conv_std_logic_vector(12548,24);
manlo <= conv_std_logic_vector(185387453,28);
WHEN "1100010001" =>
manhi <= conv_std_logic_vector(12564,24);
manlo <= conv_std_logic_vector(188601968,28);
WHEN "1100010010" =>
manhi <= conv_std_logic_vector(12580,24);
manlo <= conv_std_logic_vector(191820581,28);
WHEN "1100010011" =>
manhi <= conv_std_logic_vector(12596,24);
manlo <= conv_std_logic_vector(195043294,28);
WHEN "1100010100" =>
manhi <= conv_std_logic_vector(12612,24);
manlo <= conv_std_logic_vector(198270105,28);
WHEN "1100010101" =>
manhi <= conv_std_logic_vector(12628,24);
manlo <= conv_std_logic_vector(201501016,28);
WHEN "1100010110" =>
manhi <= conv_std_logic_vector(12644,24);
manlo <= conv_std_logic_vector(204736025,28);
WHEN "1100010111" =>
manhi <= conv_std_logic_vector(12660,24);
manlo <= conv_std_logic_vector(207975134,28);
WHEN "1100011000" =>
manhi <= conv_std_logic_vector(12676,24);
manlo <= conv_std_logic_vector(211218342,28);
WHEN "1100011001" =>
manhi <= conv_std_logic_vector(12692,24);
manlo <= conv_std_logic_vector(214465649,28);
WHEN "1100011010" =>
manhi <= conv_std_logic_vector(12708,24);
manlo <= conv_std_logic_vector(217717055,28);
WHEN "1100011011" =>
manhi <= conv_std_logic_vector(12724,24);
manlo <= conv_std_logic_vector(220972560,28);
WHEN "1100011100" =>
manhi <= conv_std_logic_vector(12740,24);
manlo <= conv_std_logic_vector(224232165,28);
WHEN "1100011101" =>
manhi <= conv_std_logic_vector(12756,24);
manlo <= conv_std_logic_vector(227495868,28);
WHEN "1100011110" =>
manhi <= conv_std_logic_vector(12772,24);
manlo <= conv_std_logic_vector(230763671,28);
WHEN "1100011111" =>
manhi <= conv_std_logic_vector(12788,24);
manlo <= conv_std_logic_vector(234035572,28);
WHEN "1100100000" =>
manhi <= conv_std_logic_vector(12804,24);
manlo <= conv_std_logic_vector(237311573,28);
WHEN "1100100001" =>
manhi <= conv_std_logic_vector(12820,24);
manlo <= conv_std_logic_vector(240591673,28);
WHEN "1100100010" =>
manhi <= conv_std_logic_vector(12836,24);
manlo <= conv_std_logic_vector(243875872,28);
WHEN "1100100011" =>
manhi <= conv_std_logic_vector(12852,24);
manlo <= conv_std_logic_vector(247164170,28);
WHEN "1100100100" =>
manhi <= conv_std_logic_vector(12868,24);
manlo <= conv_std_logic_vector(250456567,28);
WHEN "1100100101" =>
manhi <= conv_std_logic_vector(12884,24);
manlo <= conv_std_logic_vector(253753064,28);
WHEN "1100100110" =>
manhi <= conv_std_logic_vector(12900,24);
manlo <= conv_std_logic_vector(257053659,28);
WHEN "1100100111" =>
manhi <= conv_std_logic_vector(12916,24);
manlo <= conv_std_logic_vector(260358354,28);
WHEN "1100101000" =>
manhi <= conv_std_logic_vector(12932,24);
manlo <= conv_std_logic_vector(263667148,28);
WHEN "1100101001" =>
manhi <= conv_std_logic_vector(12948,24);
manlo <= conv_std_logic_vector(266980041,28);
WHEN "1100101010" =>
manhi <= conv_std_logic_vector(12965,24);
manlo <= conv_std_logic_vector(1861577,28);
WHEN "1100101011" =>
manhi <= conv_std_logic_vector(12981,24);
manlo <= conv_std_logic_vector(5182668,28);
WHEN "1100101100" =>
manhi <= conv_std_logic_vector(12997,24);
manlo <= conv_std_logic_vector(8507859,28);
WHEN "1100101101" =>
manhi <= conv_std_logic_vector(13013,24);
manlo <= conv_std_logic_vector(11837149,28);
WHEN "1100101110" =>
manhi <= conv_std_logic_vector(13029,24);
manlo <= conv_std_logic_vector(15170538,28);
WHEN "1100101111" =>
manhi <= conv_std_logic_vector(13045,24);
manlo <= conv_std_logic_vector(18508026,28);
WHEN "1100110000" =>
manhi <= conv_std_logic_vector(13061,24);
manlo <= conv_std_logic_vector(21849613,28);
WHEN "1100110001" =>
manhi <= conv_std_logic_vector(13077,24);
manlo <= conv_std_logic_vector(25195299,28);
WHEN "1100110010" =>
manhi <= conv_std_logic_vector(13093,24);
manlo <= conv_std_logic_vector(28545085,28);
WHEN "1100110011" =>
manhi <= conv_std_logic_vector(13109,24);
manlo <= conv_std_logic_vector(31898970,28);
WHEN "1100110100" =>
manhi <= conv_std_logic_vector(13125,24);
manlo <= conv_std_logic_vector(35256954,28);
WHEN "1100110101" =>
manhi <= conv_std_logic_vector(13141,24);
manlo <= conv_std_logic_vector(38619037,28);
WHEN "1100110110" =>
manhi <= conv_std_logic_vector(13157,24);
manlo <= conv_std_logic_vector(41985219,28);
WHEN "1100110111" =>
manhi <= conv_std_logic_vector(13173,24);
manlo <= conv_std_logic_vector(45355501,28);
WHEN "1100111000" =>
manhi <= conv_std_logic_vector(13189,24);
manlo <= conv_std_logic_vector(48729882,28);
WHEN "1100111001" =>
manhi <= conv_std_logic_vector(13205,24);
manlo <= conv_std_logic_vector(52108362,28);
WHEN "1100111010" =>
manhi <= conv_std_logic_vector(13221,24);
manlo <= conv_std_logic_vector(55490941,28);
WHEN "1100111011" =>
manhi <= conv_std_logic_vector(13237,24);
manlo <= conv_std_logic_vector(58877620,28);
WHEN "1100111100" =>
manhi <= conv_std_logic_vector(13253,24);
manlo <= conv_std_logic_vector(62268398,28);
WHEN "1100111101" =>
manhi <= conv_std_logic_vector(13269,24);
manlo <= conv_std_logic_vector(65663275,28);
WHEN "1100111110" =>
manhi <= conv_std_logic_vector(13285,24);
manlo <= conv_std_logic_vector(69062251,28);
WHEN "1100111111" =>
manhi <= conv_std_logic_vector(13301,24);
manlo <= conv_std_logic_vector(72465326,28);
WHEN "1101000000" =>
manhi <= conv_std_logic_vector(13317,24);
manlo <= conv_std_logic_vector(75872501,28);
WHEN "1101000001" =>
manhi <= conv_std_logic_vector(13333,24);
manlo <= conv_std_logic_vector(79283775,28);
WHEN "1101000010" =>
manhi <= conv_std_logic_vector(13349,24);
manlo <= conv_std_logic_vector(82699148,28);
WHEN "1101000011" =>
manhi <= conv_std_logic_vector(13365,24);
manlo <= conv_std_logic_vector(86118621,28);
WHEN "1101000100" =>
manhi <= conv_std_logic_vector(13381,24);
manlo <= conv_std_logic_vector(89542193,28);
WHEN "1101000101" =>
manhi <= conv_std_logic_vector(13397,24);
manlo <= conv_std_logic_vector(92969864,28);
WHEN "1101000110" =>
manhi <= conv_std_logic_vector(13413,24);
manlo <= conv_std_logic_vector(96401634,28);
WHEN "1101000111" =>
manhi <= conv_std_logic_vector(13429,24);
manlo <= conv_std_logic_vector(99837503,28);
WHEN "1101001000" =>
manhi <= conv_std_logic_vector(13445,24);
manlo <= conv_std_logic_vector(103277472,28);
WHEN "1101001001" =>
manhi <= conv_std_logic_vector(13461,24);
manlo <= conv_std_logic_vector(106721540,28);
WHEN "1101001010" =>
manhi <= conv_std_logic_vector(13477,24);
manlo <= conv_std_logic_vector(110169708,28);
WHEN "1101001011" =>
manhi <= conv_std_logic_vector(13493,24);
manlo <= conv_std_logic_vector(113621975,28);
WHEN "1101001100" =>
manhi <= conv_std_logic_vector(13509,24);
manlo <= conv_std_logic_vector(117078341,28);
WHEN "1101001101" =>
manhi <= conv_std_logic_vector(13525,24);
manlo <= conv_std_logic_vector(120538806,28);
WHEN "1101001110" =>
manhi <= conv_std_logic_vector(13541,24);
manlo <= conv_std_logic_vector(124003370,28);
WHEN "1101001111" =>
manhi <= conv_std_logic_vector(13557,24);
manlo <= conv_std_logic_vector(127472034,28);
WHEN "1101010000" =>
manhi <= conv_std_logic_vector(13573,24);
manlo <= conv_std_logic_vector(130944798,28);
WHEN "1101010001" =>
manhi <= conv_std_logic_vector(13589,24);
manlo <= conv_std_logic_vector(134421660,28);
WHEN "1101010010" =>
manhi <= conv_std_logic_vector(13605,24);
manlo <= conv_std_logic_vector(137902622,28);
WHEN "1101010011" =>
manhi <= conv_std_logic_vector(13621,24);
manlo <= conv_std_logic_vector(141387683,28);
WHEN "1101010100" =>
manhi <= conv_std_logic_vector(13637,24);
manlo <= conv_std_logic_vector(144876844,28);
WHEN "1101010101" =>
manhi <= conv_std_logic_vector(13653,24);
manlo <= conv_std_logic_vector(148370104,28);
WHEN "1101010110" =>
manhi <= conv_std_logic_vector(13669,24);
manlo <= conv_std_logic_vector(151867463,28);
WHEN "1101010111" =>
manhi <= conv_std_logic_vector(13685,24);
manlo <= conv_std_logic_vector(155368921,28);
WHEN "1101011000" =>
manhi <= conv_std_logic_vector(13701,24);
manlo <= conv_std_logic_vector(158874479,28);
WHEN "1101011001" =>
manhi <= conv_std_logic_vector(13717,24);
manlo <= conv_std_logic_vector(162384136,28);
WHEN "1101011010" =>
manhi <= conv_std_logic_vector(13733,24);
manlo <= conv_std_logic_vector(165897893,28);
WHEN "1101011011" =>
manhi <= conv_std_logic_vector(13749,24);
manlo <= conv_std_logic_vector(169415749,28);
WHEN "1101011100" =>
manhi <= conv_std_logic_vector(13765,24);
manlo <= conv_std_logic_vector(172937704,28);
WHEN "1101011101" =>
manhi <= conv_std_logic_vector(13781,24);
manlo <= conv_std_logic_vector(176463758,28);
WHEN "1101011110" =>
manhi <= conv_std_logic_vector(13797,24);
manlo <= conv_std_logic_vector(179993912,28);
WHEN "1101011111" =>
manhi <= conv_std_logic_vector(13813,24);
manlo <= conv_std_logic_vector(183528166,28);
WHEN "1101100000" =>
manhi <= conv_std_logic_vector(13829,24);
manlo <= conv_std_logic_vector(187066519,28);
WHEN "1101100001" =>
manhi <= conv_std_logic_vector(13845,24);
manlo <= conv_std_logic_vector(190608971,28);
WHEN "1101100010" =>
manhi <= conv_std_logic_vector(13861,24);
manlo <= conv_std_logic_vector(194155522,28);
WHEN "1101100011" =>
manhi <= conv_std_logic_vector(13877,24);
manlo <= conv_std_logic_vector(197706173,28);
WHEN "1101100100" =>
manhi <= conv_std_logic_vector(13893,24);
manlo <= conv_std_logic_vector(201260923,28);
WHEN "1101100101" =>
manhi <= conv_std_logic_vector(13909,24);
manlo <= conv_std_logic_vector(204819773,28);
WHEN "1101100110" =>
manhi <= conv_std_logic_vector(13925,24);
manlo <= conv_std_logic_vector(208382722,28);
WHEN "1101100111" =>
manhi <= conv_std_logic_vector(13941,24);
manlo <= conv_std_logic_vector(211949770,28);
WHEN "1101101000" =>
manhi <= conv_std_logic_vector(13957,24);
manlo <= conv_std_logic_vector(215520918,28);
WHEN "1101101001" =>
manhi <= conv_std_logic_vector(13973,24);
manlo <= conv_std_logic_vector(219096165,28);
WHEN "1101101010" =>
manhi <= conv_std_logic_vector(13989,24);
manlo <= conv_std_logic_vector(222675512,28);
WHEN "1101101011" =>
manhi <= conv_std_logic_vector(14005,24);
manlo <= conv_std_logic_vector(226258958,28);
WHEN "1101101100" =>
manhi <= conv_std_logic_vector(14021,24);
manlo <= conv_std_logic_vector(229846504,28);
WHEN "1101101101" =>
manhi <= conv_std_logic_vector(14037,24);
manlo <= conv_std_logic_vector(233438148,28);
WHEN "1101101110" =>
manhi <= conv_std_logic_vector(14053,24);
manlo <= conv_std_logic_vector(237033893,28);
WHEN "1101101111" =>
manhi <= conv_std_logic_vector(14069,24);
manlo <= conv_std_logic_vector(240633737,28);
WHEN "1101110000" =>
manhi <= conv_std_logic_vector(14085,24);
manlo <= conv_std_logic_vector(244237680,28);
WHEN "1101110001" =>
manhi <= conv_std_logic_vector(14101,24);
manlo <= conv_std_logic_vector(247845722,28);
WHEN "1101110010" =>
manhi <= conv_std_logic_vector(14117,24);
manlo <= conv_std_logic_vector(251457864,28);
WHEN "1101110011" =>
manhi <= conv_std_logic_vector(14133,24);
manlo <= conv_std_logic_vector(255074106,28);
WHEN "1101110100" =>
manhi <= conv_std_logic_vector(14149,24);
manlo <= conv_std_logic_vector(258694447,28);
WHEN "1101110101" =>
manhi <= conv_std_logic_vector(14165,24);
manlo <= conv_std_logic_vector(262318887,28);
WHEN "1101110110" =>
manhi <= conv_std_logic_vector(14181,24);
manlo <= conv_std_logic_vector(265947427,28);
WHEN "1101110111" =>
manhi <= conv_std_logic_vector(14198,24);
manlo <= conv_std_logic_vector(1144611,28);
WHEN "1101111000" =>
manhi <= conv_std_logic_vector(14214,24);
manlo <= conv_std_logic_vector(4781350,28);
WHEN "1101111001" =>
manhi <= conv_std_logic_vector(14230,24);
manlo <= conv_std_logic_vector(8422188,28);
WHEN "1101111010" =>
manhi <= conv_std_logic_vector(14246,24);
manlo <= conv_std_logic_vector(12067126,28);
WHEN "1101111011" =>
manhi <= conv_std_logic_vector(14262,24);
manlo <= conv_std_logic_vector(15716163,28);
WHEN "1101111100" =>
manhi <= conv_std_logic_vector(14278,24);
manlo <= conv_std_logic_vector(19369300,28);
WHEN "1101111101" =>
manhi <= conv_std_logic_vector(14294,24);
manlo <= conv_std_logic_vector(23026536,28);
WHEN "1101111110" =>
manhi <= conv_std_logic_vector(14310,24);
manlo <= conv_std_logic_vector(26687871,28);
WHEN "1101111111" =>
manhi <= conv_std_logic_vector(14326,24);
manlo <= conv_std_logic_vector(30353307,28);
WHEN "1110000000" =>
manhi <= conv_std_logic_vector(14342,24);
manlo <= conv_std_logic_vector(34022841,28);
WHEN "1110000001" =>
manhi <= conv_std_logic_vector(14358,24);
manlo <= conv_std_logic_vector(37696476,28);
WHEN "1110000010" =>
manhi <= conv_std_logic_vector(14374,24);
manlo <= conv_std_logic_vector(41374209,28);
WHEN "1110000011" =>
manhi <= conv_std_logic_vector(14390,24);
manlo <= conv_std_logic_vector(45056043,28);
WHEN "1110000100" =>
manhi <= conv_std_logic_vector(14406,24);
manlo <= conv_std_logic_vector(48741975,28);
WHEN "1110000101" =>
manhi <= conv_std_logic_vector(14422,24);
manlo <= conv_std_logic_vector(52432007,28);
WHEN "1110000110" =>
manhi <= conv_std_logic_vector(14438,24);
manlo <= conv_std_logic_vector(56126139,28);
WHEN "1110000111" =>
manhi <= conv_std_logic_vector(14454,24);
manlo <= conv_std_logic_vector(59824371,28);
WHEN "1110001000" =>
manhi <= conv_std_logic_vector(14470,24);
manlo <= conv_std_logic_vector(63526701,28);
WHEN "1110001001" =>
manhi <= conv_std_logic_vector(14486,24);
manlo <= conv_std_logic_vector(67233132,28);
WHEN "1110001010" =>
manhi <= conv_std_logic_vector(14502,24);
manlo <= conv_std_logic_vector(70943662,28);
WHEN "1110001011" =>
manhi <= conv_std_logic_vector(14518,24);
manlo <= conv_std_logic_vector(74658291,28);
WHEN "1110001100" =>
manhi <= conv_std_logic_vector(14534,24);
manlo <= conv_std_logic_vector(78377020,28);
WHEN "1110001101" =>
manhi <= conv_std_logic_vector(14550,24);
manlo <= conv_std_logic_vector(82099849,28);
WHEN "1110001110" =>
manhi <= conv_std_logic_vector(14566,24);
manlo <= conv_std_logic_vector(85826777,28);
WHEN "1110001111" =>
manhi <= conv_std_logic_vector(14582,24);
manlo <= conv_std_logic_vector(89557804,28);
WHEN "1110010000" =>
manhi <= conv_std_logic_vector(14598,24);
manlo <= conv_std_logic_vector(93292931,28);
WHEN "1110010001" =>
manhi <= conv_std_logic_vector(14614,24);
manlo <= conv_std_logic_vector(97032158,28);
WHEN "1110010010" =>
manhi <= conv_std_logic_vector(14630,24);
manlo <= conv_std_logic_vector(100775484,28);
WHEN "1110010011" =>
manhi <= conv_std_logic_vector(14646,24);
manlo <= conv_std_logic_vector(104522910,28);
WHEN "1110010100" =>
manhi <= conv_std_logic_vector(14662,24);
manlo <= conv_std_logic_vector(108274436,28);
WHEN "1110010101" =>
manhi <= conv_std_logic_vector(14678,24);
manlo <= conv_std_logic_vector(112030061,28);
WHEN "1110010110" =>
manhi <= conv_std_logic_vector(14694,24);
manlo <= conv_std_logic_vector(115789786,28);
WHEN "1110010111" =>
manhi <= conv_std_logic_vector(14710,24);
manlo <= conv_std_logic_vector(119553610,28);
WHEN "1110011000" =>
manhi <= conv_std_logic_vector(14726,24);
manlo <= conv_std_logic_vector(123321534,28);
WHEN "1110011001" =>
manhi <= conv_std_logic_vector(14742,24);
manlo <= conv_std_logic_vector(127093557,28);
WHEN "1110011010" =>
manhi <= conv_std_logic_vector(14758,24);
manlo <= conv_std_logic_vector(130869680,28);
WHEN "1110011011" =>
manhi <= conv_std_logic_vector(14774,24);
manlo <= conv_std_logic_vector(134649903,28);
WHEN "1110011100" =>
manhi <= conv_std_logic_vector(14790,24);
manlo <= conv_std_logic_vector(138434225,28);
WHEN "1110011101" =>
manhi <= conv_std_logic_vector(14806,24);
manlo <= conv_std_logic_vector(142222647,28);
WHEN "1110011110" =>
manhi <= conv_std_logic_vector(14822,24);
manlo <= conv_std_logic_vector(146015168,28);
WHEN "1110011111" =>
manhi <= conv_std_logic_vector(14838,24);
manlo <= conv_std_logic_vector(149811789,28);
WHEN "1110100000" =>
manhi <= conv_std_logic_vector(14854,24);
manlo <= conv_std_logic_vector(153612510,28);
WHEN "1110100001" =>
manhi <= conv_std_logic_vector(14870,24);
manlo <= conv_std_logic_vector(157417330,28);
WHEN "1110100010" =>
manhi <= conv_std_logic_vector(14886,24);
manlo <= conv_std_logic_vector(161226250,28);
WHEN "1110100011" =>
manhi <= conv_std_logic_vector(14902,24);
manlo <= conv_std_logic_vector(165039270,28);
WHEN "1110100100" =>
manhi <= conv_std_logic_vector(14918,24);
manlo <= conv_std_logic_vector(168856389,28);
WHEN "1110100101" =>
manhi <= conv_std_logic_vector(14934,24);
manlo <= conv_std_logic_vector(172677608,28);
WHEN "1110100110" =>
manhi <= conv_std_logic_vector(14950,24);
manlo <= conv_std_logic_vector(176502926,28);
WHEN "1110100111" =>
manhi <= conv_std_logic_vector(14966,24);
manlo <= conv_std_logic_vector(180332344,28);
WHEN "1110101000" =>
manhi <= conv_std_logic_vector(14982,24);
manlo <= conv_std_logic_vector(184165862,28);
WHEN "1110101001" =>
manhi <= conv_std_logic_vector(14998,24);
manlo <= conv_std_logic_vector(188003480,28);
WHEN "1110101010" =>
manhi <= conv_std_logic_vector(15014,24);
manlo <= conv_std_logic_vector(191845197,28);
WHEN "1110101011" =>
manhi <= conv_std_logic_vector(15030,24);
manlo <= conv_std_logic_vector(195691014,28);
WHEN "1110101100" =>
manhi <= conv_std_logic_vector(15046,24);
manlo <= conv_std_logic_vector(199540930,28);
WHEN "1110101101" =>
manhi <= conv_std_logic_vector(15062,24);
manlo <= conv_std_logic_vector(203394946,28);
WHEN "1110101110" =>
manhi <= conv_std_logic_vector(15078,24);
manlo <= conv_std_logic_vector(207253062,28);
WHEN "1110101111" =>
manhi <= conv_std_logic_vector(15094,24);
manlo <= conv_std_logic_vector(211115277,28);
WHEN "1110110000" =>
manhi <= conv_std_logic_vector(15110,24);
manlo <= conv_std_logic_vector(214981593,28);
WHEN "1110110001" =>
manhi <= conv_std_logic_vector(15126,24);
manlo <= conv_std_logic_vector(218852007,28);
WHEN "1110110010" =>
manhi <= conv_std_logic_vector(15142,24);
manlo <= conv_std_logic_vector(222726522,28);
WHEN "1110110011" =>
manhi <= conv_std_logic_vector(15158,24);
manlo <= conv_std_logic_vector(226605136,28);
WHEN "1110110100" =>
manhi <= conv_std_logic_vector(15174,24);
manlo <= conv_std_logic_vector(230487850,28);
WHEN "1110110101" =>
manhi <= conv_std_logic_vector(15190,24);
manlo <= conv_std_logic_vector(234374664,28);
WHEN "1110110110" =>
manhi <= conv_std_logic_vector(15206,24);
manlo <= conv_std_logic_vector(238265577,28);
WHEN "1110110111" =>
manhi <= conv_std_logic_vector(15222,24);
manlo <= conv_std_logic_vector(242160590,28);
WHEN "1110111000" =>
manhi <= conv_std_logic_vector(15238,24);
manlo <= conv_std_logic_vector(246059703,28);
WHEN "1110111001" =>
manhi <= conv_std_logic_vector(15254,24);
manlo <= conv_std_logic_vector(249962916,28);
WHEN "1110111010" =>
manhi <= conv_std_logic_vector(15270,24);
manlo <= conv_std_logic_vector(253870228,28);
WHEN "1110111011" =>
manhi <= conv_std_logic_vector(15286,24);
manlo <= conv_std_logic_vector(257781640,28);
WHEN "1110111100" =>
manhi <= conv_std_logic_vector(15302,24);
manlo <= conv_std_logic_vector(261697152,28);
WHEN "1110111101" =>
manhi <= conv_std_logic_vector(15318,24);
manlo <= conv_std_logic_vector(265616763,28);
WHEN "1110111110" =>
manhi <= conv_std_logic_vector(15335,24);
manlo <= conv_std_logic_vector(1105018,28);
WHEN "1110111111" =>
manhi <= conv_std_logic_vector(15351,24);
manlo <= conv_std_logic_vector(5032829,28);
WHEN "1111000000" =>
manhi <= conv_std_logic_vector(15367,24);
manlo <= conv_std_logic_vector(8964740,28);
WHEN "1111000001" =>
manhi <= conv_std_logic_vector(15383,24);
manlo <= conv_std_logic_vector(12900750,28);
WHEN "1111000010" =>
manhi <= conv_std_logic_vector(15399,24);
manlo <= conv_std_logic_vector(16840860,28);
WHEN "1111000011" =>
manhi <= conv_std_logic_vector(15415,24);
manlo <= conv_std_logic_vector(20785070,28);
WHEN "1111000100" =>
manhi <= conv_std_logic_vector(15431,24);
manlo <= conv_std_logic_vector(24733380,28);
WHEN "1111000101" =>
manhi <= conv_std_logic_vector(15447,24);
manlo <= conv_std_logic_vector(28685790,28);
WHEN "1111000110" =>
manhi <= conv_std_logic_vector(15463,24);
manlo <= conv_std_logic_vector(32642299,28);
WHEN "1111000111" =>
manhi <= conv_std_logic_vector(15479,24);
manlo <= conv_std_logic_vector(36602908,28);
WHEN "1111001000" =>
manhi <= conv_std_logic_vector(15495,24);
manlo <= conv_std_logic_vector(40567617,28);
WHEN "1111001001" =>
manhi <= conv_std_logic_vector(15511,24);
manlo <= conv_std_logic_vector(44536425,28);
WHEN "1111001010" =>
manhi <= conv_std_logic_vector(15527,24);
manlo <= conv_std_logic_vector(48509334,28);
WHEN "1111001011" =>
manhi <= conv_std_logic_vector(15543,24);
manlo <= conv_std_logic_vector(52486342,28);
WHEN "1111001100" =>
manhi <= conv_std_logic_vector(15559,24);
manlo <= conv_std_logic_vector(56467450,28);
WHEN "1111001101" =>
manhi <= conv_std_logic_vector(15575,24);
manlo <= conv_std_logic_vector(60452657,28);
WHEN "1111001110" =>
manhi <= conv_std_logic_vector(15591,24);
manlo <= conv_std_logic_vector(64441965,28);
WHEN "1111001111" =>
manhi <= conv_std_logic_vector(15607,24);
manlo <= conv_std_logic_vector(68435372,28);
WHEN "1111010000" =>
manhi <= conv_std_logic_vector(15623,24);
manlo <= conv_std_logic_vector(72432880,28);
WHEN "1111010001" =>
manhi <= conv_std_logic_vector(15639,24);
manlo <= conv_std_logic_vector(76434487,28);
WHEN "1111010010" =>
manhi <= conv_std_logic_vector(15655,24);
manlo <= conv_std_logic_vector(80440193,28);
WHEN "1111010011" =>
manhi <= conv_std_logic_vector(15671,24);
manlo <= conv_std_logic_vector(84450000,28);
WHEN "1111010100" =>
manhi <= conv_std_logic_vector(15687,24);
manlo <= conv_std_logic_vector(88463906,28);
WHEN "1111010101" =>
manhi <= conv_std_logic_vector(15703,24);
manlo <= conv_std_logic_vector(92481913,28);
WHEN "1111010110" =>
manhi <= conv_std_logic_vector(15719,24);
manlo <= conv_std_logic_vector(96504019,28);
WHEN "1111010111" =>
manhi <= conv_std_logic_vector(15735,24);
manlo <= conv_std_logic_vector(100530225,28);
WHEN "1111011000" =>
manhi <= conv_std_logic_vector(15751,24);
manlo <= conv_std_logic_vector(104560531,28);
WHEN "1111011001" =>
manhi <= conv_std_logic_vector(15767,24);
manlo <= conv_std_logic_vector(108594936,28);
WHEN "1111011010" =>
manhi <= conv_std_logic_vector(15783,24);
manlo <= conv_std_logic_vector(112633442,28);
WHEN "1111011011" =>
manhi <= conv_std_logic_vector(15799,24);
manlo <= conv_std_logic_vector(116676047,28);
WHEN "1111011100" =>
manhi <= conv_std_logic_vector(15815,24);
manlo <= conv_std_logic_vector(120722752,28);
WHEN "1111011101" =>
manhi <= conv_std_logic_vector(15831,24);
manlo <= conv_std_logic_vector(124773557,28);
WHEN "1111011110" =>
manhi <= conv_std_logic_vector(15847,24);
manlo <= conv_std_logic_vector(128828462,28);
WHEN "1111011111" =>
manhi <= conv_std_logic_vector(15863,24);
manlo <= conv_std_logic_vector(132887467,28);
WHEN "1111100000" =>
manhi <= conv_std_logic_vector(15879,24);
manlo <= conv_std_logic_vector(136950572,28);
WHEN "1111100001" =>
manhi <= conv_std_logic_vector(15895,24);
manlo <= conv_std_logic_vector(141017776,28);
WHEN "1111100010" =>
manhi <= conv_std_logic_vector(15911,24);
manlo <= conv_std_logic_vector(145089081,28);
WHEN "1111100011" =>
manhi <= conv_std_logic_vector(15927,24);
manlo <= conv_std_logic_vector(149164485,28);
WHEN "1111100100" =>
manhi <= conv_std_logic_vector(15943,24);
manlo <= conv_std_logic_vector(153243989,28);
WHEN "1111100101" =>
manhi <= conv_std_logic_vector(15959,24);
manlo <= conv_std_logic_vector(157327593,28);
WHEN "1111100110" =>
manhi <= conv_std_logic_vector(15975,24);
manlo <= conv_std_logic_vector(161415297,28);
WHEN "1111100111" =>
manhi <= conv_std_logic_vector(15991,24);
manlo <= conv_std_logic_vector(165507101,28);
WHEN "1111101000" =>
manhi <= conv_std_logic_vector(16007,24);
manlo <= conv_std_logic_vector(169603005,28);
WHEN "1111101001" =>
manhi <= conv_std_logic_vector(16023,24);
manlo <= conv_std_logic_vector(173703009,28);
WHEN "1111101010" =>
manhi <= conv_std_logic_vector(16039,24);
manlo <= conv_std_logic_vector(177807112,28);
WHEN "1111101011" =>
manhi <= conv_std_logic_vector(16055,24);
manlo <= conv_std_logic_vector(181915316,28);
WHEN "1111101100" =>
manhi <= conv_std_logic_vector(16071,24);
manlo <= conv_std_logic_vector(186027619,28);
WHEN "1111101101" =>
manhi <= conv_std_logic_vector(16087,24);
manlo <= conv_std_logic_vector(190144023,28);
WHEN "1111101110" =>
manhi <= conv_std_logic_vector(16103,24);
manlo <= conv_std_logic_vector(194264526,28);
WHEN "1111101111" =>
manhi <= conv_std_logic_vector(16119,24);
manlo <= conv_std_logic_vector(198389129,28);
WHEN "1111110000" =>
manhi <= conv_std_logic_vector(16135,24);
manlo <= conv_std_logic_vector(202517832,28);
WHEN "1111110001" =>
manhi <= conv_std_logic_vector(16151,24);
manlo <= conv_std_logic_vector(206650635,28);
WHEN "1111110010" =>
manhi <= conv_std_logic_vector(16167,24);
manlo <= conv_std_logic_vector(210787538,28);
WHEN "1111110011" =>
manhi <= conv_std_logic_vector(16183,24);
manlo <= conv_std_logic_vector(214928541,28);
WHEN "1111110100" =>
manhi <= conv_std_logic_vector(16199,24);
manlo <= conv_std_logic_vector(219073644,28);
WHEN "1111110101" =>
manhi <= conv_std_logic_vector(16215,24);
manlo <= conv_std_logic_vector(223222847,28);
WHEN "1111110110" =>
manhi <= conv_std_logic_vector(16231,24);
manlo <= conv_std_logic_vector(227376150,28);
WHEN "1111110111" =>
manhi <= conv_std_logic_vector(16247,24);
manlo <= conv_std_logic_vector(231533553,28);
WHEN "1111111000" =>
manhi <= conv_std_logic_vector(16263,24);
manlo <= conv_std_logic_vector(235695056,28);
WHEN "1111111001" =>
manhi <= conv_std_logic_vector(16279,24);
manlo <= conv_std_logic_vector(239860659,28);
WHEN "1111111010" =>
manhi <= conv_std_logic_vector(16295,24);
manlo <= conv_std_logic_vector(244030361,28);
WHEN "1111111011" =>
manhi <= conv_std_logic_vector(16311,24);
manlo <= conv_std_logic_vector(248204164,28);
WHEN "1111111100" =>
manhi <= conv_std_logic_vector(16327,24);
manlo <= conv_std_logic_vector(252382067,28);
WHEN "1111111101" =>
manhi <= conv_std_logic_vector(16343,24);
manlo <= conv_std_logic_vector(256564069,28);
WHEN "1111111110" =>
manhi <= conv_std_logic_vector(16359,24);
manlo <= conv_std_logic_vector(260750172,28);
WHEN "1111111111" =>
manhi <= conv_std_logic_vector(16375,24);
manlo <= conv_std_logic_vector(264940375,28);
WHEN others =>
manhi <= conv_std_logic_vector(0,24);
manlo <= conv_std_logic_vector(0,28);
END CASE;
END PROCESS;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_castytol.vhd | 10 | 6849 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTYTOL.VHD ***
--*** ***
--*** Function: Cast Internal Double Format to ***
--*** Long ***
--*** ***
--*** 13/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_castytol IS
GENERIC (normspeed : positive := 2); -- 1,2 pipes for conversion
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aazip, aasat : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END hcc_castytol;
ARCHITECTURE rtl OF hcc_castytol IS
signal leftshiftnum, rightshiftnum : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal midpoint, maxpoint, minpoint : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal leftshiftmax, rightshiftmin : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal leftshiftbus, rightshiftbus : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal selectleftbit, selectleftbitdel : STD_LOGIC;
signal satshiftbit, satshiftout : STD_LOGIC;
signal zipshiftbit, zipshiftout : STD_LOGIC;
signal satout, zipout : STD_LOGIC;
signal leftshiftbusff, rightshiftbusff : STD_LOGIC_VECTOR (32 DOWNTO 1);
signal shiftmuxff : STD_LOGIC_VECTOR (32 DOWNTO 1);
component hcc_delaybit IS
GENERIC (delay : positive := 32);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC;
cc : OUT STD_LOGIC
);
end component;
component hcc_lsftcomb64
PORT (
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component hcc_lsftpipe64
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component hcc_rsftpipe64
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component hcc_rsftcomb64
PORT (
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
BEGIN
midpoint <= conv_std_logic_vector (1054,13);
maxpoint <= conv_std_logic_vector (1118,13);
minpoint <= conv_std_logic_vector (1022,13);
leftshiftnum <= aa(13 DOWNTO 1) - midpoint; -- 1054 is 1.0 point
rightshiftnum <= midpoint - aa(13 DOWNTO 1);
-- because of 64 bit Y mantissa > 32 bit long, left shift range > right shift rangre
leftshiftmax <= aa(13 DOWNTO 1) - maxpoint; -- 1118 is the max - if +ve, saturate
rightshiftmin <= aa(13 DOWNTO 1) - minpoint; -- 1022 is the min - if -ve, zero
selectleftbit <= rightshiftnum(13);
satshiftbit <= selectleftbit AND NOT(leftshiftmax(13));
zipshiftbit <= NOT(selectleftbit) AND rightshiftmin(13);
gsa: IF (normspeed = 1) GENERATE
sftlc: hcc_lsftcomb64
PORT MAP (inbus=>aa(77 DOWNTO 14),shift=>leftshiftnum(6 DOWNTO 1),
outbus=>leftshiftbus);
sftrc: hcc_rsftcomb64
PORT MAP (inbus=>aa(77 DOWNTO 14),shift=>rightshiftnum(6 DOWNTO 1),
outbus=>rightshiftbus);
END GENERATE;
gsb: IF (normspeed > 1) GENERATE
sftlp: hcc_lsftpipe64
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
inbus=>aa(77 DOWNTO 14),shift=>leftshiftnum(6 DOWNTO 1),
outbus=>leftshiftbus);
sftrp: hcc_rsftpipe64
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
inbus=>aa(77 DOWNTO 14),shift=>rightshiftnum(6 DOWNTO 1),
outbus=>rightshiftbus);
END GENERATE;
--*** DELAY CONTROL AND CONDITION SIGNALS ***
dbmux: hcc_delaybit
GENERIC MAP (delay=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>selectleftbit,cc=>selectleftbitdel);
dbsat: hcc_delaybit
GENERIC MAP (delay=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aasat,cc=>satout);
dbzip: hcc_delaybit
GENERIC MAP (delay=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aazip,cc=>zipout);
dbsftsat: hcc_delaybit
GENERIC MAP (delay=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>satshiftbit,cc=>satshiftout);
dbsftzip: hcc_delaybit
GENERIC MAP (delay=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>zipshiftbit,cc=>zipshiftout);
--*** OUTPUT MUX ***
pao: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
leftshiftbusff(k) <= '0';
rightshiftbusff(k) <= '0';
shiftmuxff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
leftshiftbusff <= leftshiftbus(64 DOWNTO 33);
rightshiftbusff <= rightshiftbus(64 DOWNTO 33);
FOR k IN 1 TO 32 LOOP
shiftmuxff(k) <= (((leftshiftbusff(k) AND selectleftbitdel) OR
(rightshiftbusff(k) AND NOT(selectleftbitdel))) OR
(satout OR satshiftout)) AND
NOT(zipout OR zipshiftout);
END LOOP;
END IF;
END IF;
END PROCESS;
--**************
--*** OUTPUT ***
--**************
cc <= shiftmuxff;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_mullongb.vhd | 10 | 2584 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_MULLONGB.VHD ***
--*** ***
--*** Function: 3 pipeline stage fixed point ***
--*** (long, signed & unsigned) ***
--*** behavioral ***
--*** ***
--*** 14/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_mullongb IS
GENERIC (unsigned : integer := 0);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END hcc_mullongb;
ARCHITECTURE rtl OF hcc_mullongb IS
signal aabit, bbbit : STD_LOGIC;
signal aaff, bbff : STD_LOGIC_VECTOR (33 DOWNTO 1);
signal mulff : STD_LOGIC_VECTOR (66 DOWNTO 1);
signal muloutff : STD_LOGIC_VECTOR (32 DOWNTO 1);
BEGIN
gxa: IF (unsigned = 0) GENERATE
aabit <= aa(32);
bbbit <= bb(32);
END GENERATE;
gxb: IF (unsigned = 1) GENERATE
aabit <= '0';
bbbit <= '0';
END GENERATE;
pma: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 33 LOOP
aaff(k) <= '0';
bbff(k) <= '0';
END LOOP;
FOR k IN 1 TO 66 LOOP
mulff(k) <= '0';
END LOOP;
FOR k IN 1 TO 32 LOOP
muloutff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aabit & aa;
bbff <= bbbit & bb;
mulff <= aaff * bbff;
muloutff <= mulff(32 DOWNTO 1);
END IF;
END IF;
END PROCESS;
cc <= muloutff;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_alufp1x.vhd | 10 | 10539 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_ALUFP1X.VHD ***
--*** ***
--*** Function: Single Precision Floating Point ***
--*** Adder ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_alufp1x IS
GENERIC (
mantissa : positive := 36;
shiftspeed : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
addsub : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_alufp1x;
ARCHITECTURE rtl OF hcc_alufp1x IS
type expbasefftype IS ARRAY (3+shiftspeed DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1);
type aluleftdelfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1);
signal aaff, bbff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
signal aasatff, aazipff, bbsatff, bbzipff : STD_LOGIC;
signal ccsatff, cczipff : STD_LOGIC_VECTOR (3+shiftspeed DOWNTO 1);
signal addsubff : STD_LOGIC_VECTOR (3+shiftspeed DOWNTO 1);
signal manleftff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal shiftbusnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal manrightff, manalignff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal invertleftff, invertrightff : STD_LOGIC;
signal invertleftdelff, invertrightdelff : STD_LOGIC;
signal invertleftnode, invertrightnode : STD_LOGIC;
signal aluff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal aluleftnode, alurightnode, alucarrynode : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal aluleftff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal aluleftdelff : aluleftdelfftype;
signal subexpone, subexptwo : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expshiftff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal switch : STD_LOGIC;
signal expzerochk : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal expzerochkff : STD_LOGIC;
signal expzerochknode : STD_LOGIC;
signal expbaseff : expbasefftype;
signal aaexp, bbexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal aaman, bbman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
component hcc_rsftpipe32
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
end component;
component hcc_rsftpipe36
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component hcc_rsftcomb32
PORT (
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
end component;
component hcc_rsftcomb36
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO mantissa-1 GENERATE
zerovec(k) <= '0';
END GENERATE;
paa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa+10 LOOP
aaff(k) <= '0';
bbff(k) <= '0';
END LOOP;
FOR k IN 1 TO mantissa LOOP
manleftff(k) <= '0';
manrightff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
expshiftff(k) <= '0';
END LOOP;
FOR k IN 1 TO 3+shiftspeed LOOP
FOR j IN 1 TO 10 LOOP
expbaseff(k)(j) <= '0';
END LOOP;
END LOOP;
invertleftff <= '0';
invertrightff <= '0';
FOR k IN 1 TO mantissa LOOP
manalignff(k) <= '0';
aluff(k) <= '0';
END LOOP;
FOR k IN 1 TO 3+shiftspeed LOOP
addsubff(k) <= '0';
ccsatff(k) <= '0';
cczipff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
--*** LEVEL 1 ***
aaff <= aa;
bbff <= bb;
aasatff <= aasat;
bbsatff <= bbsat;
aazipff <= aazip;
bbzipff <= bbzip;
addsubff(1) <= addsub;
FOR k IN 2 TO 3+shiftspeed LOOP
addsubff(k) <= addsubff(k-1);
END LOOP;
--*** LEVEL 2 ***
FOR k IN 1 TO mantissa LOOP
manleftff(k) <= (aaff(k+10) AND NOT(switch)) OR (bbff(k+10) AND switch);
manrightff(k) <= (bbff(k+10) AND NOT(switch)) OR (aaff(k+10) AND switch);
END LOOP;
FOR k IN 1 TO 10 LOOP
expshiftff(k) <= (subexpone(k) AND NOT(switch)) OR (subexptwo(k) AND switch);
END LOOP;
FOR k IN 1 TO 10 LOOP
expbaseff(1)(k) <= (aaff(k) AND NOT(switch)) OR (bbff(k) AND switch);
END LOOP;
FOR k IN 2 TO 3+shiftspeed LOOP
expbaseff(k)(10 DOWNTO 1) <= expbaseff(k-1)(10 DOWNTO 1);
END LOOP;
invertleftff <= addsubff(1) AND switch;
invertrightff <= addsubff(1) AND NOT(switch);
ccsatff(1) <= aasatff OR bbsatff;
cczipff(1) <= aazipff AND bbzipff;
FOR k IN 2 TO 3+shiftspeed LOOP
ccsatff(k) <= ccsatff(k-1);
cczipff(k) <= cczipff(k-1);
END LOOP;
--*** LEVEL 3 or 4 ***
FOR k IN 1 TO mantissa LOOP
manalignff(k) <= (shiftbusnode(k) XOR invertrightnode) AND expzerochknode;
END LOOP;
--*** LEVEL 4 or 5 ***
aluff <= aluleftnode + alurightnode + alucarrynode;
END IF;
END IF;
END PROCESS;
gssa: IF (shiftspeed = 0) GENERATE
psa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa LOOP
aluleftff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
FOR k IN 1 TO mantissa LOOP
aluleftff(k) <= manleftff(k) XOR invertleftnode;
END LOOP;
END IF;
END IF;
END PROCESS;
invertleftnode <= invertleftff;
invertrightnode <= invertrightff;
expzerochknode <= expzerochk(10);
aluleftnode <= aluleftff;
alucarrynode <= zerovec(mantissa-1 DOWNTO 1) & addsubff(3);
END GENERATE;
gssb: IF (shiftspeed = 1) GENERATE
psa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa LOOP
aluleftdelff(1)(k) <= '0';
aluleftdelff(2)(k) <= '0';
END LOOP;
invertleftdelff <= '0';
invertrightdelff <= '0';
expzerochkff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aluleftdelff(1)(mantissa DOWNTO 1) <= manleftff;
FOR k IN 1 TO mantissa LOOP
aluleftdelff(2)(k) <= aluleftdelff(1)(k) XOR invertleftnode;
END LOOP;
invertleftdelff <= invertleftff;
invertrightdelff <= invertrightff;
expzerochkff <= expzerochk(10);
END IF;
END IF;
END PROCESS;
invertleftnode <= invertleftdelff;
invertrightnode <= invertrightdelff;
expzerochknode <= expzerochkff;
aluleftnode <= aluleftdelff(2)(mantissa DOWNTO 1);
alucarrynode <= zerovec(mantissa-1 DOWNTO 1) & addsubff(4);
END GENERATE;
alurightnode <= manalignff;
subexpone <= aaff(10 DOWNTO 1) - bbff(10 DOWNTO 1);
subexptwo <= bbff(10 DOWNTO 1) - aaff(10 DOWNTO 1);
switch <= subexpone(10);
gsa: IF (mantissa = 32) GENERATE
expzerochk <= expshiftff - "0000100000"; -- 31 ok, 32 not
gsb: IF (shiftspeed = 0) GENERATE
shiftone: hcc_rsftcomb32
PORT MAP (inbus=>manrightff,shift=>expshiftff(5 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
gsc: IF (shiftspeed = 1) GENERATE
shifttwo: hcc_rsftpipe32
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
inbus=>manrightff,shift=>expshiftff(5 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
END GENERATE;
gsd: IF (mantissa = 36) GENERATE
expzerochk <= expshiftff - "0000100100"; -- 35 ok, 36 not
gse: IF (shiftspeed = 0) GENERATE
shiftone: hcc_rsftcomb36
PORT MAP (inbus=>manrightff,shift=>expshiftff(6 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
gsf: IF (shiftspeed = 1) GENERATE
shifttwo: hcc_rsftpipe36
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
inbus=>manrightff,shift=>expshiftff(6 DOWNTO 1),
outbus=>shiftbusnode);
END GENERATE;
END GENERATE;
--*** OUTPUT ***
cc <= aluff & expbaseff(3+shiftspeed)(10 DOWNTO 1);
ccsat <= ccsatff(3+shiftspeed);
cczip <= cczipff(3+shiftspeed);
--*** DEBUG SECTION ***
aaexp <= aa(10 DOWNTO 1);
bbexp <= bb(10 DOWNTO 1);
ccexp <= expbaseff(3+shiftspeed)(10 DOWNTO 1);
aaman <= aa(mantissa+10 DOWNTO 11);
bbman <= bb(mantissa+10 DOWNTO 11);
ccman <= aluff;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_sqrt_double_s5.vhd | 10 | 321991 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_sqrt_double_s5
-- VHDL created on Tue Apr 9 15:17:30 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_sqrt_double_s5 is
port (
a : in std_logic_vector(63 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(63 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_sqrt_double_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllOWE_uid9_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal cstAllZWF_uid10_fpSqrtTest_q : std_logic_vector (51 downto 0);
signal cstAllZWE_uid11_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal sBias_uid25_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal sBiasM1_uid28_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal expRMux_uid33_fpSqrtTest_s : std_logic_vector (0 downto 0);
signal expRMux_uid33_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal inInfAndNotNeg_uid41_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal inInfAndNotNeg_uid41_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal inInfAndNotNeg_uid41_fpSqrtTest_q_i : std_logic_vector(0 downto 0);
signal inInfAndNotNeg_uid41_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal minReg_uid42_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal minReg_uid42_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal minReg_uid42_fpSqrtTest_q_i : std_logic_vector(0 downto 0);
signal minReg_uid42_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal minInf_uid43_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal minInf_uid43_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal minInf_uid43_fpSqrtTest_q_i : std_logic_vector(0 downto 0);
signal minInf_uid43_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal fracSel_uid47_fpSqrtTest_q : std_logic_vector(1 downto 0);
signal fracNaN_uid52_fpSqrtTest_q : std_logic_vector (51 downto 0);
signal negZero_uid56_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal negZero_uid56_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal negZero_uid56_fpSqrtTest_q_i : std_logic_vector(0 downto 0);
signal negZero_uid56_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal rndBit_uid93_sqrtPolynomialEvaluator_q : std_logic_vector (1 downto 0);
signal prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_a : std_logic_vector (16 downto 0);
signal prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_b : std_logic_vector (16 downto 0);
signal prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_s1 : std_logic_vector (33 downto 0);
signal prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_pr : SIGNED (34 downto 0);
signal prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_q : std_logic_vector (33 downto 0);
signal prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a : std_logic_vector (23 downto 0);
signal prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_b : std_logic_vector (25 downto 0);
signal prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_s1 : std_logic_vector (49 downto 0);
signal prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_pr : SIGNED (50 downto 0);
signal prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_q : std_logic_vector (49 downto 0);
signal prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_a : std_logic_vector (32 downto 0);
signal prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_b : std_logic_vector (34 downto 0);
signal prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_s1 : std_logic_vector (67 downto 0);
signal prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_pr : SIGNED (68 downto 0);
signal prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_q : std_logic_vector (67 downto 0);
signal topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_a : std_logic_vector (26 downto 0);
signal topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_s1 : std_logic_vector (53 downto 0);
signal topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_pr : SIGNED (54 downto 0);
signal topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_q : std_logic_vector (53 downto 0);
signal memoryC0_uid59_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC0_uid59_sqrtTableGenerator_lutmem_ia : std_logic_vector (39 downto 0);
signal memoryC0_uid59_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid59_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid59_sqrtTableGenerator_lutmem_iq : std_logic_vector (39 downto 0);
signal memoryC0_uid59_sqrtTableGenerator_lutmem_q : std_logic_vector (39 downto 0);
signal memoryC0_uid60_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC0_uid60_sqrtTableGenerator_lutmem_ia : std_logic_vector (16 downto 0);
signal memoryC0_uid60_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid60_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid60_sqrtTableGenerator_lutmem_iq : std_logic_vector (16 downto 0);
signal memoryC0_uid60_sqrtTableGenerator_lutmem_q : std_logic_vector (16 downto 0);
signal memoryC1_uid62_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC1_uid62_sqrtTableGenerator_lutmem_ia : std_logic_vector (39 downto 0);
signal memoryC1_uid62_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid62_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid62_sqrtTableGenerator_lutmem_iq : std_logic_vector (39 downto 0);
signal memoryC1_uid62_sqrtTableGenerator_lutmem_q : std_logic_vector (39 downto 0);
signal memoryC1_uid63_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC1_uid63_sqrtTableGenerator_lutmem_ia : std_logic_vector (8 downto 0);
signal memoryC1_uid63_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid63_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid63_sqrtTableGenerator_lutmem_iq : std_logic_vector (8 downto 0);
signal memoryC1_uid63_sqrtTableGenerator_lutmem_q : std_logic_vector (8 downto 0);
signal memoryC2_uid65_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC2_uid65_sqrtTableGenerator_lutmem_ia : std_logic_vector (39 downto 0);
signal memoryC2_uid65_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC2_uid65_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC2_uid65_sqrtTableGenerator_lutmem_iq : std_logic_vector (39 downto 0);
signal memoryC2_uid65_sqrtTableGenerator_lutmem_q : std_logic_vector (39 downto 0);
signal memoryC3_uid67_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC3_uid67_sqrtTableGenerator_lutmem_ia : std_logic_vector (32 downto 0);
signal memoryC3_uid67_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC3_uid67_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC3_uid67_sqrtTableGenerator_lutmem_iq : std_logic_vector (32 downto 0);
signal memoryC3_uid67_sqrtTableGenerator_lutmem_q : std_logic_vector (32 downto 0);
signal memoryC4_uid69_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC4_uid69_sqrtTableGenerator_lutmem_ia : std_logic_vector (23 downto 0);
signal memoryC4_uid69_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC4_uid69_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC4_uid69_sqrtTableGenerator_lutmem_iq : std_logic_vector (23 downto 0);
signal memoryC4_uid69_sqrtTableGenerator_lutmem_q : std_logic_vector (23 downto 0);
signal memoryC5_uid71_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC5_uid71_sqrtTableGenerator_lutmem_ia : std_logic_vector (16 downto 0);
signal memoryC5_uid71_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC5_uid71_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC5_uid71_sqrtTableGenerator_lutmem_iq : std_logic_vector (16 downto 0);
signal memoryC5_uid71_sqrtTableGenerator_lutmem_q : std_logic_vector (16 downto 0);
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a_type is array(0 to 1) of UNSIGNED(17 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a_type;
attribute preserve : boolean;
attribute preserve of multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a : signal is true;
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c_type is array(0 to 1) of SIGNED(17 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c_type;
attribute preserve of multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c : signal is true;
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_l_type is array(0 to 1) of SIGNED(18 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_l : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_l_type;
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_p_type is array(0 to 1) of SIGNED(36 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_p : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_p_type;
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_w_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_w : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_w_type;
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_x_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_x : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_x_type;
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_y_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_y : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_y_type;
type multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_s_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_s : multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_s_type;
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_s0 : std_logic_vector(36 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_q : std_logic_vector (36 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_a : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_b : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_s1 : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_pr : UNSIGNED (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_q : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_a : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_b : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_s1 : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_pr : UNSIGNED (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_q : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_a : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_b : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_s1 : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_pr : SIGNED (54 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_q : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_a : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_b : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_s1 : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_pr : SIGNED (54 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_a : std_logic_vector(84 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_b : std_logic_vector(84 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_o : std_logic_vector (84 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_q : std_logic_vector (83 downto 0);
signal reg_exc_N_uid20_fpSqrtTest_0_to_excRNaN_uid44_fpSqrtTest_1_q : std_logic_vector (0 downto 0);
signal reg_expXIsZero_uid13_fpSqrtTest_0_to_join_uid45_fpSqrtTest_0_q : std_logic_vector (0 downto 0);
signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC1_uid62_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_0_q : std_logic_vector (39 downto 0);
signal reg_memoryC1_uid63_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_1_q : std_logic_vector (8 downto 0);
signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC5_uid71_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_yT1_uid73_sqrtPolynomialEvaluator_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_0_q : std_logic_vector (16 downto 0);
signal reg_memoryC5_uid71_sqrtTableGenerator_lutmem_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_1_q : std_logic_vector (16 downto 0);
signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC4_uid69_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid77_sqrtPolynomialEvaluator_0_q : std_logic_vector (23 downto 0);
signal reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q : std_logic_vector (23 downto 0);
signal reg_s1_uid75_uid78_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_1_q : std_logic_vector (25 downto 0);
signal reg_memoryC3_uid67_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid83_sqrtPolynomialEvaluator_0_q : std_logic_vector (32 downto 0);
signal reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_q : std_logic_vector (32 downto 0);
signal reg_s2_uid81_uid84_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_1_q : std_logic_vector (34 downto 0);
signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC2_uid65_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid89_sqrtPolynomialEvaluator_0_q : std_logic_vector (39 downto 0);
signal reg_xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_4_q : std_logic_vector (17 downto 0);
signal reg_pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_6_q : std_logic_vector (17 downto 0);
signal reg_pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_7_q : std_logic_vector (16 downto 0);
signal reg_yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_9_q : std_logic_vector (17 downto 0);
signal reg_xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_0_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_1_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_0_q : std_logic_vector (50 downto 0);
signal reg_R_uid129_pT4_uid92_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_1_q : std_logic_vector (42 downto 0);
signal reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_0_q : std_logic_vector (26 downto 0);
signal reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_1_q : std_logic_vector (26 downto 0);
signal reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_0_q : std_logic_vector (26 downto 0);
signal reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_1_q : std_logic_vector (26 downto 0);
signal reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_0_q : std_logic_vector (53 downto 0);
signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_0_q : std_logic_vector (39 downto 0);
signal reg_memoryC0_uid60_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_1_q : std_logic_vector (16 downto 0);
signal reg_os_uid61_sqrtTableGenerator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_0_q : std_logic_vector (56 downto 0);
signal reg_highBBits_uid100_sqrtPolynomialEvaluator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_1_q : std_logic_vector (49 downto 0);
signal reg_lowRangeB_uid99_sqrtPolynomialEvaluator_0_to_s5_uid99_uid102_sqrtPolynomialEvaluator_0_q : std_logic_vector (1 downto 0);
signal reg_fracR_uid39_fpSqrtTest_0_to_fracRPostExc_uid55_fpSqrtTest_3_q : std_logic_vector (51 downto 0);
signal ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_q : std_logic_vector (51 downto 0);
signal ld_signX_uid8_fpSqrtTest_b_to_fracSelIn_uid46_fpSqrtTest_b_q : std_logic_vector (0 downto 0);
signal ld_expRMux_uid33_fpSqrtTest_q_to_expRPostExc_uid51_fpSqrtTest_d_q : std_logic_vector (10 downto 0);
signal ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_a_q : std_logic_vector (55 downto 0);
signal ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_a_q : std_logic_vector (53 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_a_q : std_logic_vector (7 downto 0);
signal ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_inputreg_q : std_logic_vector (51 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_inputreg_q : std_logic_vector (1 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_reset0 : std_logic;
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_eq : std_logic;
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena_q : signal is true;
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg_q : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_reset0 : std_logic;
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ia : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_iq : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_q : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q : signal is true;
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_reset0 : std_logic;
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_eq : std_logic;
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_mem_top_q : std_logic_vector (5 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena_q : signal is true;
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_inputreg_q : std_logic_vector (44 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_reset0 : std_logic;
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_ia : std_logic_vector (44 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_iq : std_logic_vector (44 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_q : std_logic_vector (44 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_eq : std_logic;
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena_q : signal is true;
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_inputreg_q : std_logic_vector (23 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_reset0 : std_logic;
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_ia : std_logic_vector (23 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_iq : std_logic_vector (23 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_q : std_logic_vector (23 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena_q : signal is true;
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_reset0 : std_logic;
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq : std_logic;
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena_q : signal is true;
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_reset0 : std_logic;
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq : std_logic;
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena_q : signal is true;
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_reset0 : std_logic;
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_ia : std_logic_vector (44 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_iq : std_logic_vector (44 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_q : std_logic_vector (44 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_eq : std_logic;
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena_q : signal is true;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq : std_logic;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : signal is true;
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_inputreg_q : std_logic_vector (32 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_reset0 : std_logic;
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_ia : std_logic_vector (32 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_iq : std_logic_vector (32 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_q : std_logic_vector (32 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_eq : std_logic;
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena_q : signal is true;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq : std_logic;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : signal is true;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : signal is true;
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_a : std_logic_vector(56 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_b : std_logic_vector(56 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_o : std_logic_vector (56 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q : std_logic_vector (55 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_a : std_logic_vector(0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal expX_uid6_fpSqrtTest_in : std_logic_vector (62 downto 0);
signal expX_uid6_fpSqrtTest_b : std_logic_vector (10 downto 0);
signal fracX_uid7_fpSqrtTest_in : std_logic_vector (51 downto 0);
signal fracX_uid7_fpSqrtTest_b : std_logic_vector (51 downto 0);
signal signX_uid8_fpSqrtTest_in : std_logic_vector (63 downto 0);
signal signX_uid8_fpSqrtTest_b : std_logic_vector (0 downto 0);
signal expXIsZero_uid13_fpSqrtTest_a : std_logic_vector(10 downto 0);
signal expXIsZero_uid13_fpSqrtTest_b : std_logic_vector(10 downto 0);
signal expXIsZero_uid13_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid15_fpSqrtTest_a : std_logic_vector(10 downto 0);
signal expXIsMax_uid15_fpSqrtTest_b : std_logic_vector(10 downto 0);
signal expXIsMax_uid15_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid17_fpSqrtTest_a : std_logic_vector(51 downto 0);
signal fracXIsZero_uid17_fpSqrtTest_b : std_logic_vector(51 downto 0);
signal fracXIsZero_uid17_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid18_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid18_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid18_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expEvenSig_uid26_fpSqrtTest_a : std_logic_vector(11 downto 0);
signal expEvenSig_uid26_fpSqrtTest_b : std_logic_vector(11 downto 0);
signal expEvenSig_uid26_fpSqrtTest_o : std_logic_vector (11 downto 0);
signal expEvenSig_uid26_fpSqrtTest_q : std_logic_vector (11 downto 0);
signal expOddSig_uid29_fpSqrtTest_a : std_logic_vector(11 downto 0);
signal expOddSig_uid29_fpSqrtTest_b : std_logic_vector(11 downto 0);
signal expOddSig_uid29_fpSqrtTest_o : std_logic_vector (11 downto 0);
signal expOddSig_uid29_fpSqrtTest_q : std_logic_vector (11 downto 0);
signal excRNaN_uid44_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid44_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid44_fpSqrtTest_c : std_logic_vector(0 downto 0);
signal excRNaN_uid44_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expRPostExc_uid51_fpSqrtTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid51_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal fracRPostExc_uid55_fpSqrtTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid55_fpSqrtTest_q : std_logic_vector (51 downto 0);
signal ts4_uid95_sqrtPolynomialEvaluator_a : std_logic_vector(51 downto 0);
signal ts4_uid95_sqrtPolynomialEvaluator_b : std_logic_vector(51 downto 0);
signal ts4_uid95_sqrtPolynomialEvaluator_o : std_logic_vector (51 downto 0);
signal ts4_uid95_sqrtPolynomialEvaluator_q : std_logic_vector (51 downto 0);
signal sumAHighB_uid101_sqrtPolynomialEvaluator_a : std_logic_vector(57 downto 0);
signal sumAHighB_uid101_sqrtPolynomialEvaluator_b : std_logic_vector(57 downto 0);
signal sumAHighB_uid101_sqrtPolynomialEvaluator_o : std_logic_vector (57 downto 0);
signal sumAHighB_uid101_sqrtPolynomialEvaluator_q : std_logic_vector (57 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal join_uid45_fpSqrtTest_q : std_logic_vector (2 downto 0);
signal prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator_in : std_logic_vector (33 downto 0);
signal prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator_b : std_logic_vector (17 downto 0);
signal prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator_in : std_logic_vector (49 downto 0);
signal prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator_in : std_logic_vector (67 downto 0);
signal prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator_b : std_logic_vector (33 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (36 downto 0);
signal multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (34 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_q_int : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_q : std_logic_vector (53 downto 0);
signal os_uid64_sqrtTableGenerator_q : std_logic_vector (48 downto 0);
signal os_uid61_sqrtTableGenerator_q : std_logic_vector (56 downto 0);
signal s5_uid99_uid102_sqrtPolynomialEvaluator_q : std_logic_vector (59 downto 0);
signal FracX44dto0_uid37_fpSqrtTest_in : std_logic_vector (44 downto 0);
signal FracX44dto0_uid37_fpSqrtTest_b : std_logic_vector (44 downto 0);
signal fracSelIn_uid46_fpSqrtTest_q : std_logic_vector (3 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_q_int : std_logic_vector (82 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_q : std_logic_vector (82 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_q_int : std_logic_vector (107 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_q : std_logic_vector (107 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_q : std_logic_vector(0 downto 0);
signal RSqrt_uid57_fpSqrtTest_q : std_logic_vector (63 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_a : std_logic_vector(5 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_b : std_logic_vector(5 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_q : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_q : std_logic_vector(0 downto 0);
signal yT4_uid91_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT4_uid91_sqrtPolynomialEvaluator_b : std_logic_vector (39 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_q : std_logic_vector(0 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_in : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_b : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_in : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_b : std_logic_vector (26 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal expX0_uid31_fpSqrtTest_in : std_logic_vector (0 downto 0);
signal expX0_uid31_fpSqrtTest_b : std_logic_vector (0 downto 0);
signal fracXAddr_uid35_fpSqrtTest_in : std_logic_vector (51 downto 0);
signal fracXAddr_uid35_fpSqrtTest_b : std_logic_vector (6 downto 0);
signal InvSignX_uid40_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal InvSignX_uid40_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid23_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid23_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid19_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid19_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid22_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid22_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expREven_uid27_fpSqrtTest_in : std_logic_vector (11 downto 0);
signal expREven_uid27_fpSqrtTest_b : std_logic_vector (10 downto 0);
signal expROdd_uid30_fpSqrtTest_in : std_logic_vector (11 downto 0);
signal expROdd_uid30_fpSqrtTest_b : std_logic_vector (10 downto 0);
signal s4_uid96_sqrtPolynomialEvaluator_in : std_logic_vector (51 downto 0);
signal s4_uid96_sqrtPolynomialEvaluator_b : std_logic_vector (50 downto 0);
signal lowRangeB_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0);
signal highBBits_uid76_sqrtPolynomialEvaluator_in : std_logic_vector (17 downto 0);
signal highBBits_uid76_sqrtPolynomialEvaluator_b : std_logic_vector (16 downto 0);
signal lowRangeB_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0);
signal highBBits_uid82_sqrtPolynomialEvaluator_in : std_logic_vector (26 downto 0);
signal highBBits_uid82_sqrtPolynomialEvaluator_b : std_logic_vector (25 downto 0);
signal lowRangeB_uid87_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid87_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0);
signal highBBits_uid88_sqrtPolynomialEvaluator_in : std_logic_vector (33 downto 0);
signal highBBits_uid88_sqrtPolynomialEvaluator_b : std_logic_vector (32 downto 0);
signal lowRangeB_uid125_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (5 downto 0);
signal lowRangeB_uid125_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (5 downto 0);
signal highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (34 downto 0);
signal highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (28 downto 0);
signal cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_q : std_logic_vector (50 downto 0);
signal fracR_uid39_fpSqrtTest_in : std_logic_vector (56 downto 0);
signal fracR_uid39_fpSqrtTest_b : std_logic_vector (51 downto 0);
signal yT1_uid73_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT1_uid73_sqrtPolynomialEvaluator_b : std_logic_vector (16 downto 0);
signal yT2_uid79_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT2_uid79_sqrtPolynomialEvaluator_b : std_logic_vector (23 downto 0);
signal yT3_uid85_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT3_uid85_sqrtPolynomialEvaluator_b : std_logic_vector (32 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_a : std_logic_vector(108 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_b : std_logic_vector(108 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_o : std_logic_vector (108 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_q : std_logic_vector (108 downto 0);
signal xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (39 downto 0);
signal xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (39 downto 0);
signal xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (17 downto 0);
signal xBottomBits_uid118_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (12 downto 0);
signal xBottomBits_uid118_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (12 downto 0);
signal expOddSelect_uid32_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal expOddSelect_uid32_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal addrTable_uid36_fpSqrtTest_q : std_logic_vector (7 downto 0);
signal exc_N_uid20_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid20_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid20_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_in : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_b : std_logic_vector (26 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_in : std_logic_vector (53 downto 0);
signal prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_b : std_logic_vector (26 downto 0);
signal sumAHighB_uid77_sqrtPolynomialEvaluator_a : std_logic_vector(24 downto 0);
signal sumAHighB_uid77_sqrtPolynomialEvaluator_b : std_logic_vector(24 downto 0);
signal sumAHighB_uid77_sqrtPolynomialEvaluator_o : std_logic_vector (24 downto 0);
signal sumAHighB_uid77_sqrtPolynomialEvaluator_q : std_logic_vector (24 downto 0);
signal sumAHighB_uid83_sqrtPolynomialEvaluator_a : std_logic_vector(33 downto 0);
signal sumAHighB_uid83_sqrtPolynomialEvaluator_b : std_logic_vector(33 downto 0);
signal sumAHighB_uid83_sqrtPolynomialEvaluator_o : std_logic_vector (33 downto 0);
signal sumAHighB_uid83_sqrtPolynomialEvaluator_q : std_logic_vector (33 downto 0);
signal sumAHighB_uid89_sqrtPolynomialEvaluator_a : std_logic_vector(40 downto 0);
signal sumAHighB_uid89_sqrtPolynomialEvaluator_b : std_logic_vector(40 downto 0);
signal sumAHighB_uid89_sqrtPolynomialEvaluator_o : std_logic_vector (40 downto 0);
signal sumAHighB_uid89_sqrtPolynomialEvaluator_q : std_logic_vector (40 downto 0);
signal sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_q : std_logic_vector (54 downto 0);
signal prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator_in : std_logic_vector (95 downto 0);
signal prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator_b : std_logic_vector (51 downto 0);
signal pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_q : std_logic_vector (16 downto 0);
signal InvExc_N_uid21_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid21_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal s1_uid75_uid78_sqrtPolynomialEvaluator_q : std_logic_vector (25 downto 0);
signal s2_uid81_uid84_sqrtPolynomialEvaluator_q : std_logic_vector (34 downto 0);
signal s3_uid87_uid90_sqrtPolynomialEvaluator_q : std_logic_vector (41 downto 0);
signal add0_uid125_uid128_pT4_uid92_sqrtPolynomialEvaluator_q : std_logic_vector (60 downto 0);
signal lowRangeB_uid99_sqrtPolynomialEvaluator_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid99_sqrtPolynomialEvaluator_b : std_logic_vector (1 downto 0);
signal highBBits_uid100_sqrtPolynomialEvaluator_in : std_logic_vector (51 downto 0);
signal highBBits_uid100_sqrtPolynomialEvaluator_b : std_logic_vector (49 downto 0);
signal exc_R_uid24_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid24_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid24_fpSqrtTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid24_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (41 downto 0);
signal yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid117_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (14 downto 0);
signal yBottomBits_uid117_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (14 downto 0);
signal yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (41 downto 0);
signal yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (17 downto 0);
signal R_uid129_pT4_uid92_sqrtPolynomialEvaluator_in : std_logic_vector (59 downto 0);
signal R_uid129_pT4_uid92_sqrtPolynomialEvaluator_b : std_logic_vector (42 downto 0);
signal spad_yBottomBits_uid117_uid120_pT4_uid92_sqrtPolynomialEvaluator_q : std_logic_vector (15 downto 0);
signal pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_q : std_logic_vector (17 downto 0);
begin
--VCC(CONSTANT,1)
VCC_q <= "1";
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable(LOGICAL,408)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_a <= en;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q <= not ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_a;
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor(LOGICAL,435)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_b <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena_q;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_q <= not (ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_a or ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_b);
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_mem_top(CONSTANT,431)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_mem_top_q <= "011000";
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp(LOGICAL,432)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_a <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_mem_top_q;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_q);
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_q <= "1" when ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_a = ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_b else "0";
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmpReg(REG,433)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmpReg_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena(REG,436)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_nor_q = "1") THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd(LOGICAL,437)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_a <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_sticky_ena_q;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_b <= en;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_a and ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_b;
--signX_uid8_fpSqrtTest(BITSELECT,7)@0
signX_uid8_fpSqrtTest_in <= a;
signX_uid8_fpSqrtTest_b <= signX_uid8_fpSqrtTest_in(63 downto 63);
--cstAllZWE_uid11_fpSqrtTest(CONSTANT,10)
cstAllZWE_uid11_fpSqrtTest_q <= "00000000000";
--expX_uid6_fpSqrtTest(BITSELECT,5)@0
expX_uid6_fpSqrtTest_in <= a(62 downto 0);
expX_uid6_fpSqrtTest_b <= expX_uid6_fpSqrtTest_in(62 downto 52);
--expXIsZero_uid13_fpSqrtTest(LOGICAL,12)@0
expXIsZero_uid13_fpSqrtTest_a <= expX_uid6_fpSqrtTest_b;
expXIsZero_uid13_fpSqrtTest_b <= cstAllZWE_uid11_fpSqrtTest_q;
expXIsZero_uid13_fpSqrtTest_q <= "1" when expXIsZero_uid13_fpSqrtTest_a = expXIsZero_uid13_fpSqrtTest_b else "0";
--negZero_uid56_fpSqrtTest(LOGICAL,55)@0
negZero_uid56_fpSqrtTest_a <= expXIsZero_uid13_fpSqrtTest_q;
negZero_uid56_fpSqrtTest_b <= signX_uid8_fpSqrtTest_b;
negZero_uid56_fpSqrtTest_q_i <= negZero_uid56_fpSqrtTest_a and negZero_uid56_fpSqrtTest_b;
negZero_uid56_fpSqrtTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => negZero_uid56_fpSqrtTest_q, xin => negZero_uid56_fpSqrtTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_inputreg(DELAY,425)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => negZero_uid56_fpSqrtTest_q, xout => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt(COUNTER,427)
-- every=1, low=0, high=24, step=1, init=1
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i = 23 THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_eq <= '1';
ELSE
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_eq <= '0';
END IF;
IF (ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_eq = '1') THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i - 24;
ELSE
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_i,5));
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg(REG,428)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--xIn(GPIN,3)@0
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux(MUX,429)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_s <= en;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux: PROCESS (ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_s, ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg_q, ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_q)
BEGIN
CASE ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_s IS
WHEN "0" => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg_q;
WHEN "1" => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem(DUALMEM,426)
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_ia <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_inputreg_q;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_aa <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdreg_q;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_ab <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_rdmux_q;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 25,
width_b => 1,
widthad_b => 5,
numwords_b => 25,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_iq,
address_a => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_aa,
data_a => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_ia
);
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_reset0 <= areset;
ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_iq(0 downto 0);
--ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor(LOGICAL,422)
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_b <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_q <= not (ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_a or ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_b);
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_mem_top(CONSTANT,405)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_mem_top_q <= "010111";
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp(LOGICAL,406)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_mem_top_q;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_q);
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_q <= "1" when ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_a = ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_b else "0";
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmpReg(REG,407)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmpReg_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena(REG,423)
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_q = "1") THEN
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd(LOGICAL,424)
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_a <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_b <= en;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_a and ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_b;
--cstAllOWE_uid9_fpSqrtTest(CONSTANT,8)
cstAllOWE_uid9_fpSqrtTest_q <= "11111111111";
--sBiasM1_uid28_fpSqrtTest(CONSTANT,27)
sBiasM1_uid28_fpSqrtTest_q <= "01111111110";
--expOddSig_uid29_fpSqrtTest(ADD,28)@0
expOddSig_uid29_fpSqrtTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpSqrtTest_b);
expOddSig_uid29_fpSqrtTest_b <= STD_LOGIC_VECTOR("0" & sBiasM1_uid28_fpSqrtTest_q);
expOddSig_uid29_fpSqrtTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expOddSig_uid29_fpSqrtTest_a) + UNSIGNED(expOddSig_uid29_fpSqrtTest_b));
expOddSig_uid29_fpSqrtTest_q <= expOddSig_uid29_fpSqrtTest_o(11 downto 0);
--expROdd_uid30_fpSqrtTest(BITSELECT,29)@0
expROdd_uid30_fpSqrtTest_in <= expOddSig_uid29_fpSqrtTest_q;
expROdd_uid30_fpSqrtTest_b <= expROdd_uid30_fpSqrtTest_in(11 downto 1);
--sBias_uid25_fpSqrtTest(CONSTANT,24)
sBias_uid25_fpSqrtTest_q <= "01111111111";
--expEvenSig_uid26_fpSqrtTest(ADD,25)@0
expEvenSig_uid26_fpSqrtTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpSqrtTest_b);
expEvenSig_uid26_fpSqrtTest_b <= STD_LOGIC_VECTOR("0" & sBias_uid25_fpSqrtTest_q);
expEvenSig_uid26_fpSqrtTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expEvenSig_uid26_fpSqrtTest_a) + UNSIGNED(expEvenSig_uid26_fpSqrtTest_b));
expEvenSig_uid26_fpSqrtTest_q <= expEvenSig_uid26_fpSqrtTest_o(11 downto 0);
--expREven_uid27_fpSqrtTest(BITSELECT,26)@0
expREven_uid27_fpSqrtTest_in <= expEvenSig_uid26_fpSqrtTest_q;
expREven_uid27_fpSqrtTest_b <= expREven_uid27_fpSqrtTest_in(11 downto 1);
--expX0_uid31_fpSqrtTest(BITSELECT,30)@0
expX0_uid31_fpSqrtTest_in <= expX_uid6_fpSqrtTest_b(0 downto 0);
expX0_uid31_fpSqrtTest_b <= expX0_uid31_fpSqrtTest_in(0 downto 0);
--expOddSelect_uid32_fpSqrtTest(LOGICAL,31)@0
expOddSelect_uid32_fpSqrtTest_a <= expX0_uid31_fpSqrtTest_b;
expOddSelect_uid32_fpSqrtTest_q <= not expOddSelect_uid32_fpSqrtTest_a;
--expRMux_uid33_fpSqrtTest(MUX,32)@0
expRMux_uid33_fpSqrtTest_s <= expOddSelect_uid32_fpSqrtTest_q;
expRMux_uid33_fpSqrtTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRMux_uid33_fpSqrtTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expRMux_uid33_fpSqrtTest_s IS
WHEN "0" => expRMux_uid33_fpSqrtTest_q <= expREven_uid27_fpSqrtTest_b;
WHEN "1" => expRMux_uid33_fpSqrtTest_q <= expROdd_uid30_fpSqrtTest_b;
WHEN OTHERS => expRMux_uid33_fpSqrtTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expRMux_uid33_fpSqrtTest_q_to_expRPostExc_uid51_fpSqrtTest_d(DELAY,248)@1
ld_expRMux_uid33_fpSqrtTest_q_to_expRPostExc_uid51_fpSqrtTest_d : dspba_delay
GENERIC MAP ( width => 11, depth => 1 )
PORT MAP ( xin => expRMux_uid33_fpSqrtTest_q, xout => ld_expRMux_uid33_fpSqrtTest_q_to_expRPostExc_uid51_fpSqrtTest_d_q, ena => en(0), clk => clk, aclr => areset );
--ld_signX_uid8_fpSqrtTest_b_to_fracSelIn_uid46_fpSqrtTest_b(DELAY,245)@0
ld_signX_uid8_fpSqrtTest_b_to_fracSelIn_uid46_fpSqrtTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => signX_uid8_fpSqrtTest_b, xout => ld_signX_uid8_fpSqrtTest_b_to_fracSelIn_uid46_fpSqrtTest_b_q, ena => en(0), clk => clk, aclr => areset );
--cstAllZWF_uid10_fpSqrtTest(CONSTANT,9)
cstAllZWF_uid10_fpSqrtTest_q <= "0000000000000000000000000000000000000000000000000000";
--fracX_uid7_fpSqrtTest(BITSELECT,6)@0
fracX_uid7_fpSqrtTest_in <= a(51 downto 0);
fracX_uid7_fpSqrtTest_b <= fracX_uid7_fpSqrtTest_in(51 downto 0);
--fracXIsZero_uid17_fpSqrtTest(LOGICAL,16)@0
fracXIsZero_uid17_fpSqrtTest_a <= fracX_uid7_fpSqrtTest_b;
fracXIsZero_uid17_fpSqrtTest_b <= cstAllZWF_uid10_fpSqrtTest_q;
fracXIsZero_uid17_fpSqrtTest_q <= "1" when fracXIsZero_uid17_fpSqrtTest_a = fracXIsZero_uid17_fpSqrtTest_b else "0";
--InvFracXIsZero_uid19_fpSqrtTest(LOGICAL,18)@0
InvFracXIsZero_uid19_fpSqrtTest_a <= fracXIsZero_uid17_fpSqrtTest_q;
InvFracXIsZero_uid19_fpSqrtTest_q <= not InvFracXIsZero_uid19_fpSqrtTest_a;
--expXIsMax_uid15_fpSqrtTest(LOGICAL,14)@0
expXIsMax_uid15_fpSqrtTest_a <= expX_uid6_fpSqrtTest_b;
expXIsMax_uid15_fpSqrtTest_b <= cstAllOWE_uid9_fpSqrtTest_q;
expXIsMax_uid15_fpSqrtTest_q <= "1" when expXIsMax_uid15_fpSqrtTest_a = expXIsMax_uid15_fpSqrtTest_b else "0";
--exc_N_uid20_fpSqrtTest(LOGICAL,19)@0
exc_N_uid20_fpSqrtTest_a <= expXIsMax_uid15_fpSqrtTest_q;
exc_N_uid20_fpSqrtTest_b <= InvFracXIsZero_uid19_fpSqrtTest_q;
exc_N_uid20_fpSqrtTest_q <= exc_N_uid20_fpSqrtTest_a and exc_N_uid20_fpSqrtTest_b;
--InvExc_N_uid21_fpSqrtTest(LOGICAL,20)@0
InvExc_N_uid21_fpSqrtTest_a <= exc_N_uid20_fpSqrtTest_q;
InvExc_N_uid21_fpSqrtTest_q <= not InvExc_N_uid21_fpSqrtTest_a;
--exc_I_uid18_fpSqrtTest(LOGICAL,17)@0
exc_I_uid18_fpSqrtTest_a <= expXIsMax_uid15_fpSqrtTest_q;
exc_I_uid18_fpSqrtTest_b <= fracXIsZero_uid17_fpSqrtTest_q;
exc_I_uid18_fpSqrtTest_q <= exc_I_uid18_fpSqrtTest_a and exc_I_uid18_fpSqrtTest_b;
--InvExc_I_uid22_fpSqrtTest(LOGICAL,21)@0
InvExc_I_uid22_fpSqrtTest_a <= exc_I_uid18_fpSqrtTest_q;
InvExc_I_uid22_fpSqrtTest_q <= not InvExc_I_uid22_fpSqrtTest_a;
--InvExpXIsZero_uid23_fpSqrtTest(LOGICAL,22)@0
InvExpXIsZero_uid23_fpSqrtTest_a <= expXIsZero_uid13_fpSqrtTest_q;
InvExpXIsZero_uid23_fpSqrtTest_q <= not InvExpXIsZero_uid23_fpSqrtTest_a;
--exc_R_uid24_fpSqrtTest(LOGICAL,23)@0
exc_R_uid24_fpSqrtTest_a <= InvExpXIsZero_uid23_fpSqrtTest_q;
exc_R_uid24_fpSqrtTest_b <= InvExc_I_uid22_fpSqrtTest_q;
exc_R_uid24_fpSqrtTest_c <= InvExc_N_uid21_fpSqrtTest_q;
exc_R_uid24_fpSqrtTest_q <= exc_R_uid24_fpSqrtTest_a and exc_R_uid24_fpSqrtTest_b and exc_R_uid24_fpSqrtTest_c;
--minReg_uid42_fpSqrtTest(LOGICAL,41)@0
minReg_uid42_fpSqrtTest_a <= exc_R_uid24_fpSqrtTest_q;
minReg_uid42_fpSqrtTest_b <= signX_uid8_fpSqrtTest_b;
minReg_uid42_fpSqrtTest_q_i <= minReg_uid42_fpSqrtTest_a and minReg_uid42_fpSqrtTest_b;
minReg_uid42_fpSqrtTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => minReg_uid42_fpSqrtTest_q, xin => minReg_uid42_fpSqrtTest_q_i, clk => clk, ena => en(0), aclr => areset);
--minInf_uid43_fpSqrtTest(LOGICAL,42)@0
minInf_uid43_fpSqrtTest_a <= exc_I_uid18_fpSqrtTest_q;
minInf_uid43_fpSqrtTest_b <= signX_uid8_fpSqrtTest_b;
minInf_uid43_fpSqrtTest_q_i <= minInf_uid43_fpSqrtTest_a and minInf_uid43_fpSqrtTest_b;
minInf_uid43_fpSqrtTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => minInf_uid43_fpSqrtTest_q, xin => minInf_uid43_fpSqrtTest_q_i, clk => clk, ena => en(0), aclr => areset);
--reg_exc_N_uid20_fpSqrtTest_0_to_excRNaN_uid44_fpSqrtTest_1(REG,155)@0
reg_exc_N_uid20_fpSqrtTest_0_to_excRNaN_uid44_fpSqrtTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_exc_N_uid20_fpSqrtTest_0_to_excRNaN_uid44_fpSqrtTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_exc_N_uid20_fpSqrtTest_0_to_excRNaN_uid44_fpSqrtTest_1_q <= exc_N_uid20_fpSqrtTest_q;
END IF;
END IF;
END PROCESS;
--excRNaN_uid44_fpSqrtTest(LOGICAL,43)@1
excRNaN_uid44_fpSqrtTest_a <= reg_exc_N_uid20_fpSqrtTest_0_to_excRNaN_uid44_fpSqrtTest_1_q;
excRNaN_uid44_fpSqrtTest_b <= minInf_uid43_fpSqrtTest_q;
excRNaN_uid44_fpSqrtTest_c <= minReg_uid42_fpSqrtTest_q;
excRNaN_uid44_fpSqrtTest_q <= excRNaN_uid44_fpSqrtTest_a or excRNaN_uid44_fpSqrtTest_b or excRNaN_uid44_fpSqrtTest_c;
--InvSignX_uid40_fpSqrtTest(LOGICAL,39)@0
InvSignX_uid40_fpSqrtTest_a <= signX_uid8_fpSqrtTest_b;
InvSignX_uid40_fpSqrtTest_q <= not InvSignX_uid40_fpSqrtTest_a;
--inInfAndNotNeg_uid41_fpSqrtTest(LOGICAL,40)@0
inInfAndNotNeg_uid41_fpSqrtTest_a <= exc_I_uid18_fpSqrtTest_q;
inInfAndNotNeg_uid41_fpSqrtTest_b <= InvSignX_uid40_fpSqrtTest_q;
inInfAndNotNeg_uid41_fpSqrtTest_q_i <= inInfAndNotNeg_uid41_fpSqrtTest_a and inInfAndNotNeg_uid41_fpSqrtTest_b;
inInfAndNotNeg_uid41_fpSqrtTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => inInfAndNotNeg_uid41_fpSqrtTest_q, xin => inInfAndNotNeg_uid41_fpSqrtTest_q_i, clk => clk, ena => en(0), aclr => areset);
--reg_expXIsZero_uid13_fpSqrtTest_0_to_join_uid45_fpSqrtTest_0(REG,156)@0
reg_expXIsZero_uid13_fpSqrtTest_0_to_join_uid45_fpSqrtTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expXIsZero_uid13_fpSqrtTest_0_to_join_uid45_fpSqrtTest_0_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expXIsZero_uid13_fpSqrtTest_0_to_join_uid45_fpSqrtTest_0_q <= expXIsZero_uid13_fpSqrtTest_q;
END IF;
END IF;
END PROCESS;
--join_uid45_fpSqrtTest(BITJOIN,44)@1
join_uid45_fpSqrtTest_q <= excRNaN_uid44_fpSqrtTest_q & inInfAndNotNeg_uid41_fpSqrtTest_q & reg_expXIsZero_uid13_fpSqrtTest_0_to_join_uid45_fpSqrtTest_0_q;
--fracSelIn_uid46_fpSqrtTest(BITJOIN,45)@1
fracSelIn_uid46_fpSqrtTest_q <= ld_signX_uid8_fpSqrtTest_b_to_fracSelIn_uid46_fpSqrtTest_b_q & join_uid45_fpSqrtTest_q;
--fracSel_uid47_fpSqrtTest(LOOKUP,46)@1
fracSel_uid47_fpSqrtTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
fracSel_uid47_fpSqrtTest_q <= "01";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (fracSelIn_uid46_fpSqrtTest_q) IS
WHEN "0000" => fracSel_uid47_fpSqrtTest_q <= "01";
WHEN "0001" => fracSel_uid47_fpSqrtTest_q <= "00";
WHEN "0010" => fracSel_uid47_fpSqrtTest_q <= "10";
WHEN "0011" => fracSel_uid47_fpSqrtTest_q <= "00";
WHEN "0100" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN "0101" => fracSel_uid47_fpSqrtTest_q <= "00";
WHEN "0110" => fracSel_uid47_fpSqrtTest_q <= "10";
WHEN "0111" => fracSel_uid47_fpSqrtTest_q <= "00";
WHEN "1000" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN "1001" => fracSel_uid47_fpSqrtTest_q <= "00";
WHEN "1010" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN "1011" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN "1100" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN "1101" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN "1110" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN "1111" => fracSel_uid47_fpSqrtTest_q <= "11";
WHEN OTHERS =>
fracSel_uid47_fpSqrtTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--expRPostExc_uid51_fpSqrtTest(MUX,50)@2
expRPostExc_uid51_fpSqrtTest_s <= fracSel_uid47_fpSqrtTest_q;
expRPostExc_uid51_fpSqrtTest: PROCESS (expRPostExc_uid51_fpSqrtTest_s, en, cstAllZWE_uid11_fpSqrtTest_q, ld_expRMux_uid33_fpSqrtTest_q_to_expRPostExc_uid51_fpSqrtTest_d_q, cstAllOWE_uid9_fpSqrtTest_q, cstAllOWE_uid9_fpSqrtTest_q)
BEGIN
CASE expRPostExc_uid51_fpSqrtTest_s IS
WHEN "00" => expRPostExc_uid51_fpSqrtTest_q <= cstAllZWE_uid11_fpSqrtTest_q;
WHEN "01" => expRPostExc_uid51_fpSqrtTest_q <= ld_expRMux_uid33_fpSqrtTest_q_to_expRPostExc_uid51_fpSqrtTest_d_q;
WHEN "10" => expRPostExc_uid51_fpSqrtTest_q <= cstAllOWE_uid9_fpSqrtTest_q;
WHEN "11" => expRPostExc_uid51_fpSqrtTest_q <= cstAllOWE_uid9_fpSqrtTest_q;
WHEN OTHERS => expRPostExc_uid51_fpSqrtTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg(DELAY,412)
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 11, depth => 1 )
PORT MAP ( xin => expRPostExc_uid51_fpSqrtTest_q, xout => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt(COUNTER,401)
-- every=1, low=0, high=23, step=1, init=1
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i = 22 THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_eq = '1') THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i - 23;
ELSE
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_i,5));
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg(REG,402)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux(MUX,403)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_s <= en;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux: PROCESS (ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_s, ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg_q, ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_q)
BEGIN
CASE ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_s IS
WHEN "0" => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg_q;
WHEN "1" => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem(DUALMEM,413)
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ia <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg_q;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_aa <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg_q;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ab <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_q;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 11,
widthad_a => 5,
numwords_a => 24,
width_b => 11,
widthad_b => 5,
numwords_b => 24,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_iq,
address_a => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_aa,
data_a => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ia
);
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_reset0 <= areset;
ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_iq(10 downto 0);
--fracNaN_uid52_fpSqrtTest(CONSTANT,51)
fracNaN_uid52_fpSqrtTest_q <= "0000000000000000000000000000000000000000000000000001";
--fracXAddr_uid35_fpSqrtTest(BITSELECT,34)@0
fracXAddr_uid35_fpSqrtTest_in <= fracX_uid7_fpSqrtTest_b;
fracXAddr_uid35_fpSqrtTest_b <= fracXAddr_uid35_fpSqrtTest_in(51 downto 45);
--addrTable_uid36_fpSqrtTest(BITJOIN,35)@0
addrTable_uid36_fpSqrtTest_q <= expOddSelect_uid32_fpSqrtTest_q & fracXAddr_uid35_fpSqrtTest_b;
--reg_addrTable_uid36_fpSqrtTest_0_to_memoryC5_uid71_sqrtTableGenerator_lutmem_0(REG,161)@0
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC5_uid71_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC5_uid71_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC5_uid71_sqrtTableGenerator_lutmem_0_q <= addrTable_uid36_fpSqrtTest_q;
END IF;
END IF;
END PROCESS;
--memoryC5_uid71_sqrtTableGenerator_lutmem(DUALMEM,139)@1
memoryC5_uid71_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC5_uid71_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC5_uid71_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC5_uid71_sqrtTableGenerator_lutmem_0_q;
memoryC5_uid71_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 17,
widthad_a => 8,
numwords_a => 256,
width_b => 17,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC5_uid71_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC5_uid71_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC5_uid71_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC5_uid71_sqrtTableGenerator_lutmem_iq,
address_a => memoryC5_uid71_sqrtTableGenerator_lutmem_aa,
data_a => memoryC5_uid71_sqrtTableGenerator_lutmem_ia
);
memoryC5_uid71_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC5_uid71_sqrtTableGenerator_lutmem_q <= memoryC5_uid71_sqrtTableGenerator_lutmem_iq(16 downto 0);
--reg_memoryC5_uid71_sqrtTableGenerator_lutmem_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_1(REG,163)@3
reg_memoryC5_uid71_sqrtTableGenerator_lutmem_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC5_uid71_sqrtTableGenerator_lutmem_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_1_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC5_uid71_sqrtTableGenerator_lutmem_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_1_q <= memoryC5_uid71_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_inputreg(DELAY,398)
ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 52, depth => 1 )
PORT MAP ( xin => fracX_uid7_fpSqrtTest_b, xout => ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a(DELAY,229)@0
ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a : dspba_delay
GENERIC MAP ( width => 52, depth => 2 )
PORT MAP ( xin => ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_inputreg_q, xout => ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_q, ena => en(0), clk => clk, aclr => areset );
--FracX44dto0_uid37_fpSqrtTest(BITSELECT,36)@3
FracX44dto0_uid37_fpSqrtTest_in <= ld_fracX_uid7_fpSqrtTest_b_to_FracX44dto0_uid37_fpSqrtTest_a_q(44 downto 0);
FracX44dto0_uid37_fpSqrtTest_b <= FracX44dto0_uid37_fpSqrtTest_in(44 downto 0);
--yT1_uid73_sqrtPolynomialEvaluator(BITSELECT,72)@3
yT1_uid73_sqrtPolynomialEvaluator_in <= FracX44dto0_uid37_fpSqrtTest_b;
yT1_uid73_sqrtPolynomialEvaluator_b <= yT1_uid73_sqrtPolynomialEvaluator_in(44 downto 28);
--reg_yT1_uid73_sqrtPolynomialEvaluator_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_0(REG,162)@3
reg_yT1_uid73_sqrtPolynomialEvaluator_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid73_sqrtPolynomialEvaluator_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_0_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid73_sqrtPolynomialEvaluator_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_0_q <= yT1_uid73_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator(MULT,103)@4
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_a),18)) * SIGNED(prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_b);
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_a <= reg_yT1_uid73_sqrtPolynomialEvaluator_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_0_q;
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_b <= reg_memoryC5_uid71_sqrtTableGenerator_lutmem_0_to_prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_1_q;
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_pr,34));
END IF;
END IF;
END PROCESS;
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_q <= prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator(BITSELECT,104)@7
prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator_in <= prodXY_uid104_pT1_uid74_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator_in(33 downto 16);
--highBBits_uid76_sqrtPolynomialEvaluator(BITSELECT,75)@7
highBBits_uid76_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator_b;
highBBits_uid76_sqrtPolynomialEvaluator_b <= highBBits_uid76_sqrtPolynomialEvaluator_in(17 downto 1);
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_a(DELAY,363)@0
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => addrTable_uid36_fpSqrtTest_q, xout => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0(REG,164)@3
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_a_q;
END IF;
END IF;
END PROCESS;
--memoryC4_uid69_sqrtTableGenerator_lutmem(DUALMEM,138)@4
memoryC4_uid69_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC4_uid69_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC4_uid69_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC4_uid69_sqrtTableGenerator_lutmem_0_q;
memoryC4_uid69_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 24,
widthad_a => 8,
numwords_a => 256,
width_b => 24,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC4_uid69_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC4_uid69_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC4_uid69_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC4_uid69_sqrtTableGenerator_lutmem_iq,
address_a => memoryC4_uid69_sqrtTableGenerator_lutmem_aa,
data_a => memoryC4_uid69_sqrtTableGenerator_lutmem_ia
);
memoryC4_uid69_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC4_uid69_sqrtTableGenerator_lutmem_q <= memoryC4_uid69_sqrtTableGenerator_lutmem_iq(23 downto 0);
--reg_memoryC4_uid69_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid77_sqrtPolynomialEvaluator_0(REG,165)@6
reg_memoryC4_uid69_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid77_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC4_uid69_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid77_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC4_uid69_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid77_sqrtPolynomialEvaluator_0_q <= memoryC4_uid69_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid77_sqrtPolynomialEvaluator(ADD,76)@7
sumAHighB_uid77_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((24 downto 24 => reg_memoryC4_uid69_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid77_sqrtPolynomialEvaluator_0_q(23)) & reg_memoryC4_uid69_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid77_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid77_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((24 downto 17 => highBBits_uid76_sqrtPolynomialEvaluator_b(16)) & highBBits_uid76_sqrtPolynomialEvaluator_b);
sumAHighB_uid77_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid77_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid77_sqrtPolynomialEvaluator_b));
sumAHighB_uid77_sqrtPolynomialEvaluator_q <= sumAHighB_uid77_sqrtPolynomialEvaluator_o(24 downto 0);
--lowRangeB_uid75_sqrtPolynomialEvaluator(BITSELECT,74)@7
lowRangeB_uid75_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid105_pT1_uid74_sqrtPolynomialEvaluator_b(0 downto 0);
lowRangeB_uid75_sqrtPolynomialEvaluator_b <= lowRangeB_uid75_sqrtPolynomialEvaluator_in(0 downto 0);
--s1_uid75_uid78_sqrtPolynomialEvaluator(BITJOIN,77)@7
s1_uid75_uid78_sqrtPolynomialEvaluator_q <= sumAHighB_uid77_sqrtPolynomialEvaluator_q & lowRangeB_uid75_sqrtPolynomialEvaluator_b;
--reg_s1_uid75_uid78_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_1(REG,167)@7
reg_s1_uid75_uid78_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid75_uid78_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid75_uid78_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_1_q <= s1_uid75_uid78_sqrtPolynomialEvaluator_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor(LOGICAL,459)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_b <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_q <= not (ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_a or ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_b);
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_cmpReg(REG,457)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena(REG,460)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_nor_q = "1") THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena_q <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd(LOGICAL,461)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_a <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_b <= en;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_q <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_a and ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_b;
--yT2_uid79_sqrtPolynomialEvaluator(BITSELECT,78)@3
yT2_uid79_sqrtPolynomialEvaluator_in <= FracX44dto0_uid37_fpSqrtTest_b;
yT2_uid79_sqrtPolynomialEvaluator_b <= yT2_uid79_sqrtPolynomialEvaluator_in(44 downto 21);
--reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0(REG,166)@3
reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q <= yT2_uid79_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_inputreg(DELAY,451)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_inputreg : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q, xout => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt(COUNTER,453)
-- every=1, low=0, high=1, step=1, init=1
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_i,1));
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg(REG,454)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg_q <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux(MUX,455)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_s <= en;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux: PROCESS (ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_s, ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg_q, ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_s IS
WHEN "0" => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg_q;
WHEN "1" => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem(DUALMEM,452)
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_ia <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_inputreg_q;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_aa <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdreg_q;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_ab <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_rdmux_q;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 24,
widthad_a => 1,
numwords_a => 2,
width_b => 24,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_iq,
address_a => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_aa,
data_a => ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_ia
);
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_reset0 <= areset;
ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_q <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_iq(23 downto 0);
--prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator(MULT,106)@8
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a),25)) * SIGNED(prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_b);
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a <= ld_reg_yT2_uid79_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_0_q_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_a_replace_mem_q;
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_b <= reg_s1_uid75_uid78_sqrtPolynomialEvaluator_0_to_prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_1_q;
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_pr,50));
END IF;
END IF;
END PROCESS;
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_q <= prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator(BITSELECT,107)@11
prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator_in <= prodXY_uid107_pT2_uid80_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator_in(49 downto 23);
--highBBits_uid82_sqrtPolynomialEvaluator(BITSELECT,81)@11
highBBits_uid82_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator_b;
highBBits_uid82_sqrtPolynomialEvaluator_b <= highBBits_uid82_sqrtPolynomialEvaluator_in(26 downto 1);
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor(LOGICAL,485)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_b <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_q <= not (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_a or ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_b);
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_mem_top(CONSTANT,481)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_mem_top_q <= "0100";
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp(LOGICAL,482)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_a <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_mem_top_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_q);
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_q <= "1" when ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_a = ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_b else "0";
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmpReg(REG,483)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmpReg_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena(REG,486)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_nor_q = "1") THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd(LOGICAL,487)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_a <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_sticky_ena_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_b <= en;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_a and ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_b;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_inputreg(DELAY,462)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => reg_addrTable_uid36_fpSqrtTest_0_to_memoryC5_uid71_sqrtTableGenerator_lutmem_0_q, xout => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt(COUNTER,477)
-- every=1, low=0, high=4, step=1, init=1
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i = 3 THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i - 4;
ELSE
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_i,3));
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg(REG,478)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux(MUX,479)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_s <= en;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux: PROCESS (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_s, ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg_q, ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_s IS
WHEN "0" => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg_q;
WHEN "1" => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem(DUALMEM,476)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_ia <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_inputreg_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_aa <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdreg_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_ab <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_rdmux_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 5,
width_b => 8,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_iq,
address_a => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_aa,
data_a => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_ia
);
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_reset0 <= areset;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_iq(7 downto 0);
--memoryC3_uid67_sqrtTableGenerator_lutmem(DUALMEM,137)@8
memoryC3_uid67_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC3_uid67_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC3_uid67_sqrtTableGenerator_lutmem_ab <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC3_uid67_sqrtTableGenerator_lutmem_0_q_to_memoryC3_uid67_sqrtTableGenerator_lutmem_a_replace_mem_q;
memoryC3_uid67_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 33,
widthad_a => 8,
numwords_a => 256,
width_b => 33,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC3_uid67_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC3_uid67_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC3_uid67_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC3_uid67_sqrtTableGenerator_lutmem_iq,
address_a => memoryC3_uid67_sqrtTableGenerator_lutmem_aa,
data_a => memoryC3_uid67_sqrtTableGenerator_lutmem_ia
);
memoryC3_uid67_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC3_uid67_sqrtTableGenerator_lutmem_q <= memoryC3_uid67_sqrtTableGenerator_lutmem_iq(32 downto 0);
--reg_memoryC3_uid67_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid83_sqrtPolynomialEvaluator_0(REG,169)@10
reg_memoryC3_uid67_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid83_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC3_uid67_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid83_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC3_uid67_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid83_sqrtPolynomialEvaluator_0_q <= memoryC3_uid67_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid83_sqrtPolynomialEvaluator(ADD,82)@11
sumAHighB_uid83_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((33 downto 33 => reg_memoryC3_uid67_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid83_sqrtPolynomialEvaluator_0_q(32)) & reg_memoryC3_uid67_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid83_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid83_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((33 downto 26 => highBBits_uid82_sqrtPolynomialEvaluator_b(25)) & highBBits_uid82_sqrtPolynomialEvaluator_b);
sumAHighB_uid83_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid83_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid83_sqrtPolynomialEvaluator_b));
sumAHighB_uid83_sqrtPolynomialEvaluator_q <= sumAHighB_uid83_sqrtPolynomialEvaluator_o(33 downto 0);
--lowRangeB_uid81_sqrtPolynomialEvaluator(BITSELECT,80)@11
lowRangeB_uid81_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid108_pT2_uid80_sqrtPolynomialEvaluator_b(0 downto 0);
lowRangeB_uid81_sqrtPolynomialEvaluator_b <= lowRangeB_uid81_sqrtPolynomialEvaluator_in(0 downto 0);
--s2_uid81_uid84_sqrtPolynomialEvaluator(BITJOIN,83)@11
s2_uid81_uid84_sqrtPolynomialEvaluator_q <= sumAHighB_uid83_sqrtPolynomialEvaluator_q & lowRangeB_uid81_sqrtPolynomialEvaluator_b;
--reg_s2_uid81_uid84_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_1(REG,171)@11
reg_s2_uid81_uid84_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s2_uid81_uid84_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s2_uid81_uid84_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_1_q <= s2_uid81_uid84_sqrtPolynomialEvaluator_q;
END IF;
END IF;
END PROCESS;
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor(LOGICAL,524)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_b <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena_q;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_q <= not (ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_a or ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_b);
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_mem_top(CONSTANT,520)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_mem_top_q <= "0101";
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp(LOGICAL,521)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_a <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_mem_top_q;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_q);
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_q <= "1" when ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_a = ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_b else "0";
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmpReg(REG,522)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmpReg_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena(REG,525)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_nor_q = "1") THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd(LOGICAL,526)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_a <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_sticky_ena_q;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_b <= en;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_a and ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_b;
--yT3_uid85_sqrtPolynomialEvaluator(BITSELECT,84)@3
yT3_uid85_sqrtPolynomialEvaluator_in <= FracX44dto0_uid37_fpSqrtTest_b;
yT3_uid85_sqrtPolynomialEvaluator_b <= yT3_uid85_sqrtPolynomialEvaluator_in(44 downto 12);
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_inputreg(DELAY,514)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 33, depth => 1 )
PORT MAP ( xin => yT3_uid85_sqrtPolynomialEvaluator_b, xout => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt(COUNTER,516)
-- every=1, low=0, high=5, step=1, init=1
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i = 4 THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_eq = '1') THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i - 5;
ELSE
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i,3));
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg(REG,517)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux(MUX,518)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_s <= en;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux: PROCESS (ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_s, ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg_q, ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q)
BEGIN
CASE ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_s IS
WHEN "0" => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg_q;
WHEN "1" => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem(DUALMEM,515)
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_ia <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_inputreg_q;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_aa <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdreg_q;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_ab <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_rdmux_q;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 33,
widthad_a => 3,
numwords_a => 6,
width_b => 33,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_iq,
address_a => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_aa,
data_a => ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_ia
);
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_reset0 <= areset;
ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_iq(32 downto 0);
--reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0(REG,170)@11
reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_q <= ld_yT3_uid85_sqrtPolynomialEvaluator_b_to_reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator(MULT,109)@12
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_a),34)) * SIGNED(prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_b);
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_a <= reg_yT3_uid85_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_0_q;
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_b <= reg_s2_uid81_uid84_sqrtPolynomialEvaluator_0_to_prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_1_q;
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_pr,68));
END IF;
END IF;
END PROCESS;
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_q <= prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator(BITSELECT,110)@15
prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator_in <= prodXY_uid110_pT3_uid86_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator_in(67 downto 34);
--highBBits_uid88_sqrtPolynomialEvaluator(BITSELECT,87)@15
highBBits_uid88_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator_b;
highBBits_uid88_sqrtPolynomialEvaluator_b <= highBBits_uid88_sqrtPolynomialEvaluator_in(33 downto 1);
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor(LOGICAL,537)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_b <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_q <= not (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_a or ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_b);
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_mem_top(CONSTANT,533)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_mem_top_q <= "01000";
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp(LOGICAL,534)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_a <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_mem_top_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q);
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_q <= "1" when ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_a = ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_b else "0";
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmpReg(REG,535)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena(REG,538)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_nor_q = "1") THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd(LOGICAL,539)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_a <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_b <= en;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_a and ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_b;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_inputreg(DELAY,501)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => addrTable_uid36_fpSqrtTest_q, xout => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt(COUNTER,529)
-- every=1, low=0, high=8, step=1, init=1
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i = 7 THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i - 8;
ELSE
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i,4));
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg(REG,530)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux(MUX,531)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s <= en;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux: PROCESS (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s, ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q, ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q)
BEGIN
CASE ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s IS
WHEN "0" => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q;
WHEN "1" => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem(DUALMEM,528)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_ia <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_inputreg_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_aa <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_ab <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 4,
numwords_a => 9,
width_b => 8,
widthad_b => 4,
numwords_b => 9,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_iq,
address_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_aa,
data_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_ia
);
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 <= areset;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0(REG,172)@11
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid65_sqrtTableGenerator_lutmem(DUALMEM,136)@12
memoryC2_uid65_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC2_uid65_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC2_uid65_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid65_sqrtTableGenerator_lutmem_0_q;
memoryC2_uid65_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 40,
widthad_a => 8,
numwords_a => 256,
width_b => 40,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC2_uid65_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid65_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid65_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid65_sqrtTableGenerator_lutmem_iq,
address_a => memoryC2_uid65_sqrtTableGenerator_lutmem_aa,
data_a => memoryC2_uid65_sqrtTableGenerator_lutmem_ia
);
memoryC2_uid65_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC2_uid65_sqrtTableGenerator_lutmem_q <= memoryC2_uid65_sqrtTableGenerator_lutmem_iq(39 downto 0);
--reg_memoryC2_uid65_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid89_sqrtPolynomialEvaluator_0(REG,173)@14
reg_memoryC2_uid65_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid89_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid65_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid89_sqrtPolynomialEvaluator_0_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid65_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid89_sqrtPolynomialEvaluator_0_q <= memoryC2_uid65_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid89_sqrtPolynomialEvaluator(ADD,88)@15
sumAHighB_uid89_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((40 downto 40 => reg_memoryC2_uid65_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid89_sqrtPolynomialEvaluator_0_q(39)) & reg_memoryC2_uid65_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid89_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid89_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((40 downto 33 => highBBits_uid88_sqrtPolynomialEvaluator_b(32)) & highBBits_uid88_sqrtPolynomialEvaluator_b);
sumAHighB_uid89_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid89_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid89_sqrtPolynomialEvaluator_b));
sumAHighB_uid89_sqrtPolynomialEvaluator_q <= sumAHighB_uid89_sqrtPolynomialEvaluator_o(40 downto 0);
--lowRangeB_uid87_sqrtPolynomialEvaluator(BITSELECT,86)@15
lowRangeB_uid87_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid111_pT3_uid86_sqrtPolynomialEvaluator_b(0 downto 0);
lowRangeB_uid87_sqrtPolynomialEvaluator_b <= lowRangeB_uid87_sqrtPolynomialEvaluator_in(0 downto 0);
--s3_uid87_uid90_sqrtPolynomialEvaluator(BITJOIN,89)@15
s3_uid87_uid90_sqrtPolynomialEvaluator_q <= sumAHighB_uid89_sqrtPolynomialEvaluator_q & lowRangeB_uid87_sqrtPolynomialEvaluator_b;
--yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,118)@15
yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_in <= s3_uid87_uid90_sqrtPolynomialEvaluator_q;
yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_b <= yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_in(41 downto 24);
--reg_yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_9(REG,177)@15
reg_yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_9_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_9_q <= yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor(LOGICAL,448)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_b <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_q <= not (ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_a or ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_b);
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_mem_top(CONSTANT,444)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_mem_top_q <= "01001";
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp(LOGICAL,445)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_a <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_mem_top_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_q);
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_q <= "1" when ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_a = ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_b else "0";
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmpReg(REG,446)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmpReg_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena(REG,449)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_nor_q = "1") THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd(LOGICAL,450)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_a <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_b <= en;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_a and ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_b;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_inputreg(DELAY,438)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_inputreg : dspba_delay
GENERIC MAP ( width => 45, depth => 1 )
PORT MAP ( xin => FracX44dto0_uid37_fpSqrtTest_b, xout => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt(COUNTER,440)
-- every=1, low=0, high=9, step=1, init=1
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i = 8 THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '1';
ELSE
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_eq = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i - 9;
ELSE
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_i,4));
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg(REG,441)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux(MUX,442)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_s <= en;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux: PROCESS (ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_s, ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg_q, ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_q)
BEGIN
CASE ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_s IS
WHEN "0" => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg_q;
WHEN "1" => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
WHEN OTHERS => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem(DUALMEM,439)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_ia <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_inputreg_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_aa <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdreg_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_ab <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_rdmux_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 45,
widthad_a => 4,
numwords_a => 10,
width_b => 45,
widthad_b => 4,
numwords_b => 10,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_iq,
address_a => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_aa,
data_a => ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_ia
);
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_reset0 <= areset;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_iq(44 downto 0);
--yT4_uid91_sqrtPolynomialEvaluator(BITSELECT,90)@15
yT4_uid91_sqrtPolynomialEvaluator_in <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_replace_mem_q;
yT4_uid91_sqrtPolynomialEvaluator_b <= yT4_uid91_sqrtPolynomialEvaluator_in(44 downto 5);
--xBottomBits_uid118_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,117)@15
xBottomBits_uid118_pT4_uid92_sqrtPolynomialEvaluator_in <= yT4_uid91_sqrtPolynomialEvaluator_b(12 downto 0);
xBottomBits_uid118_pT4_uid92_sqrtPolynomialEvaluator_b <= xBottomBits_uid118_pT4_uid92_sqrtPolynomialEvaluator_in(12 downto 0);
--GND(CONSTANT,0)
GND_q <= "0";
--pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator(BITJOIN,120)@15
pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_q <= xBottomBits_uid118_pT4_uid92_sqrtPolynomialEvaluator_b & STD_LOGIC_VECTOR((3 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_7(REG,176)@15
reg_pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_7_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_7_q <= pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid117_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,116)@15
yBottomBits_uid117_pT4_uid92_sqrtPolynomialEvaluator_in <= s3_uid87_uid90_sqrtPolynomialEvaluator_q(14 downto 0);
yBottomBits_uid117_pT4_uid92_sqrtPolynomialEvaluator_b <= yBottomBits_uid117_pT4_uid92_sqrtPolynomialEvaluator_in(14 downto 0);
--spad_yBottomBits_uid117_uid120_pT4_uid92_sqrtPolynomialEvaluator(BITJOIN,119)@15
spad_yBottomBits_uid117_uid120_pT4_uid92_sqrtPolynomialEvaluator_q <= GND_q & yBottomBits_uid117_pT4_uid92_sqrtPolynomialEvaluator_b;
--pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator(BITJOIN,121)@15
pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_q <= spad_yBottomBits_uid117_uid120_pT4_uid92_sqrtPolynomialEvaluator_q & STD_LOGIC_VECTOR((1 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_6(REG,175)@15
reg_pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_6_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_6_q <= pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_q;
END IF;
END IF;
END PROCESS;
--xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,115)@15
xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_in <= yT4_uid91_sqrtPolynomialEvaluator_b;
xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_b <= xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_in(39 downto 22);
--reg_xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_4(REG,174)@15
reg_xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_4_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_4_q <= xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma(CHAINMULTADD,140)@16
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a(0),19));
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a(1),19));
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_p(0) <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_l(0) * multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c(0);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_p(1) <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_l(1) * multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c(1);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_w(0) <= RESIZE(multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_p(0),38) + RESIZE(multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_p(1),38);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_x(0) <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_w(0);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_y(0) <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_x(0);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a <= (others => (others => '0'));
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c <= (others => (others => '0'));
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a(0) <= RESIZE(UNSIGNED(reg_xTop18Bits_uid116_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_4_q),18);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid118_uid121_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_7_q),18);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c(0) <= RESIZE(SIGNED(reg_pad_yBottomBits_uid117_uid122_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_6_q),18);
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_c(1) <= RESIZE(SIGNED(reg_yTop18Bits_uid119_pT4_uid92_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_9_q),18);
IF (en = "1") THEN
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_s(0) <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_y(0);
END IF;
END IF;
END PROCESS;
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_delay : dspba_delay
GENERIC MAP (width => 37, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_s(0)(36 downto 0)), xout => multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_q, clk => clk, aclr => areset);
--multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,123)@19
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_in <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_cma_q;
multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_b <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_in(36 downto 2);
--highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,125)@19
highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator_in <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_b;
highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator_b <= highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator_in(34 downto 6);
--yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,113)@15
yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_in <= s3_uid87_uid90_sqrtPolynomialEvaluator_q;
yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_b <= yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_in(41 downto 15);
--reg_yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_1(REG,179)@15
reg_yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_1_q <= yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,112)@15
xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_in <= yT4_uid91_sqrtPolynomialEvaluator_b;
xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_b <= xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_in(39 downto 13);
--reg_xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_0(REG,178)@15
reg_xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_0_q <= xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator(MULT,114)@16
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_a),28)) * SIGNED(topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_b);
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_a <= (others => '0');
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_b <= (others => '0');
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_a <= reg_xTop27Bits_uid113_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_0_q;
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_b <= reg_yTop27Bits_uid114_pT4_uid92_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_1_q;
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_q <= topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_s1;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator(ADD,126)@19
sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_q(53)) & topProd_uid115_pT4_uid92_sqrtPolynomialEvaluator_q);
sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((54 downto 29 => highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator_b(28)) & highBBits_uid126_pT4_uid92_sqrtPolynomialEvaluator_b);
sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_b));
sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_q <= sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_o(54 downto 0);
--lowRangeB_uid125_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,124)@19
lowRangeB_uid125_pT4_uid92_sqrtPolynomialEvaluator_in <= multSumOfTwo18_uid120_pT4_uid92_sqrtPolynomialEvaluator_b(5 downto 0);
lowRangeB_uid125_pT4_uid92_sqrtPolynomialEvaluator_b <= lowRangeB_uid125_pT4_uid92_sqrtPolynomialEvaluator_in(5 downto 0);
--add0_uid125_uid128_pT4_uid92_sqrtPolynomialEvaluator(BITJOIN,127)@19
add0_uid125_uid128_pT4_uid92_sqrtPolynomialEvaluator_q <= sumAHighB_uid127_pT4_uid92_sqrtPolynomialEvaluator_q & lowRangeB_uid125_pT4_uid92_sqrtPolynomialEvaluator_b;
--R_uid129_pT4_uid92_sqrtPolynomialEvaluator(BITSELECT,128)@19
R_uid129_pT4_uid92_sqrtPolynomialEvaluator_in <= add0_uid125_uid128_pT4_uid92_sqrtPolynomialEvaluator_q(59 downto 0);
R_uid129_pT4_uid92_sqrtPolynomialEvaluator_b <= R_uid129_pT4_uid92_sqrtPolynomialEvaluator_in(59 downto 17);
--reg_R_uid129_pT4_uid92_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_1(REG,181)@19
reg_R_uid129_pT4_uid92_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid129_pT4_uid92_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_1_q <= "0000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid129_pT4_uid92_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_1_q <= R_uid129_pT4_uid92_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor(LOGICAL,511)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_b <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_q <= not (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_a or ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_b);
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_mem_top(CONSTANT,507)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_mem_top_q <= "01100";
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp(LOGICAL,508)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_a <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_mem_top_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q);
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_q <= "1" when ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_a = ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_b else "0";
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmpReg(REG,509)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena(REG,512)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_nor_q = "1") THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd(LOGICAL,513)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_a <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_b <= en;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_a and ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_b;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt(COUNTER,503)
-- every=1, low=0, high=12, step=1, init=1
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i = 11 THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i - 12;
ELSE
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i,4));
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg(REG,504)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux(MUX,505)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s <= en;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux: PROCESS (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s, ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q, ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q)
BEGIN
CASE ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s IS
WHEN "0" => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q;
WHEN "1" => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem(DUALMEM,502)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_ia <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_inputreg_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_aa <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_ab <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 4,
numwords_a => 13,
width_b => 8,
widthad_b => 4,
numwords_b => 13,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_iq,
address_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_aa,
data_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_ia
);
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 <= areset;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0(REG,157)@15
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid63_sqrtTableGenerator_lutmem(DUALMEM,135)@16
memoryC1_uid63_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC1_uid63_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC1_uid63_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_q;
memoryC1_uid63_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 9,
widthad_a => 8,
numwords_a => 256,
width_b => 9,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC1_uid63_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid63_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid63_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid63_sqrtTableGenerator_lutmem_iq,
address_a => memoryC1_uid63_sqrtTableGenerator_lutmem_aa,
data_a => memoryC1_uid63_sqrtTableGenerator_lutmem_ia
);
memoryC1_uid63_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC1_uid63_sqrtTableGenerator_lutmem_q <= memoryC1_uid63_sqrtTableGenerator_lutmem_iq(8 downto 0);
--reg_memoryC1_uid63_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_1(REG,160)@18
reg_memoryC1_uid63_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid63_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_1_q <= "000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid63_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_1_q <= memoryC1_uid63_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid62_sqrtTableGenerator_lutmem(DUALMEM,134)@16
memoryC1_uid62_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC1_uid62_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC1_uid62_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_q;
memoryC1_uid62_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 40,
widthad_a => 8,
numwords_a => 256,
width_b => 40,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC1_uid62_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid62_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid62_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid62_sqrtTableGenerator_lutmem_iq,
address_a => memoryC1_uid62_sqrtTableGenerator_lutmem_aa,
data_a => memoryC1_uid62_sqrtTableGenerator_lutmem_ia
);
memoryC1_uid62_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC1_uid62_sqrtTableGenerator_lutmem_q <= memoryC1_uid62_sqrtTableGenerator_lutmem_iq(39 downto 0);
--reg_memoryC1_uid62_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_0(REG,159)@18
reg_memoryC1_uid62_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid62_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_0_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid62_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_0_q <= memoryC1_uid62_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid64_sqrtTableGenerator(BITJOIN,63)@19
os_uid64_sqrtTableGenerator_q <= reg_memoryC1_uid63_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_1_q & reg_memoryC1_uid62_sqrtTableGenerator_lutmem_0_to_os_uid64_sqrtTableGenerator_0_q;
--rndBit_uid93_sqrtPolynomialEvaluator(CONSTANT,92)
rndBit_uid93_sqrtPolynomialEvaluator_q <= "01";
--cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator(BITJOIN,93)@19
cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_q <= os_uid64_sqrtTableGenerator_q & rndBit_uid93_sqrtPolynomialEvaluator_q;
--reg_cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_0(REG,180)@19
reg_cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_0_q <= cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_q;
END IF;
END IF;
END PROCESS;
--ts4_uid95_sqrtPolynomialEvaluator(ADD,94)@20
ts4_uid95_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((51 downto 51 => reg_cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_0_q(50)) & reg_cIncludingRoundingBit_uid94_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_0_q);
ts4_uid95_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((51 downto 43 => reg_R_uid129_pT4_uid92_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_1_q(42)) & reg_R_uid129_pT4_uid92_sqrtPolynomialEvaluator_0_to_ts4_uid95_sqrtPolynomialEvaluator_1_q);
ts4_uid95_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(ts4_uid95_sqrtPolynomialEvaluator_a) + SIGNED(ts4_uid95_sqrtPolynomialEvaluator_b));
ts4_uid95_sqrtPolynomialEvaluator_q <= ts4_uid95_sqrtPolynomialEvaluator_o(51 downto 0);
--s4_uid96_sqrtPolynomialEvaluator(BITSELECT,95)@20
s4_uid96_sqrtPolynomialEvaluator_in <= ts4_uid95_sqrtPolynomialEvaluator_q;
s4_uid96_sqrtPolynomialEvaluator_b <= s4_uid96_sqrtPolynomialEvaluator_in(51 downto 1);
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1(BITSELECT,144)@20
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_in <= STD_LOGIC_VECTOR((53 downto 51 => s4_uid96_sqrtPolynomialEvaluator_b(50)) & s4_uid96_sqrtPolynomialEvaluator_b);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_b <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_in(53 downto 27);
--reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_1(REG,187)@20
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_1_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_b;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor(LOGICAL,498)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_b <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_q <= not (ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_a or ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_b);
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_mem_top(CONSTANT,494)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_mem_top_q <= "01110";
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp(LOGICAL,495)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_a <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_mem_top_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_q);
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_q <= "1" when ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_a = ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_b else "0";
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmpReg(REG,496)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmpReg_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena(REG,499)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_nor_q = "1") THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd(LOGICAL,500)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_a <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_sticky_ena_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_b <= en;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_a and ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_b;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt(COUNTER,490)
-- every=1, low=0, high=14, step=1, init=1
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i = 13 THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_eq = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i - 14;
ELSE
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_i,4));
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg(REG,491)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux(MUX,492)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_s <= en;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux: PROCESS (ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_s, ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg_q, ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_q)
BEGIN
CASE ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_s IS
WHEN "0" => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg_q;
WHEN "1" => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem(DUALMEM,489)
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_ia <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_yT4_uid91_sqrtPolynomialEvaluator_a_inputreg_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_aa <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdreg_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_ab <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_rdmux_q;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 45,
widthad_a => 4,
numwords_a => 15,
width_b => 45,
widthad_b => 4,
numwords_b => 15,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_iq,
address_a => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_aa,
data_a => ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_ia
);
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_reset0 <= areset;
ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_q <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_iq(44 downto 0);
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1(BITSELECT,142)@20
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_in <= STD_LOGIC_VECTOR("000000000" & ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_q);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_b <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_in(53 downto 27);
--reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_0(REG,184)@20
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1(MULT,148)@21
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_pr <= signed(resize(UNSIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_a),28)) * SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_b);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_a <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_b <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_a <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_0_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_b <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_1_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_pr,54));
END IF;
END IF;
END PROCESS;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_s1;
END IF;
END IF;
END PROCESS;
--ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_a(DELAY,349)@24
ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_a : dspba_delay
GENERIC MAP ( width => 54, depth => 2 )
PORT MAP ( xin => prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q, xout => ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_a_q, ena => en(0), clk => clk, aclr => areset );
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2(BITSHIFT,152)@26
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_q_int <= ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b1_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_a_q & "000000000000000000000000000000000000000000000000000000";
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_q_int(107 downto 0);
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0(BITSELECT,141)@20
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_in <= ld_FracX44dto0_uid37_fpSqrtTest_b_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_a_replace_mem_q(26 downto 0);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_b <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_in(26 downto 0);
--reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_0(REG,182)@20
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1(MULT,147)@21
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_pr <= signed(resize(UNSIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_a),28)) * SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_b);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_a <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_b <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_a <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_0_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_b <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_1_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_pr,54));
END IF;
END IF;
END PROCESS;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_s1;
END IF;
END IF;
END PROCESS;
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0(BITSELECT,143)@20
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_in <= s4_uid96_sqrtPolynomialEvaluator_b(26 downto 0);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_b <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_in(26 downto 0);
--reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_1(REG,183)@20
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_1_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0(MULT,146)@21
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_pr <= UNSIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_a) * UNSIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_b);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_a <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_b <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_a <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_1_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_0_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_b <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_1_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_s1 <= STD_LOGIC_VECTOR(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_pr);
END IF;
END IF;
END PROCESS;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_s1;
END IF;
END IF;
END PROCESS;
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0(ADD,149)@24
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_a <= STD_LOGIC_VECTOR('0' & "00" & prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a1_b0_q);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_b <= STD_LOGIC_VECTOR((56 downto 54 => prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_q(53)) & prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b1_q);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_a) + SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_b));
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_o(55 downto 0);
--ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_a(DELAY,348)@24
ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_a : dspba_delay
GENERIC MAP ( width => 56, depth => 1 )
PORT MAP ( xin => prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q, xout => ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_a_q, ena => en(0), clk => clk, aclr => areset );
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1(BITSHIFT,151)@25
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_q_int <= ld_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_addcol_1_add_0_0_q_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_a_q & "000000000000000000000000000";
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_q_int(82 downto 0);
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0(MULT,145)@21
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_pr <= UNSIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_a) * UNSIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_b);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_a <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_b <= (others => '0');
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_a <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_0_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_b <= reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_b_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_1_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_s1 <= STD_LOGIC_VECTOR(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_pr);
END IF;
END IF;
END PROCESS;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_s1;
END IF;
END IF;
END PROCESS;
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0(BITSHIFT,150)@24
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_q_int <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_a0_b0_q;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_q_int(53 downto 0);
--reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_0(REG,188)@24
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0(ADD,153)@25
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_a <= STD_LOGIC_VECTOR('0' & "000000000000000000000000000000" & reg_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_0_0_to_prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_0_q);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_b <= STD_LOGIC_VECTOR((84 downto 83 => prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_q(82)) & prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_1_q);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_a) + SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_b));
END IF;
END PROCESS;
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_o(83 downto 0);
--prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0(ADD,154)@26
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_a <= STD_LOGIC_VECTOR((108 downto 84 => prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_q(83)) & prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_0_0_q);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_b <= STD_LOGIC_VECTOR((108 downto 108 => prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_q(107)) & prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_align_2_q);
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_o <= STD_LOGIC_VECTOR(SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_a) + SIGNED(prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_b));
prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_q <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_o(108 downto 0);
--prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator(BITSELECT,130)@26
prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator_in <= prodXY_uid130_pT5_uid98_sqrtPolynomialEvaluator_result_add_1_0_q(95 downto 0);
prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator_in(95 downto 44);
--highBBits_uid100_sqrtPolynomialEvaluator(BITSELECT,99)@26
highBBits_uid100_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator_b;
highBBits_uid100_sqrtPolynomialEvaluator_b <= highBBits_uid100_sqrtPolynomialEvaluator_in(51 downto 2);
--reg_highBBits_uid100_sqrtPolynomialEvaluator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_1(REG,196)@26
reg_highBBits_uid100_sqrtPolynomialEvaluator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid100_sqrtPolynomialEvaluator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_highBBits_uid100_sqrtPolynomialEvaluator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_1_q <= highBBits_uid100_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor(LOGICAL,472)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_b <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_q <= not (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_a or ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_b);
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_mem_top(CONSTANT,468)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_mem_top_q <= "010011";
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp(LOGICAL,469)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_a <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_mem_top_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_q);
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_q <= "1" when ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_a = ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_b else "0";
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmpReg(REG,470)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmpReg_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena(REG,473)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_nor_q = "1") THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd(LOGICAL,474)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_a <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_sticky_ena_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_b <= en;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_a and ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_b;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt(COUNTER,464)
-- every=1, low=0, high=19, step=1, init=1
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i = 18 THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_eq = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i - 19;
ELSE
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_i,5));
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg(REG,465)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux(MUX,466)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_s <= en;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux: PROCESS (ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_s, ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg_q, ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_s IS
WHEN "0" => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg_q;
WHEN "1" => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem(DUALMEM,463)
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_ia <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_inputreg_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_aa <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_ab <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_q;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 5,
numwords_a => 20,
width_b => 8,
widthad_b => 5,
numwords_b => 20,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_iq,
address_a => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_aa,
data_a => ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_ia
);
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_reset0 <= areset;
ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_iq(7 downto 0);
--memoryC0_uid60_sqrtTableGenerator_lutmem(DUALMEM,133)@23
memoryC0_uid60_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC0_uid60_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC0_uid60_sqrtTableGenerator_lutmem_ab <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_mem_q;
memoryC0_uid60_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 17,
widthad_a => 8,
numwords_a => 256,
width_b => 17,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC0_uid60_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid60_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid60_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid60_sqrtTableGenerator_lutmem_iq,
address_a => memoryC0_uid60_sqrtTableGenerator_lutmem_aa,
data_a => memoryC0_uid60_sqrtTableGenerator_lutmem_ia
);
memoryC0_uid60_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC0_uid60_sqrtTableGenerator_lutmem_q <= memoryC0_uid60_sqrtTableGenerator_lutmem_iq(16 downto 0);
--reg_memoryC0_uid60_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_1(REG,194)@25
reg_memoryC0_uid60_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid60_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_1_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid60_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_1_q <= memoryC0_uid60_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor(LOGICAL,550)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_b <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_q <= not (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_a or ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_b);
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena(REG,551)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_q = "1") THEN
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd(LOGICAL,552)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_a <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_b <= en;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_a and ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_b;
--ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem(DUALMEM,541)
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ia <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid62_sqrtTableGenerator_lutmem_0_a_inputreg_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_aa <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdreg_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ab <= ld_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid60_sqrtTableGenerator_lutmem_0_q_to_memoryC0_uid60_sqrtTableGenerator_lutmem_a_replace_rdmux_q;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 5,
numwords_a => 20,
width_b => 8,
widthad_b => 5,
numwords_b => 20,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_iq,
address_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_aa,
data_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ia
);
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 <= areset;
ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0(REG,191)@22
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid59_sqrtTableGenerator_lutmem(DUALMEM,132)@23
memoryC0_uid59_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC0_uid59_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC0_uid59_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q;
memoryC0_uid59_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 40,
widthad_a => 8,
numwords_a => 256,
width_b => 40,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sqrt_double_s5_memoryC0_uid59_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid59_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid59_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid59_sqrtTableGenerator_lutmem_iq,
address_a => memoryC0_uid59_sqrtTableGenerator_lutmem_aa,
data_a => memoryC0_uid59_sqrtTableGenerator_lutmem_ia
);
memoryC0_uid59_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC0_uid59_sqrtTableGenerator_lutmem_q <= memoryC0_uid59_sqrtTableGenerator_lutmem_iq(39 downto 0);
--reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_0(REG,193)@25
reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_0_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_0_q <= memoryC0_uid59_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid61_sqrtTableGenerator(BITJOIN,60)@26
os_uid61_sqrtTableGenerator_q <= reg_memoryC0_uid60_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_1_q & reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_os_uid61_sqrtTableGenerator_0_q;
--reg_os_uid61_sqrtTableGenerator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_0(REG,195)@26
reg_os_uid61_sqrtTableGenerator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_os_uid61_sqrtTableGenerator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_os_uid61_sqrtTableGenerator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_0_q <= os_uid61_sqrtTableGenerator_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid101_sqrtPolynomialEvaluator(ADD,100)@27
sumAHighB_uid101_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((57 downto 57 => reg_os_uid61_sqrtTableGenerator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_0_q(56)) & reg_os_uid61_sqrtTableGenerator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid101_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((57 downto 50 => reg_highBBits_uid100_sqrtPolynomialEvaluator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_1_q(49)) & reg_highBBits_uid100_sqrtPolynomialEvaluator_0_to_sumAHighB_uid101_sqrtPolynomialEvaluator_1_q);
sumAHighB_uid101_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid101_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid101_sqrtPolynomialEvaluator_b));
sumAHighB_uid101_sqrtPolynomialEvaluator_q <= sumAHighB_uid101_sqrtPolynomialEvaluator_o(57 downto 0);
--lowRangeB_uid99_sqrtPolynomialEvaluator(BITSELECT,98)@26
lowRangeB_uid99_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid131_pT5_uid98_sqrtPolynomialEvaluator_b(1 downto 0);
lowRangeB_uid99_sqrtPolynomialEvaluator_b <= lowRangeB_uid99_sqrtPolynomialEvaluator_in(1 downto 0);
--reg_lowRangeB_uid99_sqrtPolynomialEvaluator_0_to_s5_uid99_uid102_sqrtPolynomialEvaluator_0(REG,197)@26
reg_lowRangeB_uid99_sqrtPolynomialEvaluator_0_to_s5_uid99_uid102_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_lowRangeB_uid99_sqrtPolynomialEvaluator_0_to_s5_uid99_uid102_sqrtPolynomialEvaluator_0_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_lowRangeB_uid99_sqrtPolynomialEvaluator_0_to_s5_uid99_uid102_sqrtPolynomialEvaluator_0_q <= lowRangeB_uid99_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--s5_uid99_uid102_sqrtPolynomialEvaluator(BITJOIN,101)@27
s5_uid99_uid102_sqrtPolynomialEvaluator_q <= sumAHighB_uid101_sqrtPolynomialEvaluator_q & reg_lowRangeB_uid99_sqrtPolynomialEvaluator_0_to_s5_uid99_uid102_sqrtPolynomialEvaluator_0_q;
--fracR_uid39_fpSqrtTest(BITSELECT,38)@27
fracR_uid39_fpSqrtTest_in <= s5_uid99_uid102_sqrtPolynomialEvaluator_q(56 downto 0);
fracR_uid39_fpSqrtTest_b <= fracR_uid39_fpSqrtTest_in(56 downto 5);
--reg_fracR_uid39_fpSqrtTest_0_to_fracRPostExc_uid55_fpSqrtTest_3(REG,198)@27
reg_fracR_uid39_fpSqrtTest_0_to_fracRPostExc_uid55_fpSqrtTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracR_uid39_fpSqrtTest_0_to_fracRPostExc_uid55_fpSqrtTest_3_q <= "0000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracR_uid39_fpSqrtTest_0_to_fracRPostExc_uid55_fpSqrtTest_3_q <= fracR_uid39_fpSqrtTest_b;
END IF;
END IF;
END PROCESS;
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor(LOGICAL,409)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_notEnable_q;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_b <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena_q;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_q <= not (ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_a or ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_b);
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena(REG,410)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_nor_q = "1") THEN
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd(LOGICAL,411)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_a <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_sticky_ena_q;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_b <= en;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_a and ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_b;
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_inputreg(DELAY,399)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => fracSel_uid47_fpSqrtTest_q, xout => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem(DUALMEM,400)
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_ia <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_inputreg_q;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_aa <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdreg_q;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_ab <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_rdmux_q;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 5,
numwords_a => 24,
width_b => 2,
widthad_b => 5,
numwords_b => 24,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_iq,
address_a => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_aa,
data_a => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_ia
);
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_reset0 <= areset;
ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_q <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_iq(1 downto 0);
--fracRPostExc_uid55_fpSqrtTest(MUX,54)@28
fracRPostExc_uid55_fpSqrtTest_s <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_replace_mem_q;
fracRPostExc_uid55_fpSqrtTest: PROCESS (fracRPostExc_uid55_fpSqrtTest_s, en, cstAllZWF_uid10_fpSqrtTest_q, reg_fracR_uid39_fpSqrtTest_0_to_fracRPostExc_uid55_fpSqrtTest_3_q, cstAllZWF_uid10_fpSqrtTest_q, fracNaN_uid52_fpSqrtTest_q)
BEGIN
CASE fracRPostExc_uid55_fpSqrtTest_s IS
WHEN "00" => fracRPostExc_uid55_fpSqrtTest_q <= cstAllZWF_uid10_fpSqrtTest_q;
WHEN "01" => fracRPostExc_uid55_fpSqrtTest_q <= reg_fracR_uid39_fpSqrtTest_0_to_fracRPostExc_uid55_fpSqrtTest_3_q;
WHEN "10" => fracRPostExc_uid55_fpSqrtTest_q <= cstAllZWF_uid10_fpSqrtTest_q;
WHEN "11" => fracRPostExc_uid55_fpSqrtTest_q <= fracNaN_uid52_fpSqrtTest_q;
WHEN OTHERS => fracRPostExc_uid55_fpSqrtTest_q <= (others => '0');
END CASE;
END PROCESS;
--RSqrt_uid57_fpSqrtTest(BITJOIN,56)@28
RSqrt_uid57_fpSqrtTest_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_replace_mem_q & ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_q & fracRPostExc_uid55_fpSqrtTest_q;
--xOut(GPOUT,4)@28
q <= RSqrt_uid57_fpSqrtTest_q;
end normal;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_cordic_m1.vhd | 10 | 13050 | -- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_M1.VHD ***
--*** ***
--*** Function: SIN and COS CORDIC with early ***
--*** Termination Algorithm (Multiplier) ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** 1. estimates lower iterations of cordic ***
--*** using Z value and multiplier ***
--*** 2. multiplier at level (depth-4) for best ***
--*** results try depth = width/2+4 ***
--***************************************************
ENTITY fp_cordic_m1 IS
GENERIC (
width : positive := 36;
depth : positive := 20;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radians : IN STD_LOGIC_VECTOR (width DOWNTO 1); --'0'&[width-1:1]
indexbit : IN STD_LOGIC;
sincosbit : IN STD_LOGIC; -- 0 = cos, 1 = sin
sincos : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_m1;
ARCHITECTURE rtl of fp_cordic_m1 IS
constant cordic_depth : positive := depth - 4;
type datapathtype IS ARRAY (cordic_depth DOWNTO 1) OF STD_LOGIC_VECTOR (width DOWNTO 1);
type atantype IS ARRAY (cordic_depth DOWNTO 1) OF STD_LOGIC_VECTOR (width DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal indexpointnum, startindex : STD_LOGIC_VECTOR (4 DOWNTO 1);
signal indexbitff : STD_LOGIC_VECTOR (cordic_depth+3 DOWNTO 1);
signal sincosbitff : STD_LOGIC_VECTOR (cordic_depth+3 DOWNTO 1);
signal x_start_node : STD_LOGIC_VECTOR (width DOWNTO 1);
signal radians_load_node : STD_LOGIC_VECTOR (width DOWNTO 1);
signal x_pipeff : datapathtype;
signal y_pipeff : datapathtype;
signal z_pipeff : datapathtype;
signal x_prenode, x_prenodeone, x_prenodetwo : datapathtype;
signal x_subnode, x_pipenode : datapathtype;
signal y_prenode, y_prenodeone, y_prenodetwo : datapathtype;
signal y_subnode, y_pipenode : datapathtype;
signal z_subnode, z_pipenode : datapathtype;
signal atannode : atantype;
signal multiplier_input : STD_LOGIC_VECTOR (width DOWNTO 1);
signal multipliernode : STD_LOGIC_VECTOR (2*width DOWNTO 1);
signal sincosff : STD_LOGIC_VECTOR (width DOWNTO 1);
signal delay_input : STD_LOGIC_VECTOR (width DOWNTO 1);
signal delay_pipe : STD_LOGIC_VECTOR (width DOWNTO 1);
signal pre_estimate : STD_LOGIC_VECTOR (width DOWNTO 1);
signal estimate : STD_LOGIC_VECTOR (width DOWNTO 1);
signal post_estimate : STD_LOGIC_VECTOR (width DOWNTO 1);
component fp_cordic_start1
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_cordic_atan1
GENERIC (start : positive := 32;
width : positive := 32;
indexpoint : positive := 1);
PORT (
indexbit : IN STD_LOGIC;
arctan : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_sgn_mul3s
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_del
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
-- maximum width supported = 36 (width of start table)
-- depth <= width
-- maximum indexpoint = 10 (atan_table width - 10 > maximum width)
gprma: IF (width > 36) GENERATE
assert false report "maximum width is 36" severity error;
END GENERATE;
gprmb: IF (depth > width) GENERATE
assert false report "depth cannot exceed (width-6)" severity error;
END GENERATE;
gprmc: IF (indexpoint > 10) GENERATE
assert false report "maximum indexpoint is 10" severity error;
END GENERATE;
-- max radians = 1.57 = 01100100....
-- max atan(2^-0)= 0.785 = 00110010.....
-- x start (0.607) = 0010011011....
indexpointnum <= conv_std_logic_vector (indexpoint,4);
gipa: FOR k IN 1 TO 4 GENERATE
startindex(k) <= indexpointnum(k) AND indexbit;
END GENERATE;
cxs: fp_cordic_start1
GENERIC MAP (width=>width)
PORT MAP (index=>startindex,value=>x_start_node);
gra: FOR k IN 1 TO indexpoint GENERATE
radians_load_node(k) <= (radians(k) AND NOT(indexbit));
END GENERATE;
grb: FOR k IN indexpoint+1 TO width GENERATE
radians_load_node(k) <= (radians(k) AND NOT(indexbit)) OR
(radians(k-indexpoint) AND indexbit);
END GENERATE;
zerovec <= x"000000000";
ppa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO cordic_depth+3 LOOP
indexbitff(k) <= '0';
END LOOP;
FOR k IN 1 TO cordic_depth+3 LOOP
sincosbitff(k) <= '0';
END LOOP;
FOR k IN 1 TO cordic_depth LOOP
FOR j IN 1 TO width LOOP
x_pipeff(k)(j) <= '0';
y_pipeff(k)(j) <= '0';
z_pipeff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF(rising_edge(sysclk)) THEN
IF (enable = '1') THEN
indexbitff(1) <= indexbit;
FOR k IN 2 TO cordic_depth+3 LOOP
indexbitff(k) <= indexbitff(k-1);
END LOOP;
sincosbitff(1) <= sincosbit;
FOR k IN 2 TO cordic_depth+3 LOOP
sincosbitff(k) <= sincosbitff(k-1);
END LOOP;
x_pipeff(1)(width DOWNTO 1) <= x_start_node;
y_pipeff(1)(width DOWNTO 1) <= conv_std_logic_vector(0,width);
z_pipeff(1)(width DOWNTO 1) <= radians_load_node;
-- z(1) always positive
x_pipeff(2)(width DOWNTO 1) <= x_pipeff(1)(width DOWNTO 1); -- subtraction value always 0 here anyway
y_pipeff(2)(width DOWNTO 1) <= y_pipeff(1)(width DOWNTO 1) + y_subnode(2)(width DOWNTO 1);
z_pipeff(2)(width DOWNTO 1) <= z_pipeff(1)(width DOWNTO 1) - atannode(1)(width DOWNTO 1);
FOR k IN 3 TO cordic_depth LOOP
x_pipeff(k)(width DOWNTO 1) <= x_pipenode(k)(width DOWNTO 1);
y_pipeff(k)(width DOWNTO 1) <= y_pipenode(k)(width DOWNTO 1);
z_pipeff(k)(width DOWNTO 1) <= z_pipenode(k)(width DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
gya: FOR k IN 1 TO width-indexpoint GENERATE
y_subnode(2)(k) <= (x_pipeff(1)(k) AND NOT indexbitff(1)) OR (x_pipeff(1)(k+indexpoint) AND indexbitff(1));
END GENERATE;
gyb: FOR k IN (width-(indexpoint-1)) TO width GENERATE
y_subnode(2)(k) <= (x_pipeff(1)(k) AND NOT indexbitff(1));
END GENERATE;
gpa: FOR k IN 3 TO cordic_depth GENERATE
gpb: FOR j IN width+3-k TO width GENERATE
x_prenodeone(k)(j) <= NOT(y_pipeff(k-1)(width));
y_prenodeone(k)(j) <= x_pipeff(k-1)(width);
END GENERATE;
gpc: FOR j IN width+3-indexpoint-k TO width GENERATE
x_prenodetwo(k)(j) <= NOT(y_pipeff(k-1)(width));
y_prenodetwo(k)(j) <= x_pipeff(k-1)(width);
END GENERATE;
gpd: FOR j IN 1 TO width+2-k GENERATE
x_prenodeone(k)(j) <= NOT(y_pipeff(k-1)(j+k-2));
y_prenodeone(k)(j) <= x_pipeff(k-1)(j+k-2);
END GENERATE;
gpe: FOR j IN 1 TO width+2-indexpoint-k GENERATE
x_prenodetwo(k)(j) <= NOT(y_pipeff(k-1)(j+k-2+indexpoint));
y_prenodetwo(k)(j) <= x_pipeff(k-1)(j+k-2+indexpoint);
END GENERATE;
gpf: FOR j IN 1 TO width GENERATE
x_prenode(k)(j) <= (x_prenodeone(k)(j) AND NOT(indexbitff(k-1))) OR
(x_prenodetwo(k)(j) AND indexbitff(k-1));
y_prenode(k)(j) <= (y_prenodeone(k)(j) AND NOT(indexbitff(k-1))) OR
(y_prenodetwo(k)(j) AND indexbitff(k-1));
END GENERATE;
gpg: FOR j IN 1 TO width GENERATE
x_subnode(k)(j) <= x_prenode(k)(j) XOR z_pipeff(k-1)(width);
y_subnode(k)(j) <= y_prenode(k)(j) XOR z_pipeff(k-1)(width);
z_subnode(k)(j) <= NOT(atannode(k-1)(j)) XOR z_pipeff(k-1)(width);
END GENERATE;
x_pipenode(k)(width DOWNTO 1) <= x_pipeff(k-1)(width DOWNTO 1) +
x_subnode(k)(width DOWNTO 1) + z_pipeff(k-1)(width);
y_pipenode(k)(width DOWNTO 1) <= y_pipeff(k-1)(width DOWNTO 1) +
y_subnode(k)(width DOWNTO 1) + z_pipeff(k-1)(width);
z_pipenode(k)(width DOWNTO 1) <= z_pipeff(k-1)(width DOWNTO 1) +
z_subnode(k)(width DOWNTO 1) + z_pipeff(k-1)(width);
END GENERATE;
gata: FOR k IN 1 TO cordic_depth GENERATE
cata: fp_cordic_atan1
GENERIC MAP (start=>k,width=>width,indexpoint=>indexpoint)
PORT MAP (indexbit=>indexbitff(k),arctan=>atannode(k)(width DOWNTO 1));
END GENERATE;
gma: FOR k IN 1 TO width GENERATE
multiplier_input(k) <= (x_pipeff(cordic_depth)(k) AND sincosbitff(cordic_depth)) OR
(y_pipeff(cordic_depth)(k) AND NOT(sincosbitff(cordic_depth)));
delay_input(k) <= (x_pipeff(cordic_depth)(k) AND NOT(sincosbitff(cordic_depth))) OR
(y_pipeff(cordic_depth)(k) AND sincosbitff(cordic_depth));
END GENERATE;
cmx: fp_sgn_mul3s
GENERIC MAP (widthaa=>width,widthbb=>width,widthcc=>2*width)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>multiplier_input,
databb=>z_pipeff(cordic_depth)(width DOWNTO 1),
result=>multipliernode);
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO width LOOP
sincosff(k) <= '0';
END LOOP;
ELSIF(rising_edge(sysclk)) THEN
IF (enable = '1') THEN
sincosff <= delay_pipe + post_estimate + NOT(sincosbitff(cordic_depth+3));
END IF;
END IF;
END PROCESS;
pre_estimate <= multipliernode(2*width-2 DOWNTO width-1);
gea: FOR k IN 1 TO width-indexpoint GENERATE
estimate(k) <= (pre_estimate(k) AND NOT(indexbitff(cordic_depth+3))) OR
(pre_estimate(k+indexpoint) AND indexbitff(cordic_depth+3));
END GENERATE;
geb: FOR k IN width-indexpoint+1 TO width GENERATE
estimate(k) <= (pre_estimate(k) AND NOT(indexbitff(cordic_depth+3))) OR
(pre_estimate(width) AND indexbitff(cordic_depth+3));
END GENERATE;
-- add estimate for sin, subtract for cos
gec: FOR k IN 1 TO width GENERATE
post_estimate(k) <= estimate(k) XOR NOT(sincosbitff(cordic_depth+3));
END GENERATE;
cda: fp_del
GENERIC MAP (width=>width,pipes=>3)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>delay_input,
cc=>delay_pipe);
sincos <= sincosff;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_cordic_atan1.vhd | 10 | 5033 | -- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_ATAN1.VHD ***
--*** ***
--*** Function: ATAN Values Table for SIN and ***
--*** COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_atan1 IS
GENERIC (start : positive := 32;
width : positive := 32;
indexpoint : positive := 1);
PORT (
indexbit : IN STD_LOGIC;
arctan : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_atan1;
ARCHITECTURE sft OF fp_cordic_atan1 IS
type atantype IS ARRAY (48 DOWNTO 1) OF STD_LOGIC_VECTOR (48 DOWNTO 1);
signal atannum : atantype;
BEGIN
-- "00" + 46 bits
atannum(1)(48 DOWNTO 1) <= x"3243F6A8885A";
atannum(2)(48 DOWNTO 1) <= x"1DAC670561BB";
atannum(3)(48 DOWNTO 1) <= x"0FADBAFC9640";
atannum(4)(48 DOWNTO 1) <= x"07F56EA6AB0C";
atannum(5)(48 DOWNTO 1) <= x"03FEAB76E5A0";
atannum(6)(48 DOWNTO 1) <= x"01FFD55BBA97";
atannum(7)(48 DOWNTO 1) <= x"00FFFAAADDDC";
atannum(8)(48 DOWNTO 1) <= x"007FFF5556EF";
atannum(9)(48 DOWNTO 1) <= x"003FFFEAAAB7";
atannum(10)(48 DOWNTO 1) <= x"001FFFFD5556";
atannum(11)(48 DOWNTO 1) <= x"000FFFFFAAAB";
atannum(12)(48 DOWNTO 1) <= x"0007FFFFF555";
atannum(13)(48 DOWNTO 1) <= x"0003FFFFFEAB";
atannum(14)(48 DOWNTO 1) <= x"0001FFFFFFD5";
atannum(15)(48 DOWNTO 1) <= x"0000FFFFFFFB";
atannum(16)(48 DOWNTO 1) <= x"00007FFFFFFF";
atannum(17)(48 DOWNTO 1) <= x"000040000000";
atannum(18)(48 DOWNTO 1) <= x"000020000000";
atannum(19)(48 DOWNTO 1) <= x"000010000000";
atannum(20)(48 DOWNTO 1) <= x"000008000000";
atannum(21)(48 DOWNTO 1) <= x"000004000000";
atannum(22)(48 DOWNTO 1) <= x"000002000000";
atannum(23)(48 DOWNTO 1) <= x"000001000000";
atannum(24)(48 DOWNTO 1) <= x"000000800000";
atannum(25)(48 DOWNTO 1) <= x"000000400000";
atannum(26)(48 DOWNTO 1) <= x"000000200000";
atannum(27)(48 DOWNTO 1) <= x"000000100000";
atannum(28)(48 DOWNTO 1) <= x"000000080000";
atannum(29)(48 DOWNTO 1) <= x"000000040000";
atannum(30)(48 DOWNTO 1) <= x"000000020000";
atannum(31)(48 DOWNTO 1) <= x"000000010000";
atannum(32)(48 DOWNTO 1) <= x"000000008000";
atannum(33)(48 DOWNTO 1) <= x"000000004000";
atannum(34)(48 DOWNTO 1) <= x"000000002000";
atannum(35)(48 DOWNTO 1) <= x"000000001000";
atannum(36)(48 DOWNTO 1) <= x"000000000800";
atannum(37)(48 DOWNTO 1) <= x"000000000400";
atannum(38)(48 DOWNTO 1) <= x"000000000200";
atannum(39)(48 DOWNTO 1) <= x"000000000100";
atannum(40)(48 DOWNTO 1) <= x"000000000080";
atannum(41)(48 DOWNTO 1) <= x"000000000040";
atannum(42)(48 DOWNTO 1) <= x"000000000020";
atannum(43)(48 DOWNTO 1) <= x"000000000010";
atannum(44)(48 DOWNTO 1) <= x"000000000008";
atannum(45)(48 DOWNTO 1) <= x"000000000004";
atannum(46)(48 DOWNTO 1) <= x"000000000002";
atannum(47)(48 DOWNTO 1) <= x"000000000001";
atannum(48)(48 DOWNTO 1) <= x"000000000000";
pca: PROCESS (indexbit)
BEGIN
CASE indexbit IS
WHEN '0' => arctan <= atannum(start)(48 DOWNTO 49-width);
WHEN '1' => arctan <= atannum(start+indexpoint)(48-indexpoint DOWNTO 49-indexpoint-width);
WHEN others => arctan <= atannum(48)(width DOWNTO 1);
END CASE;
END PROCESS;
END sft;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_sum36x18.vhd | 10 | 18695 | -- megafunction wizard: %ALTMULT_ADD%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: ALTMULT_ADD
-- ============================================================
-- File Name: sum36x18.vhd
-- Megafunction Name(s):
-- ALTMULT_ADD
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 8.1 Build 163 10/28/2008 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2008 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY fp_sum36x18 IS
PORT
(
aclr3 : IN STD_LOGIC := '0';
clock0 : IN STD_LOGIC := '1';
dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0');
dataa_1 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0');
datab_0 : IN STD_LOGIC_VECTOR (35 DOWNTO 0) := (OTHERS => '0');
datab_1 : IN STD_LOGIC_VECTOR (35 DOWNTO 0) := (OTHERS => '0');
ena0 : IN STD_LOGIC := '1';
result : OUT STD_LOGIC_VECTOR (54 DOWNTO 0)
);
END fp_sum36x18;
ARCHITECTURE SYN OF fp_sum36x18 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (54 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (17 DOWNTO 0);
SIGNAL sub_wire2 : STD_LOGIC_VECTOR (35 DOWNTO 0);
SIGNAL sub_wire3 : STD_LOGIC_VECTOR (17 DOWNTO 0);
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (35 DOWNTO 0);
SIGNAL sub_wire5 : STD_LOGIC_VECTOR (71 DOWNTO 0);
SIGNAL sub_wire6 : STD_LOGIC_VECTOR (35 DOWNTO 0);
COMPONENT altmult_add
GENERIC (
accumulator : STRING;
addnsub_multiplier_aclr1 : STRING;
addnsub_multiplier_pipeline_aclr1 : STRING;
addnsub_multiplier_pipeline_register1 : STRING;
addnsub_multiplier_register1 : STRING;
chainout_adder : STRING;
chainout_register : STRING;
dedicated_multiplier_circuitry : STRING;
input_aclr_a0 : STRING;
input_aclr_a1 : STRING;
input_aclr_b0 : STRING;
input_aclr_b1 : STRING;
input_register_a0 : STRING;
input_register_a1 : STRING;
input_register_b0 : STRING;
input_register_b1 : STRING;
input_source_a0 : STRING;
input_source_a1 : STRING;
input_source_b0 : STRING;
input_source_b1 : STRING;
intended_device_family : STRING;
lpm_type : STRING;
multiplier1_direction : STRING;
multiplier_aclr0 : STRING;
multiplier_aclr1 : STRING;
multiplier_register0 : STRING;
multiplier_register1 : STRING;
number_of_multipliers : NATURAL;
output_aclr : STRING;
output_register : STRING;
port_addnsub1 : STRING;
port_signa : STRING;
port_signb : STRING;
representation_a : STRING;
representation_b : STRING;
signed_aclr_a : STRING;
signed_aclr_b : STRING;
signed_pipeline_aclr_a : STRING;
signed_pipeline_aclr_b : STRING;
signed_pipeline_register_a : STRING;
signed_pipeline_register_b : STRING;
signed_register_a : STRING;
signed_register_b : STRING;
width_a : NATURAL;
width_b : NATURAL;
width_chainin : NATURAL;
width_result : NATURAL;
zero_chainout_output_aclr : STRING;
zero_chainout_output_register : STRING;
zero_loopback_aclr : STRING;
zero_loopback_output_aclr : STRING;
zero_loopback_output_register : STRING;
zero_loopback_pipeline_aclr : STRING;
zero_loopback_pipeline_register : STRING;
zero_loopback_register : STRING
);
PORT (
dataa : IN STD_LOGIC_VECTOR (35 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (71 DOWNTO 0);
clock0 : IN STD_LOGIC ;
aclr3 : IN STD_LOGIC ;
ena0 : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (54 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire6 <= datab_1(35 DOWNTO 0);
sub_wire3 <= dataa_1(17 DOWNTO 0);
result <= sub_wire0(54 DOWNTO 0);
sub_wire1 <= dataa_0(17 DOWNTO 0);
sub_wire2 <= sub_wire3(17 DOWNTO 0) & sub_wire1(17 DOWNTO 0);
sub_wire4 <= datab_0(35 DOWNTO 0);
sub_wire5 <= sub_wire6(35 DOWNTO 0) & sub_wire4(35 DOWNTO 0);
ALTMULT_ADD_component : ALTMULT_ADD
GENERIC MAP (
accumulator => "NO",
addnsub_multiplier_aclr1 => "ACLR3",
addnsub_multiplier_pipeline_aclr1 => "ACLR3",
addnsub_multiplier_pipeline_register1 => "CLOCK0",
addnsub_multiplier_register1 => "CLOCK0",
chainout_adder => "NO",
chainout_register => "UNREGISTERED",
dedicated_multiplier_circuitry => "AUTO",
input_aclr_a0 => "ACLR3",
input_aclr_a1 => "ACLR3",
input_aclr_b0 => "ACLR3",
input_aclr_b1 => "ACLR3",
input_register_a0 => "CLOCK0",
input_register_a1 => "CLOCK0",
input_register_b0 => "CLOCK0",
input_register_b1 => "CLOCK0",
input_source_a0 => "DATAA",
input_source_a1 => "DATAA",
input_source_b0 => "DATAB",
input_source_b1 => "DATAB",
intended_device_family => "Stratix III",
lpm_type => "altmult_add",
multiplier1_direction => "ADD",
multiplier_aclr0 => "ACLR3",
multiplier_aclr1 => "ACLR3",
multiplier_register0 => "CLOCK0",
multiplier_register1 => "CLOCK0",
number_of_multipliers => 2,
output_aclr => "ACLR3",
output_register => "CLOCK0",
port_addnsub1 => "PORT_UNUSED",
port_signa => "PORT_UNUSED",
port_signb => "PORT_UNUSED",
representation_a => "UNSIGNED",
representation_b => "UNSIGNED",
signed_aclr_a => "ACLR3",
signed_aclr_b => "ACLR3",
signed_pipeline_aclr_a => "ACLR3",
signed_pipeline_aclr_b => "ACLR3",
signed_pipeline_register_a => "CLOCK0",
signed_pipeline_register_b => "CLOCK0",
signed_register_a => "CLOCK0",
signed_register_b => "CLOCK0",
width_a => 18,
width_b => 36,
width_chainin => 1,
width_result => 55,
zero_chainout_output_aclr => "ACLR3",
zero_chainout_output_register => "CLOCK0",
zero_loopback_aclr => "ACLR3",
zero_loopback_output_aclr => "ACLR3",
zero_loopback_output_register => "CLOCK0",
zero_loopback_pipeline_aclr => "ACLR3",
zero_loopback_pipeline_register => "CLOCK0",
zero_loopback_register => "CLOCK0"
)
PORT MAP (
dataa => sub_wire2,
datab => sub_wire5,
clock0 => clock0,
aclr3 => aclr3,
ena0 => ena0,
result => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACCUM_DIRECTION STRING "Add"
-- Retrieval info: PRIVATE: ACCUM_SLOAD_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ACCUM_SLOAD_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ACCUM_SLOAD_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ACCUM_SLOAD_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ACCUM_SLOAD_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: ACCUM_SLOAD_REG STRING "1"
-- Retrieval info: PRIVATE: ADDNSUB1_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ADDNSUB1_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ADDNSUB1_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ADDNSUB1_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ADDNSUB1_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: ADDNSUB1_REG STRING "1"
-- Retrieval info: PRIVATE: ADDNSUB3_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ADDNSUB3_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ADDNSUB3_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ADDNSUB3_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ADDNSUB3_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: ADDNSUB3_REG STRING "1"
-- Retrieval info: PRIVATE: ADD_ENABLE NUMERIC "1"
-- Retrieval info: PRIVATE: ALL_REG_ACLR NUMERIC "1"
-- Retrieval info: PRIVATE: A_ACLR_SRC_MULT0 NUMERIC "3"
-- Retrieval info: PRIVATE: A_CLK_SRC_MULT0 NUMERIC "0"
-- Retrieval info: PRIVATE: B_ACLR_SRC_MULT0 NUMERIC "3"
-- Retrieval info: PRIVATE: B_CLK_SRC_MULT0 NUMERIC "0"
-- Retrieval info: PRIVATE: CHAINOUT_OUTPUT_ACLR NUMERIC "3"
-- Retrieval info: PRIVATE: CHAINOUT_OUTPUT_REG STRING "0"
-- Retrieval info: PRIVATE: CHAINOUT_OUTPUT_REGISTER NUMERIC "0"
-- Retrieval info: PRIVATE: CHAINOUT_OUTPUT_REGISTERED NUMERIC "0"
-- Retrieval info: PRIVATE: CHAS_ZERO_CHAINOUT NUMERIC "1"
-- Retrieval info: PRIVATE: HAS_ACCUMULATOR NUMERIC "0"
-- Retrieval info: PRIVATE: HAS_ACUMM_SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: HAS_CHAININ_PORT NUMERIC "0"
-- Retrieval info: PRIVATE: HAS_CHAINOUT_ADDER NUMERIC "0"
-- Retrieval info: PRIVATE: HAS_LOOPBACK NUMERIC "0"
-- Retrieval info: PRIVATE: HAS_MAC STRING "0"
-- Retrieval info: PRIVATE: HAS_SAT_ROUND STRING "0"
-- Retrieval info: PRIVATE: HAS_ZERO_LOOPBACK NUMERIC "0"
-- Retrieval info: PRIVATE: IMPL_STYLE_DEDICATED NUMERIC "0"
-- Retrieval info: PRIVATE: IMPL_STYLE_DEFAULT NUMERIC "1"
-- Retrieval info: PRIVATE: IMPL_STYLE_LCELL NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix III"
-- Retrieval info: PRIVATE: MULT_REGA0 NUMERIC "1"
-- Retrieval info: PRIVATE: MULT_REGB0 NUMERIC "1"
-- Retrieval info: PRIVATE: MULT_REGOUT0 NUMERIC "1"
-- Retrieval info: PRIVATE: NUM_MULT STRING "2"
-- Retrieval info: PRIVATE: OP1 STRING "Add"
-- Retrieval info: PRIVATE: OP3 STRING "Add"
-- Retrieval info: PRIVATE: OUTPUT_EXTRA_LAT NUMERIC "0"
-- Retrieval info: PRIVATE: OUTPUT_REG_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: OUTPUT_REG_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: Q_ACLR_SRC_MULT0 NUMERIC "3"
-- Retrieval info: PRIVATE: Q_CLK_SRC_MULT0 NUMERIC "0"
-- Retrieval info: PRIVATE: REG_OUT NUMERIC "1"
-- Retrieval info: PRIVATE: RNFORMAT STRING "55"
-- Retrieval info: PRIVATE: ROTATE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ROTATE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ROTATE_OUT_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ROTATE_OUT_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ROTATE_OUT_REG STRING "1"
-- Retrieval info: PRIVATE: ROTATE_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ROTATE_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ROTATE_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: ROTATE_REG STRING "1"
-- Retrieval info: PRIVATE: RQFORMAT STRING "Q1.15"
-- Retrieval info: PRIVATE: RTS_WIDTH STRING "55"
-- Retrieval info: PRIVATE: SAME_CONFIG NUMERIC "1"
-- Retrieval info: PRIVATE: SAME_CONTROL_SRC_A0 NUMERIC "1"
-- Retrieval info: PRIVATE: SAME_CONTROL_SRC_B0 NUMERIC "1"
-- Retrieval info: PRIVATE: SCANOUTA NUMERIC "0"
-- Retrieval info: PRIVATE: SCANOUTB NUMERIC "0"
-- Retrieval info: PRIVATE: SHIFTOUTA_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SHIFTOUTA_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SHIFTOUTA_REG STRING "0"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_OUT_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_OUT_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_OUT_REG STRING "1"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: SHIFT_RIGHT_REG STRING "1"
-- Retrieval info: PRIVATE: SHIFT_ROTATE_MODE STRING "None"
-- Retrieval info: PRIVATE: SIGNA STRING "Unsigned"
-- Retrieval info: PRIVATE: SIGNA_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SIGNA_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SIGNA_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SIGNA_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SIGNA_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: SIGNA_REG STRING "1"
-- Retrieval info: PRIVATE: SIGNB STRING "Unsigned"
-- Retrieval info: PRIVATE: SIGNB_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SIGNB_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SIGNB_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: SIGNB_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: SIGNB_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: SIGNB_REG STRING "1"
-- Retrieval info: PRIVATE: SRCA0 STRING "Multiplier input"
-- Retrieval info: PRIVATE: SRCB0 STRING "Multiplier input"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: WIDTHA STRING "18"
-- Retrieval info: PRIVATE: WIDTHB STRING "36"
-- Retrieval info: PRIVATE: ZERO_CHAINOUT_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ZERO_CHAINOUT_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_CHAINOUT_REG STRING "1"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_OUT_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_OUT_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_OUT_REG STRING "1"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_PIPE_ACLR_SRC NUMERIC "3"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_PIPE_CLK_SRC NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_PIPE_REG STRING "1"
-- Retrieval info: PRIVATE: ZERO_LOOPBACK_REG STRING "1"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: ACCUMULATOR STRING "NO"
-- Retrieval info: CONSTANT: ADDNSUB_MULTIPLIER_ACLR1 STRING "ACLR3"
-- Retrieval info: CONSTANT: ADDNSUB_MULTIPLIER_PIPELINE_ACLR1 STRING "ACLR3"
-- Retrieval info: CONSTANT: ADDNSUB_MULTIPLIER_PIPELINE_REGISTER1 STRING "CLOCK0"
-- Retrieval info: CONSTANT: ADDNSUB_MULTIPLIER_REGISTER1 STRING "CLOCK0"
-- Retrieval info: CONSTANT: CHAINOUT_ADDER STRING "NO"
-- Retrieval info: CONSTANT: CHAINOUT_REGISTER STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: DEDICATED_MULTIPLIER_CIRCUITRY STRING "AUTO"
-- Retrieval info: CONSTANT: INPUT_ACLR_A0 STRING "ACLR3"
-- Retrieval info: CONSTANT: INPUT_ACLR_A1 STRING "ACLR3"
-- Retrieval info: CONSTANT: INPUT_ACLR_B0 STRING "ACLR3"
-- Retrieval info: CONSTANT: INPUT_ACLR_B1 STRING "ACLR3"
-- Retrieval info: CONSTANT: INPUT_REGISTER_A0 STRING "CLOCK0"
-- Retrieval info: CONSTANT: INPUT_REGISTER_A1 STRING "CLOCK0"
-- Retrieval info: CONSTANT: INPUT_REGISTER_B0 STRING "CLOCK0"
-- Retrieval info: CONSTANT: INPUT_REGISTER_B1 STRING "CLOCK0"
-- Retrieval info: CONSTANT: INPUT_SOURCE_A0 STRING "DATAA"
-- Retrieval info: CONSTANT: INPUT_SOURCE_A1 STRING "DATAA"
-- Retrieval info: CONSTANT: INPUT_SOURCE_B0 STRING "DATAB"
-- Retrieval info: CONSTANT: INPUT_SOURCE_B1 STRING "DATAB"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix III"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altmult_add"
-- Retrieval info: CONSTANT: MULTIPLIER1_DIRECTION STRING "ADD"
-- Retrieval info: CONSTANT: MULTIPLIER_ACLR0 STRING "ACLR3"
-- Retrieval info: CONSTANT: MULTIPLIER_ACLR1 STRING "ACLR3"
-- Retrieval info: CONSTANT: MULTIPLIER_REGISTER0 STRING "CLOCK0"
-- Retrieval info: CONSTANT: MULTIPLIER_REGISTER1 STRING "CLOCK0"
-- Retrieval info: CONSTANT: NUMBER_OF_MULTIPLIERS NUMERIC "2"
-- Retrieval info: CONSTANT: OUTPUT_ACLR STRING "ACLR3"
-- Retrieval info: CONSTANT: OUTPUT_REGISTER STRING "CLOCK0"
-- Retrieval info: CONSTANT: PORT_ADDNSUB1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SIGNA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SIGNB STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: REPRESENTATION_A STRING "UNSIGNED"
-- Retrieval info: CONSTANT: REPRESENTATION_B STRING "UNSIGNED"
-- Retrieval info: CONSTANT: SIGNED_ACLR_A STRING "ACLR3"
-- Retrieval info: CONSTANT: SIGNED_ACLR_B STRING "ACLR3"
-- Retrieval info: CONSTANT: SIGNED_PIPELINE_ACLR_A STRING "ACLR3"
-- Retrieval info: CONSTANT: SIGNED_PIPELINE_ACLR_B STRING "ACLR3"
-- Retrieval info: CONSTANT: SIGNED_PIPELINE_REGISTER_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: SIGNED_PIPELINE_REGISTER_B STRING "CLOCK0"
-- Retrieval info: CONSTANT: SIGNED_REGISTER_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: SIGNED_REGISTER_B STRING "CLOCK0"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "18"
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "36"
-- Retrieval info: CONSTANT: WIDTH_CHAININ NUMERIC "1"
-- Retrieval info: CONSTANT: WIDTH_RESULT NUMERIC "55"
-- Retrieval info: CONSTANT: ZERO_CHAINOUT_OUTPUT_ACLR STRING "ACLR3"
-- Retrieval info: CONSTANT: ZERO_CHAINOUT_OUTPUT_REGISTER STRING "CLOCK0"
-- Retrieval info: CONSTANT: ZERO_LOOPBACK_ACLR STRING "ACLR3"
-- Retrieval info: CONSTANT: ZERO_LOOPBACK_OUTPUT_ACLR STRING "ACLR3"
-- Retrieval info: CONSTANT: ZERO_LOOPBACK_OUTPUT_REGISTER STRING "CLOCK0"
-- Retrieval info: CONSTANT: ZERO_LOOPBACK_PIPELINE_ACLR STRING "ACLR3"
-- Retrieval info: CONSTANT: ZERO_LOOPBACK_PIPELINE_REGISTER STRING "CLOCK0"
-- Retrieval info: CONSTANT: ZERO_LOOPBACK_REGISTER STRING "CLOCK0"
-- Retrieval info: USED_PORT: aclr3 0 0 0 0 INPUT GND "aclr3"
-- Retrieval info: USED_PORT: clock0 0 0 0 0 INPUT VCC "clock0"
-- Retrieval info: USED_PORT: dataa_0 0 0 18 0 INPUT GND "dataa_0[17..0]"
-- Retrieval info: USED_PORT: dataa_1 0 0 18 0 INPUT GND "dataa_1[17..0]"
-- Retrieval info: USED_PORT: datab_0 0 0 36 0 INPUT GND "datab_0[35..0]"
-- Retrieval info: USED_PORT: datab_1 0 0 36 0 INPUT GND "datab_1[35..0]"
-- Retrieval info: USED_PORT: ena0 0 0 0 0 INPUT VCC "ena0"
-- Retrieval info: USED_PORT: result 0 0 55 0 OUTPUT GND "result[54..0]"
-- Retrieval info: CONNECT: @datab 0 0 36 36 datab_1 0 0 36 0
-- Retrieval info: CONNECT: @aclr3 0 0 0 0 aclr3 0 0 0 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock0 0 0 0 0
-- Retrieval info: CONNECT: result 0 0 55 0 @result 0 0 55 0
-- Retrieval info: CONNECT: @dataa 0 0 18 0 dataa_0 0 0 18 0
-- Retrieval info: CONNECT: @dataa 0 0 18 18 dataa_1 0 0 18 0
-- Retrieval info: CONNECT: @ena0 0 0 0 0 ena0 0 0 0 0
-- Retrieval info: CONNECT: @datab 0 0 36 0 datab_0 0 0 36 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL sum36x18.vhd TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sum36x18.inc FALSE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sum36x18.cmp TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sum36x18.bsf FALSE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sum36x18_inst.vhd FALSE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sum36x18_waveforms.html TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sum36x18_wave*.jpg FALSE FALSE
-- Retrieval info: LIB_FILE: altera_mf
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_divide.vhd | 10 | 4415 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_DIVIDE.VHD ***
--*** ***
--*** Function: Fixed point divide - used by ***
--*** single and double dividers ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_divide IS
GENERIC (
width : positive := 24;
precision : positive := 28 -- minimum width+4
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
top : IN STD_LOGIC_VECTOR (width DOWNTO 1);
bot : IN STD_LOGIC_VECTOR (width DOWNTO 1);
fpquotient : OUT STD_LOGIC_VECTOR (width+2 DOWNTO 1)
);
END hcc_divide;
ARCHITECTURE div OF hcc_divide IS
type nodetype IS ARRAY (width+2 DOWNTO 1) OF STD_LOGIC_VECTOR (precision DOWNTO 1);
type qfftype IS ARRAY (width+1 DOWNTO 1) OF STD_LOGIC_VECTOR (width+1 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (precision-1 DOWNTO 1);
signal topone, botone : STD_LOGIC_VECTOR (precision DOWNTO 1);
signal addsub, botnode : nodetype;
signal levff, botff : nodetype;
signal qff : qfftype;
signal quotientnode : STD_LOGIC_VECTOR (width+2 DOWNTO 1);
BEGIN
-- NOTES
-- non restoring divider
-- check for "0" intermediate remainder not required as both inputs 1.XXXXX format
-- 2 extra output bits - pentium compatibility requires round to nearest, not round to nearest even
-- trailing zeros optimizations do not appear to improve size or speed, removed here
zerovec <= conv_std_logic_vector (0,precision-1);
topone <= '0' & top & zerovec(precision-width-1 DOWNTO 1);
botone <= '0' & bot & zerovec(precision-width-1 DOWNTO 1);
addsub(1)(precision DOWNTO 1) <= topone - botone;
addsub(2)(precision DOWNTO 1) <= '0' & ( levff(1)(precision-1 DOWNTO 1) +
botnode(1)(precision-1 DOWNTO 1) +
(zerovec(precision-2 DOWNTO 1) & NOT(levff(1)(precision))) );
gsa: FOR k IN 3 TO width+2 GENERATE
addsub(k)(precision DOWNTO 1) <= zerovec(k-1 DOWNTO 1) & ( levff(k-1)(precision+1-k DOWNTO 1) +
botnode(k-1)(precision+1-k DOWNTO 1) +
(zerovec(precision-k DOWNTO 1) & NOT(levff(k-1)(precision+2-k))) );
END GENERATE;
gxa: FOR k IN 1 TO width+1 GENERATE
gxb: FOR j IN 1 TO precision GENERATE
botnode(k)(j) <= botff(k)(j) XOR NOT(levff(k)(precision+1-k));
END GENERATE;
END GENERATE;
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
botff(1)(precision DOWNTO 1) <= "00" & bot & zerovec(precision-width-2 DOWNTO 1);
FOR k IN 2 TO width+1 LOOP
botff(k)(precision DOWNTO 1) <= '0' & botff(k-1)(precision DOWNTO 2);
END LOOP;
FOR k IN 1 TO width+1 LOOP
levff(k)(precision DOWNTO 1) <= addsub(k)(precision DOWNTO 1);
END LOOP;
FOR k IN 1 TO width+1 LOOP
qff(k)(1) <= addsub(k)(precision+1-k);
FOR j IN 2 TO width+1 LOOP
qff(k)(j) <= qff(k)(j-1);
END LOOP;
END LOOP;
END IF;
END IF;
END PROCESS;
quotientnode(1) <= NOT(addsub(width+2)(precision-width-1));
gqo: FOR k IN 2 TO width+2 GENERATE
quotientnode(k) <= NOT(qff(width+3-k)(k-1));
END GENERATE;
fpquotient <= quotientnode;
END div;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_package.vhd | 10 | 12965 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_PACKAGE.VHD ***
--*** ***
--*** Function: Component Declarations of ***
--*** compiler instantiated functions ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 06/02/08 - removed divides (now a library ***
--*** function ***
--*** ***
--*** ***
--***************************************************
PACKAGE hcc_package IS
--***********************************
--*** SINGLE PRECISION COMPONENTS ***
--***********************************
component hcc_alufp1x
GENERIC (
mantissa : positive := 36;
shiftspeed : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
addsub : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_mulfp1x
GENERIC (
mantissa : positive := 32; -- 32 or 36
outputscale : integer := 0; -- 0 = none, 1 = scale
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_normfp1x
GENERIC (
mantissa : positive := 32; -- 32 or 36
inputnormalize : integer := 1; -- 0 = scale, 1 = normalize
roundnormalize : integer := 1;
normspeed : positive := 2; -- 1 or 2
target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_neg1x
GENERIC (
mantissa : positive := 32; -- 32/36
ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8)
xoutput : integer := 1; -- 1 = single x format (smantissa/10)
funcoutput : integer := 0 -- function output (S'1'umantissa-2/10)
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
--***********************************
--*** DOUBLE PRECISION COMPONENTS ***
--***********************************
component hcc_alufp2x
GENERIC (
shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
addsub : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_mulfp2x
GENERIC (
ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11)
xoutput : integer := 1; -- 1 = double x format (s64/13)
multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13)
roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1'
roundnormalize : integer := 1; -- global switch - round all normalizations when '1'
doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles
outputpipe : integer := 1; -- if zero, dont put final pipe for some modes
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_normfp2x
GENERIC (
roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1'
roundnormalize : integer := 1; -- global switch - round all normalizations when '1'
normspeed : positive := 3; -- 1,2, or 3 pipes for norm core
doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles
target : integer := 1; -- 1(internal), 0 (multiplier, divider)
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_neg2x
GENERIC (
ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11)
xoutput : integer := 1; -- 1 = double x format (s64/13)
funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13)
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
--***********************
--*** CAST COMPONENTS ***
--***********************
component hcc_castftox
GENERIC (
target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider)
roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1'
mantissa : positive := 32;
outputpipe : integer := 1 -- 0 no pipe, 1 output always registered
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_castxtof IS
GENERIC (
mantissa : positive := 32; -- 32 or 36
normspeed : positive := 2 -- 1 or 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
end component;
component castftoy
GENERIC (
target : integer := 0; -- 1 (internal), 0 (multiplier,divider)
roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1'
mantissa : positive := 32
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_castdtoy
GENERIC (
target : integer := 1; -- 1(internal), 0 (multiplier, divider)
roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1'
outputpipe : integer := 1; -- if zero, dont put final pipe for some modes
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component castdtox
GENERIC (
target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider)
mantissa : positive := 32;
roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1'
doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component castxtod
GENERIC (
mantissa : positive := 32;
roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1'
doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component castxtoy
GENERIC (
target : integer := 1; -- 1(internal), 0 (multiplier, divider)
mantissa : positive := 32
);
PORT (
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_castytod
GENERIC (
roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1'
normspeed : positive := 3; -- 1,2, or 3 pipes for norm core
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component castytof
GENERIC (
roundconvert : integer := 1 -- global switch - round all conversions when '1'
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
end component;
component castytox IS
GENERIC (
roundconvert : integer := 1; -- global switch - round all conversions when '1'
mantissa : positive := 32
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
--************************
--*** OTHER COMPONENTS ***
--************************
component hcc_delay
GENERIC (
width : positive := 32;
delay : positive := 10;
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
END hcc_package;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dp_neg.vhd | 10 | 3079 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** DP_NEG.VHD ***
--*** ***
--*** Function: Single Precision Negative Value ***
--*** ***
--*** Created 12/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_neg IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END dp_neg;
ARCHITECTURE rtl OF dp_neg IS
signal signff : STD_LOGIC;
signal exponentff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal mantissaff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal expnode : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal expzerochk, expmaxchk : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal expzero, expmax : STD_LOGIC;
signal manzerochk : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signff <= '0';
FOR k IN 1 TO 11 LOOP
exponentff(k) <= '0';
END LOOP;
FOR k IN 1 TO 52 LOOP
mantissaff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signff <= NOT(signin);
exponentff <= exponentin;
mantissaff <= mantissain;
END IF;
END IF;
END PROCESS;
expzerochk(1) <= exponentff(1);
expmaxchk(1) <= exponentff(1);
gxa: FOR k IN 2 TO 11 GENERATE
expzerochk(k) <= expzerochk(k-1) OR exponentff(k);
expmaxchk(k) <= expmaxchk(k-1) AND exponentff(k);
END GENERATE;
expzero <= NOT(expzerochk(11));
expmax <= expmaxchk(11);
manzerochk(1) <= mantissaff(1);
gma: FOR k IN 2 TO 52 GENERATE
manzerochk(k) <= manzerochk(k-1) OR mantissaff(k);
END GENERATE;
manzero <= NOT(manzerochk(52));
mannonzero <= manzerochk(52);
signout <= signff;
exponentout <= exponentff;
mantissaout <= mantissaff;
satout <= expmax AND manzero;
zeroout <= expzero;
nanout <= expmax AND mannonzero;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_sinpi_s5.vhd | 10 | 314232 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_sinpi_s5
-- VHDL created on Mon Mar 11 13:59:03 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_sinpi_s5 is
port (
a : in std_logic_vector(31 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(31 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_sinpi_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllOWE_uid9_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal cstAllZWF_uid10_fpSinPiTest_q : std_logic_vector (22 downto 0);
signal cstBias_uid11_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal cstBiasPwF_uid12_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal cstAllZWE_uid16_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal biasM1_uid31_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal biasMwShift_uid33_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal shiftBias_uid36_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal cst01pWShift_uid38_fpSinPiTest_q : std_logic_vector (12 downto 0);
signal ozz_uid45_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal cOne_uid48_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal cmpYToOneMinusY_uid50_fpSinPiTest_a : std_logic_vector(40 downto 0);
signal cmpYToOneMinusY_uid50_fpSinPiTest_b : std_logic_vector(40 downto 0);
signal cmpYToOneMinusY_uid50_fpSinPiTest_o : std_logic_vector (40 downto 0);
signal cmpYToOneMinusY_uid50_fpSinPiTest_cin : std_logic_vector (0 downto 0);
signal cmpYToOneMinusY_uid50_fpSinPiTest_c : std_logic_vector (0 downto 0);
signal p_uid59_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal p_uid59_fpSinPiTest_q : std_logic_vector (23 downto 0);
signal expP_uid65_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal expP_uid65_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal piwFP2_uid71_fpSinPiTest_q : std_logic_vector (24 downto 0);
signal multRightOp_uid72_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal multRightOp_uid72_fpSinPiTest_q : std_logic_vector (24 downto 0);
signal mul2xSinRes_uid73_fpSinPiTest_a : std_logic_vector (23 downto 0);
signal mul2xSinRes_uid73_fpSinPiTest_b : std_logic_vector (24 downto 0);
signal mul2xSinRes_uid73_fpSinPiTest_s1 : std_logic_vector (48 downto 0);
signal mul2xSinRes_uid73_fpSinPiTest_pr : UNSIGNED (48 downto 0);
signal mul2xSinRes_uid73_fpSinPiTest_q : std_logic_vector (48 downto 0);
signal regXAndInt_uid91_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal regXAndInt_uid91_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal regXAndInt_uid91_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal xHalfRZI_uid94_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal xHalfRZI_uid94_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal xHalfRZI_uid94_fpSinPiTest_c : std_logic_vector(0 downto 0);
signal xHalfRZI_uid94_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal oneFracRPostExc2_uid96_fpSinPiTest_q : std_logic_vector (22 downto 0);
signal rZOrXInt_uid98_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal rZOrXInt_uid98_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal rZOrXInt_uid98_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal signComp_uid107_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal signComp_uid107_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal signComp_uid107_fpSinPiTest_c : std_logic_vector(0 downto 0);
signal signComp_uid107_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal InvYIsZero_uid108_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvYIsZero_uid108_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx1Pad16_uid114_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (15 downto 0);
signal leftShiftStage0Idx2Pad32_uid117_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (31 downto 0);
signal leftShiftStage0Idx3_uid120_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage1Idx1Pad4_uid123_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage1Idx3Pad12_uid129_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (11 downto 0);
signal leftShiftStage2Idx2Pad2_uid137_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage2Idx3Pad3_uid140_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (2 downto 0);
signal vCount_uid148_lzcZ_uid55_fpSinPiTest_a : std_logic_vector(31 downto 0);
signal vCount_uid148_lzcZ_uid55_fpSinPiTest_b : std_logic_vector(31 downto 0);
signal vCount_uid148_lzcZ_uid55_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal mO_uid149_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (28 downto 0);
signal vCount_uid168_lzcZ_uid55_fpSinPiTest_a : std_logic_vector(3 downto 0);
signal vCount_uid168_lzcZ_uid55_fpSinPiTest_b : std_logic_vector(3 downto 0);
signal vCount_uid168_lzcZ_uid55_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal memoryC0_uid216_sinPiZTableGenerator_q : std_logic_vector(28 downto 0);
signal memoryC1_uid217_sinPiZTableGenerator_q : std_logic_vector(20 downto 0);
signal memoryC2_uid218_sinPiZTableGenerator_q : std_logic_vector(13 downto 0);
signal prodXY_uid232_pT1_uid220_sinPiZPolyEval_a : std_logic_vector (13 downto 0);
signal prodXY_uid232_pT1_uid220_sinPiZPolyEval_b : std_logic_vector (13 downto 0);
signal prodXY_uid232_pT1_uid220_sinPiZPolyEval_s1 : std_logic_vector (27 downto 0);
signal prodXY_uid232_pT1_uid220_sinPiZPolyEval_pr : SIGNED (28 downto 0);
signal prodXY_uid232_pT1_uid220_sinPiZPolyEval_q : std_logic_vector (27 downto 0);
signal prodXY_uid235_pT2_uid226_sinPiZPolyEval_a : std_logic_vector (15 downto 0);
signal prodXY_uid235_pT2_uid226_sinPiZPolyEval_b : std_logic_vector (22 downto 0);
signal prodXY_uid235_pT2_uid226_sinPiZPolyEval_s1 : std_logic_vector (38 downto 0);
signal prodXY_uid235_pT2_uid226_sinPiZPolyEval_pr : SIGNED (39 downto 0);
signal prodXY_uid235_pT2_uid226_sinPiZPolyEval_q : std_logic_vector (38 downto 0);
signal reg_leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_2_q : std_logic_vector (36 downto 0);
signal reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_2_q : std_logic_vector (36 downto 0);
signal reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1_q : std_logic_vector (35 downto 0);
signal reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q : std_logic_vector (0 downto 0);
signal reg_xRyHalf_uid90_fpSinPiTest_0_to_xHalfRZI_uid94_fpSinPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_oneMinusY_uid49_fpSinPiTest_0_to_cmpYToOneMinusY_uid50_fpSinPiTest_0_q : std_logic_vector (37 downto 0);
signal reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_q : std_logic_vector (34 downto 0);
signal reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q : std_logic_vector (34 downto 0);
signal reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid163_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid165_lzcZ_uid55_fpSinPiTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid167_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_2_q : std_logic_vector (3 downto 0);
signal reg_vStage_uid169_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_3_q : std_logic_vector (3 downto 0);
signal reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_q : std_logic_vector (0 downto 0);
signal reg_leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_2_q : std_logic_vector (34 downto 0);
signal reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_2_q : std_logic_vector (34 downto 0);
signal reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0_q : std_logic_vector (6 downto 0);
signal reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_q : std_logic_vector (13 downto 0);
signal reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q : std_logic_vector (15 downto 0);
signal reg_s1_uid221_uid224_sinPiZPolyEval_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_1_q : std_logic_vector (22 downto 0);
signal reg_r_uid181_lzcZ_uid55_fpSinPiTest_0_to_expHardCase_uid61_fpSinPiTest_1_q : std_logic_vector (5 downto 0);
signal reg_fracRComp_uid82_fpSinPiTest_0_to_fracRPostExc1_uid95_fpSinPiTest_2_q : std_logic_vector (22 downto 0);
signal reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_xIsInt_uid87_fpSinPiTest_0_to_rZOrXInt_uid98_fpSinPiTest_2_q : std_logic_vector (0 downto 0);
signal reg_expRComp_uid83_fpSinPiTest_0_to_expRPostExc1_uid101_fpSinPiTest_2_q : std_logic_vector (7 downto 0);
signal reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_q : std_logic_vector (1 downto 0);
signal ld_fracX_uid7_fpSinPiTest_b_to_oFracX_uid35_uid35_fpSinPiTest_a_q : std_logic_vector (22 downto 0);
signal ld_y_uid43_fpSinPiTest_b_to_cmpYToOneMinusY_uid50_fpSinPiTest_b_q : std_logic_vector (35 downto 0);
signal ld_reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q_to_z_uid53_fpSinPiTest_d_q : std_logic_vector (34 downto 0);
signal ld_sinXIsX_uid34_fpSinPiTest_c_to_p_uid59_fpSinPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_sinXIsX_uid34_fpSinPiTest_c_to_expP_uid65_fpSinPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_sinXIsX_uid34_fpSinPiTest_c_to_multRightOp_uid72_fpSinPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_q : std_logic_vector (23 downto 0);
signal ld_sinXIsX_uid34_fpSinPiTest_c_to_InvSinXIsX_uid84_fpSinPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_xIntExp_uid30_fpSinPiTest_c_to_xIntYz_uid86_fpSinPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid29_fpSinPiTest_q_to_xIsInt_uid87_fpSinPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q_to_excRZero_uid92_fpSinPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_xHalfRZI_uid94_fpSinPiTest_q_to_fracRPostExc1_uid95_fpSinPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_rZOrXInt_uid98_fpSinPiTest_q_to_expRPostExc1_uid101_fpSinPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid93_fpSinPiTest_q_to_excRIoN_uid102_fpSinPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_xFrac_uid32_fpSinPiTest_n_to_InvXFrac_uid105_fpSinPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_intXParity_uid42_fpSinPiTest_b_to_signComp_uid107_fpSinPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_signX_uid8_fpSinPiTest_b_to_signR_uid110_fpSinPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_signR_uid110_fpSinPiTest_q_to_R_uid111_fpSinPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_b_q : std_logic_vector (32 downto 0);
signal ld_LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_b_q : std_logic_vector (28 downto 0);
signal ld_LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_b_q : std_logic_vector (24 downto 0);
signal ld_LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_b_q : std_logic_vector (35 downto 0);
signal ld_LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_b_q : std_logic_vector (34 downto 0);
signal ld_LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_b_q : std_logic_vector (33 downto 0);
signal ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_cStage_uid151_lzcZ_uid55_fpSinPiTest_b_q : std_logic_vector (2 downto 0);
signal ld_rVStage_uid147_lzcZ_uid55_fpSinPiTest_b_to_vStagei_uid153_lzcZ_uid55_fpSinPiTest_c_q : std_logic_vector (31 downto 0);
signal ld_vCount_uid162_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_d_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid148_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_f_q : std_logic_vector (0 downto 0);
signal ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (2 downto 0);
signal ld_LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (30 downto 0);
signal ld_LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (26 downto 0);
signal ld_LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (22 downto 0);
signal ld_reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (1 downto 0);
signal ld_LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (33 downto 0);
signal ld_LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (32 downto 0);
signal ld_LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_b_q : std_logic_vector (31 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC1_uid217_sinPiZTableGenerator_0_q_to_memoryC1_uid217_sinPiZTableGenerator_a_q : std_logic_vector (6 downto 0);
signal ld_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_yBottom_uid52_fpSinPiTest_b_to_reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_a_q : std_logic_vector (34 downto 0);
signal ld_vCount_uid156_lzcZ_uid55_fpSinPiTest_q_to_reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_a_q : std_logic_vector (0 downto 0);
signal ld_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_yT1_uid219_sinPiZPolyEval_b_to_reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_a_q : std_logic_vector (13 downto 0);
signal ld_excRNaN_uid93_fpSinPiTest_q_to_reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_a_q : std_logic_vector (0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_inputreg_q : std_logic_vector (23 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_reset0 : std_logic;
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_ia : std_logic_vector (23 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_iq : std_logic_vector (23 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_q : std_logic_vector (23 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_eq : std_logic;
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_mem_top_q : std_logic_vector (4 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve : boolean;
attribute preserve of ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena_q : signal is true;
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_reset0 : std_logic;
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena_q : signal is true;
signal ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_inputreg_q : std_logic_vector (23 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_reset0 : std_logic;
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_eq : std_logic;
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena_q : signal is true;
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_inputreg_q : std_logic_vector (18 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_reset0 : std_logic;
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_ia : std_logic_vector (18 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_iq : std_logic_vector (18 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_q : std_logic_vector (18 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena_q : signal is true;
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_inputreg_q : std_logic_vector (34 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_reset0 : std_logic;
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_ia : std_logic_vector (34 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_iq : std_logic_vector (34 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_q : std_logic_vector (34 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena_q : signal is true;
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_inputreg_q : std_logic_vector (6 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena_q : signal is true;
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_inputreg_q : std_logic_vector (15 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_ia : std_logic_vector (15 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_aa : std_logic_vector (1 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_ab : std_logic_vector (1 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_iq : std_logic_vector (15 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_q : std_logic_vector (15 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_q : std_logic_vector(1 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i : unsigned(1 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg_q : std_logic_vector (1 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_mem_top_q : std_logic_vector (2 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena_q : signal is true;
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_inputreg_q : std_logic_vector (1 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_reset0 : std_logic;
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_eq : std_logic;
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena_q : signal is true;
signal yIsZero_uid44_fpSinPiTest_a : std_logic_vector(35 downto 0);
signal yIsZero_uid44_fpSinPiTest_b : std_logic_vector(35 downto 0);
signal yIsZero_uid44_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal excRIoN_uid102_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal excRIoN_uid102_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal excRIoN_uid102_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal expXP1_uid62_fpSinPiTest_a : std_logic_vector(8 downto 0);
signal expXP1_uid62_fpSinPiTest_b : std_logic_vector(8 downto 0);
signal expXP1_uid62_fpSinPiTest_o : std_logic_vector (8 downto 0);
signal expXP1_uid62_fpSinPiTest_q : std_logic_vector (8 downto 0);
signal InvSinXIsX_uid84_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvSinXIsX_uid84_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal InvXIntExp_uid88_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvXIntExp_uid88_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal InvXFrac_uid105_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvXFrac_uid105_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal oFracX_uid35_uid35_fpSinPiTest_q : std_logic_vector (23 downto 0);
signal join_uid46_fpSinPiTest_q : std_logic_vector (35 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_a : std_logic_vector(0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_q : std_logic_vector (1 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal expX_uid6_fpSinPiTest_in : std_logic_vector (30 downto 0);
signal expX_uid6_fpSinPiTest_b : std_logic_vector (7 downto 0);
signal fracX_uid7_fpSinPiTest_in : std_logic_vector (22 downto 0);
signal fracX_uid7_fpSinPiTest_b : std_logic_vector (22 downto 0);
signal signX_uid8_fpSinPiTest_in : std_logic_vector (31 downto 0);
signal signX_uid8_fpSinPiTest_b : std_logic_vector (0 downto 0);
signal expXIsZero_uid18_fpSinPiTest_a : std_logic_vector(7 downto 0);
signal expXIsZero_uid18_fpSinPiTest_b : std_logic_vector(7 downto 0);
signal expXIsZero_uid18_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid20_fpSinPiTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid20_fpSinPiTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid20_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid22_fpSinPiTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid22_fpSinPiTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid22_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid23_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid23_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid23_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal xIntExp_uid30_fpSinPiTest_a : std_logic_vector(10 downto 0);
signal xIntExp_uid30_fpSinPiTest_b : std_logic_vector(10 downto 0);
signal xIntExp_uid30_fpSinPiTest_o : std_logic_vector (10 downto 0);
signal xIntExp_uid30_fpSinPiTest_cin : std_logic_vector (0 downto 0);
signal xIntExp_uid30_fpSinPiTest_c : std_logic_vector (0 downto 0);
signal xFrac_uid32_fpSinPiTest_a : std_logic_vector(10 downto 0);
signal xFrac_uid32_fpSinPiTest_b : std_logic_vector(10 downto 0);
signal xFrac_uid32_fpSinPiTest_o : std_logic_vector (10 downto 0);
signal xFrac_uid32_fpSinPiTest_cin : std_logic_vector (0 downto 0);
signal xFrac_uid32_fpSinPiTest_n : std_logic_vector (0 downto 0);
signal sinXIsX_uid34_fpSinPiTest_a : std_logic_vector(10 downto 0);
signal sinXIsX_uid34_fpSinPiTest_b : std_logic_vector(10 downto 0);
signal sinXIsX_uid34_fpSinPiTest_o : std_logic_vector (10 downto 0);
signal sinXIsX_uid34_fpSinPiTest_cin : std_logic_vector (0 downto 0);
signal sinXIsX_uid34_fpSinPiTest_c : std_logic_vector (0 downto 0);
signal shiftValue_uid37_fpSinPiTest_a : std_logic_vector(8 downto 0);
signal shiftValue_uid37_fpSinPiTest_b : std_logic_vector(8 downto 0);
signal shiftValue_uid37_fpSinPiTest_o : std_logic_vector (8 downto 0);
signal shiftValue_uid37_fpSinPiTest_q : std_logic_vector (8 downto 0);
signal yIsZero_uid47_fpSinPiTest_a : std_logic_vector(35 downto 0);
signal yIsZero_uid47_fpSinPiTest_b : std_logic_vector(35 downto 0);
signal yIsZero_uid47_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal oneMinusY_uid49_fpSinPiTest_a : std_logic_vector(37 downto 0);
signal oneMinusY_uid49_fpSinPiTest_b : std_logic_vector(37 downto 0);
signal oneMinusY_uid49_fpSinPiTest_o : std_logic_vector (37 downto 0);
signal oneMinusY_uid49_fpSinPiTest_q : std_logic_vector (37 downto 0);
signal z_uid53_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal z_uid53_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal expHardCase_uid61_fpSinPiTest_a : std_logic_vector(8 downto 0);
signal expHardCase_uid61_fpSinPiTest_b : std_logic_vector(8 downto 0);
signal expHardCase_uid61_fpSinPiTest_o : std_logic_vector (8 downto 0);
signal expHardCase_uid61_fpSinPiTest_q : std_logic_vector (8 downto 0);
signal yZSinXNX_uid85_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal yZSinXNX_uid85_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal yZSinXNX_uid85_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal xIntYz_uid86_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal xIntYz_uid86_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal xIntYz_uid86_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal xIsInt_uid87_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal xIsInt_uid87_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal xIsInt_uid87_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal xRyHalf_uid90_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal xRyHalf_uid90_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal xRyHalf_uid90_fpSinPiTest_c : std_logic_vector(0 downto 0);
signal xRyHalf_uid90_fpSinPiTest_d : std_logic_vector(0 downto 0);
signal xRyHalf_uid90_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal excRZero_uid92_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal excRZero_uid92_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal excRZero_uid92_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal fracRPostExc1_uid95_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal fracRPostExc1_uid95_fpSinPiTest_q : std_logic_vector (22 downto 0);
signal fracRPostExc_uid97_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal fracRPostExc_uid97_fpSinPiTest_q : std_logic_vector (22 downto 0);
signal expRPostExc1_uid101_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal expRPostExc1_uid101_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal expRPostExc_uid104_fpSinPiTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid104_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal yZSC_uid109_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal yZSC_uid109_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal yZSC_uid109_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal signR_uid110_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal signR_uid110_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal signR_uid110_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal vCount_uid162_lzcZ_uid55_fpSinPiTest_a : std_logic_vector(7 downto 0);
signal vCount_uid162_lzcZ_uid55_fpSinPiTest_b : std_logic_vector(7 downto 0);
signal vCount_uid162_lzcZ_uid55_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid165_lzcZ_uid55_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid165_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid171_lzcZ_uid55_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid171_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (3 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_q : std_logic_vector(0 downto 0);
signal leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal extendedFracX_uid39_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal normBit_uid74_fpSinPiTest_in : std_logic_vector (48 downto 0);
signal normBit_uid74_fpSinPiTest_b : std_logic_vector (0 downto 0);
signal highRes_uid75_fpSinPiTest_in : std_logic_vector (47 downto 0);
signal highRes_uid75_fpSinPiTest_b : std_logic_vector (23 downto 0);
signal lowRes_uid76_fpSinPiTest_in : std_logic_vector (46 downto 0);
signal lowRes_uid76_fpSinPiTest_b : std_logic_vector (23 downto 0);
signal leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal cStage_uid151_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (31 downto 0);
signal prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval_in : std_logic_vector (27 downto 0);
signal prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval_b : std_logic_vector (14 downto 0);
signal prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval_in : std_logic_vector (38 downto 0);
signal prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval_b : std_logic_vector (23 downto 0);
signal leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_q : std_logic_vector (34 downto 0);
signal R_uid111_fpSinPiTest_q : std_logic_vector (31 downto 0);
signal vStagei_uid153_lzcZ_uid55_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid153_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (31 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_a : std_logic_vector(4 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_b : std_logic_vector(4 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_a : std_logic_vector(2 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_b : std_logic_vector(2 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_a : std_logic_vector(0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_b : std_logic_vector(0 downto 0);
signal ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_q : std_logic_vector(0 downto 0);
signal join_uid103_fpSinPiTest_q : std_logic_vector (1 downto 0);
signal expXP1R_uid63_fpSinPiTest_in : std_logic_vector (7 downto 0);
signal expXP1R_uid63_fpSinPiTest_b : std_logic_vector (7 downto 0);
signal InvExpXIsZero_uid28_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid28_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid24_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid24_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid27_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid27_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal fxpShifterBits_uid40_fpSinPiTest_in : std_logic_vector (5 downto 0);
signal fxpShifterBits_uid40_fpSinPiTest_b : std_logic_vector (5 downto 0);
signal oMyBottom_uid51_fpSinPiTest_in : std_logic_vector (34 downto 0);
signal oMyBottom_uid51_fpSinPiTest_b : std_logic_vector (34 downto 0);
signal zAddr_uid67_fpSinPiTest_in : std_logic_vector (34 downto 0);
signal zAddr_uid67_fpSinPiTest_b : std_logic_vector (6 downto 0);
signal zPPolyEval_uid68_fpSinPiTest_in : std_logic_vector (27 downto 0);
signal zPPolyEval_uid68_fpSinPiTest_b : std_logic_vector (15 downto 0);
signal rVStage_uid147_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (34 downto 0);
signal rVStage_uid147_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (31 downto 0);
signal vStage_uid150_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (2 downto 0);
signal vStage_uid150_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (2 downto 0);
signal X18dto0_uid185_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (18 downto 0);
signal X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (18 downto 0);
signal expHardCaseR_uid64_fpSinPiTest_in : std_logic_vector (7 downto 0);
signal expHardCaseR_uid64_fpSinPiTest_b : std_logic_vector (7 downto 0);
signal InvXIsInt_uid106_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvXIsInt_uid106_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal rVStage_uid167_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid167_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (3 downto 0);
signal vStage_uid169_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (3 downto 0);
signal vStage_uid169_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid173_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid173_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (1 downto 0);
signal vStage_uid175_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (1 downto 0);
signal vStage_uid175_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (1 downto 0);
signal X20dto0_uid115_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (20 downto 0);
signal X20dto0_uid115_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (20 downto 0);
signal X4dto0_uid118_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (4 downto 0);
signal X4dto0_uid118_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (4 downto 0);
signal fracRCompPreRnd_uid77_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal fracRCompPreRnd_uid77_fpSinPiTest_q : std_logic_vector (23 downto 0);
signal rndExpUpdate_uid79_uid80_fpSinPiTest_q : std_logic_vector (24 downto 0);
signal lowRangeB_uid221_sinPiZPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid221_sinPiZPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid222_sinPiZPolyEval_in : std_logic_vector (14 downto 0);
signal highBBits_uid222_sinPiZPolyEval_b : std_logic_vector (13 downto 0);
signal lowRangeB_uid227_sinPiZPolyEval_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid227_sinPiZPolyEval_b : std_logic_vector (1 downto 0);
signal highBBits_uid228_sinPiZPolyEval_in : std_logic_vector (23 downto 0);
signal highBBits_uid228_sinPiZPolyEval_b : std_logic_vector (21 downto 0);
signal LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (35 downto 0);
signal LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (35 downto 0);
signal LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (34 downto 0);
signal LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (34 downto 0);
signal LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (33 downto 0);
signal LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (33 downto 0);
signal intXParity_uid42_fpSinPiTest_in : std_logic_vector (36 downto 0);
signal intXParity_uid42_fpSinPiTest_b : std_logic_vector (0 downto 0);
signal y_uid43_fpSinPiTest_in : std_logic_vector (35 downto 0);
signal y_uid43_fpSinPiTest_b : std_logic_vector (35 downto 0);
signal LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (30 downto 0);
signal LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (30 downto 0);
signal LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (26 downto 0);
signal LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (26 downto 0);
signal LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (22 downto 0);
signal LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (22 downto 0);
signal LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (33 downto 0);
signal LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (33 downto 0);
signal LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (32 downto 0);
signal LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (32 downto 0);
signal LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (31 downto 0);
signal LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (31 downto 0);
signal alignedZLow_uid57_fpSinPiTest_in : std_logic_vector (34 downto 0);
signal alignedZLow_uid57_fpSinPiTest_b : std_logic_vector (22 downto 0);
signal rVStage_uid155_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid155_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (15 downto 0);
signal vStage_uid157_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (15 downto 0);
signal vStage_uid157_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (15 downto 0);
signal exc_N_uid25_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid25_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid25_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (5 downto 0);
signal leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (1 downto 0);
signal yT1_uid219_sinPiZPolyEval_in : std_logic_vector (15 downto 0);
signal yT1_uid219_sinPiZPolyEval_b : std_logic_vector (13 downto 0);
signal vCount_uid174_lzcZ_uid55_fpSinPiTest_a : std_logic_vector(1 downto 0);
signal vCount_uid174_lzcZ_uid55_fpSinPiTest_b : std_logic_vector(1 downto 0);
signal vCount_uid174_lzcZ_uid55_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid177_lzcZ_uid55_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid177_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage0Idx1_uid116_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal leftShiftStage0Idx2_uid119_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal expFracPreRnd_uid78_uid78_fpSinPiTest_q : std_logic_vector (31 downto 0);
signal expFracComp_uid81_fpSinPiTest_a : std_logic_vector(32 downto 0);
signal expFracComp_uid81_fpSinPiTest_b : std_logic_vector(32 downto 0);
signal expFracComp_uid81_fpSinPiTest_o : std_logic_vector (32 downto 0);
signal expFracComp_uid81_fpSinPiTest_q : std_logic_vector (32 downto 0);
signal sumAHighB_uid223_sinPiZPolyEval_a : std_logic_vector(21 downto 0);
signal sumAHighB_uid223_sinPiZPolyEval_b : std_logic_vector(21 downto 0);
signal sumAHighB_uid223_sinPiZPolyEval_o : std_logic_vector (21 downto 0);
signal sumAHighB_uid223_sinPiZPolyEval_q : std_logic_vector (21 downto 0);
signal sumAHighB_uid229_sinPiZPolyEval_a : std_logic_vector(29 downto 0);
signal sumAHighB_uid229_sinPiZPolyEval_b : std_logic_vector(29 downto 0);
signal sumAHighB_uid229_sinPiZPolyEval_o : std_logic_vector (29 downto 0);
signal sumAHighB_uid229_sinPiZPolyEval_q : std_logic_vector (29 downto 0);
signal yBottom_uid52_fpSinPiTest_in : std_logic_vector (34 downto 0);
signal yBottom_uid52_fpSinPiTest_b : std_logic_vector (34 downto 0);
signal pHardCase_uid58_fpSinPiTest_q : std_logic_vector (23 downto 0);
signal vCount_uid156_lzcZ_uid55_fpSinPiTest_a : std_logic_vector(15 downto 0);
signal vCount_uid156_lzcZ_uid55_fpSinPiTest_b : std_logic_vector(15 downto 0);
signal vCount_uid156_lzcZ_uid55_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid159_lzcZ_uid55_fpSinPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid159_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (15 downto 0);
signal InvExc_N_uid26_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid26_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal excRNaN_uid93_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid93_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid93_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal rVStage_uid179_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid179_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (0 downto 0);
signal leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q : std_logic_vector (36 downto 0);
signal fracRComp_uid82_fpSinPiTest_in : std_logic_vector (23 downto 0);
signal fracRComp_uid82_fpSinPiTest_b : std_logic_vector (22 downto 0);
signal expRComp_uid83_fpSinPiTest_in : std_logic_vector (31 downto 0);
signal expRComp_uid83_fpSinPiTest_b : std_logic_vector (7 downto 0);
signal s1_uid221_uid224_sinPiZPolyEval_q : std_logic_vector (22 downto 0);
signal s2_uid227_uid230_sinPiZPolyEval_q : std_logic_vector (31 downto 0);
signal rVStage_uid161_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid161_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (7 downto 0);
signal vStage_uid163_lzcZ_uid55_fpSinPiTest_in : std_logic_vector (7 downto 0);
signal vStage_uid163_lzcZ_uid55_fpSinPiTest_b : std_logic_vector (7 downto 0);
signal exc_R_uid29_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid29_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid29_fpSinPiTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid29_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal vCount_uid180_lzcZ_uid55_fpSinPiTest_a : std_logic_vector(0 downto 0);
signal vCount_uid180_lzcZ_uid55_fpSinPiTest_b : std_logic_vector(0 downto 0);
signal vCount_uid180_lzcZ_uid55_fpSinPiTest_q : std_logic_vector(0 downto 0);
signal LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (32 downto 0);
signal LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (32 downto 0);
signal LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (28 downto 0);
signal LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (28 downto 0);
signal LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_in : std_logic_vector (24 downto 0);
signal LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b : std_logic_vector (24 downto 0);
signal fxpSinRes_uid70_fpSinPiTest_in : std_logic_vector (29 downto 0);
signal fxpSinRes_uid70_fpSinPiTest_b : std_logic_vector (24 downto 0);
signal r_uid181_lzcZ_uid55_fpSinPiTest_q : std_logic_vector (5 downto 0);
signal leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (5 downto 0);
signal leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b : std_logic_vector (1 downto 0);
begin
--xIn(GPIN,3)@0
--leftShiftStage0Idx3_uid120_fixedPointX_uid41_fpSinPiTest(CONSTANT,119)
leftShiftStage0Idx3_uid120_fixedPointX_uid41_fpSinPiTest_q <= "0000000000000000000000000000000000000";
--X4dto0_uid118_fixedPointX_uid41_fpSinPiTest(BITSELECT,117)@1
X4dto0_uid118_fixedPointX_uid41_fpSinPiTest_in <= extendedFracX_uid39_fpSinPiTest_q(4 downto 0);
X4dto0_uid118_fixedPointX_uid41_fpSinPiTest_b <= X4dto0_uid118_fixedPointX_uid41_fpSinPiTest_in(4 downto 0);
--leftShiftStage0Idx2Pad32_uid117_fixedPointX_uid41_fpSinPiTest(CONSTANT,116)
leftShiftStage0Idx2Pad32_uid117_fixedPointX_uid41_fpSinPiTest_q <= "00000000000000000000000000000000";
--leftShiftStage0Idx2_uid119_fixedPointX_uid41_fpSinPiTest(BITJOIN,118)@1
leftShiftStage0Idx2_uid119_fixedPointX_uid41_fpSinPiTest_q <= X4dto0_uid118_fixedPointX_uid41_fpSinPiTest_b & leftShiftStage0Idx2Pad32_uid117_fixedPointX_uid41_fpSinPiTest_q;
--X20dto0_uid115_fixedPointX_uid41_fpSinPiTest(BITSELECT,114)@1
X20dto0_uid115_fixedPointX_uid41_fpSinPiTest_in <= extendedFracX_uid39_fpSinPiTest_q(20 downto 0);
X20dto0_uid115_fixedPointX_uid41_fpSinPiTest_b <= X20dto0_uid115_fixedPointX_uid41_fpSinPiTest_in(20 downto 0);
--leftShiftStage0Idx1Pad16_uid114_fixedPointX_uid41_fpSinPiTest(CONSTANT,113)
leftShiftStage0Idx1Pad16_uid114_fixedPointX_uid41_fpSinPiTest_q <= "0000000000000000";
--leftShiftStage0Idx1_uid116_fixedPointX_uid41_fpSinPiTest(BITJOIN,115)@1
leftShiftStage0Idx1_uid116_fixedPointX_uid41_fpSinPiTest_q <= X20dto0_uid115_fixedPointX_uid41_fpSinPiTest_b & leftShiftStage0Idx1Pad16_uid114_fixedPointX_uid41_fpSinPiTest_q;
--cst01pWShift_uid38_fpSinPiTest(CONSTANT,37)
cst01pWShift_uid38_fpSinPiTest_q <= "0000000000000";
--VCC(CONSTANT,1)
VCC_q <= "1";
--fracX_uid7_fpSinPiTest(BITSELECT,6)@0
fracX_uid7_fpSinPiTest_in <= a(22 downto 0);
fracX_uid7_fpSinPiTest_b <= fracX_uid7_fpSinPiTest_in(22 downto 0);
--ld_fracX_uid7_fpSinPiTest_b_to_oFracX_uid35_uid35_fpSinPiTest_a(DELAY,294)@0
ld_fracX_uid7_fpSinPiTest_b_to_oFracX_uid35_uid35_fpSinPiTest_a : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => fracX_uid7_fpSinPiTest_b, xout => ld_fracX_uid7_fpSinPiTest_b_to_oFracX_uid35_uid35_fpSinPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--oFracX_uid35_uid35_fpSinPiTest(BITJOIN,34)@1
oFracX_uid35_uid35_fpSinPiTest_q <= VCC_q & ld_fracX_uid7_fpSinPiTest_b_to_oFracX_uid35_uid35_fpSinPiTest_a_q;
--extendedFracX_uid39_fpSinPiTest(BITJOIN,38)@1
extendedFracX_uid39_fpSinPiTest_q <= cst01pWShift_uid38_fpSinPiTest_q & oFracX_uid35_uid35_fpSinPiTest_q;
--shiftBias_uid36_fpSinPiTest(CONSTANT,35)
shiftBias_uid36_fpSinPiTest_q <= "01110010";
--expX_uid6_fpSinPiTest(BITSELECT,5)@0
expX_uid6_fpSinPiTest_in <= a(30 downto 0);
expX_uid6_fpSinPiTest_b <= expX_uid6_fpSinPiTest_in(30 downto 23);
--shiftValue_uid37_fpSinPiTest(SUB,36)@0
shiftValue_uid37_fpSinPiTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpSinPiTest_b);
shiftValue_uid37_fpSinPiTest_b <= STD_LOGIC_VECTOR("0" & shiftBias_uid36_fpSinPiTest_q);
shiftValue_uid37_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftValue_uid37_fpSinPiTest_a) - UNSIGNED(shiftValue_uid37_fpSinPiTest_b));
shiftValue_uid37_fpSinPiTest_q <= shiftValue_uid37_fpSinPiTest_o(8 downto 0);
--fxpShifterBits_uid40_fpSinPiTest(BITSELECT,39)@0
fxpShifterBits_uid40_fpSinPiTest_in <= shiftValue_uid37_fpSinPiTest_q(5 downto 0);
fxpShifterBits_uid40_fpSinPiTest_b <= fxpShifterBits_uid40_fpSinPiTest_in(5 downto 0);
--leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest(BITSELECT,120)@0
leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_in <= fxpShifterBits_uid40_fpSinPiTest_b;
leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_b <= leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_in(5 downto 4);
--reg_leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_1(REG,237)@0
reg_leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_1_q <= leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest(MUX,121)@1
leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_s <= reg_leftShiftStageSel5Dto4_uid121_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_1_q;
leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest: PROCESS (leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_s, en, extendedFracX_uid39_fpSinPiTest_q, leftShiftStage0Idx1_uid116_fixedPointX_uid41_fpSinPiTest_q, leftShiftStage0Idx2_uid119_fixedPointX_uid41_fpSinPiTest_q, leftShiftStage0Idx3_uid120_fixedPointX_uid41_fpSinPiTest_q)
BEGIN
CASE leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_s IS
WHEN "00" => leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q <= extendedFracX_uid39_fpSinPiTest_q;
WHEN "01" => leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage0Idx1_uid116_fixedPointX_uid41_fpSinPiTest_q;
WHEN "10" => leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage0Idx2_uid119_fixedPointX_uid41_fpSinPiTest_q;
WHEN "11" => leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage0Idx3_uid120_fixedPointX_uid41_fpSinPiTest_q;
WHEN OTHERS => leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest(BITSELECT,129)@1
LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_in <= leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q(24 downto 0);
LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b <= LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_in(24 downto 0);
--ld_LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_b(DELAY,403)@1
ld_LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 25, depth => 1 )
PORT MAP ( xin => LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b, xout => ld_LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx3Pad12_uid129_fixedPointX_uid41_fpSinPiTest(CONSTANT,128)
leftShiftStage1Idx3Pad12_uid129_fixedPointX_uid41_fpSinPiTest_q <= "000000000000";
--leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest(BITJOIN,130)@2
leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_q <= ld_LeftShiftStage024dto0_uid130_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_b_q & leftShiftStage1Idx3Pad12_uid129_fixedPointX_uid41_fpSinPiTest_q;
--LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest(BITSELECT,126)@1
LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_in <= leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q(28 downto 0);
LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b <= LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_in(28 downto 0);
--ld_LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_b(DELAY,401)@1
ld_LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 29, depth => 1 )
PORT MAP ( xin => LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b, xout => ld_LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--cstAllZWE_uid16_fpSinPiTest(CONSTANT,15)
cstAllZWE_uid16_fpSinPiTest_q <= "00000000";
--leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest(BITJOIN,127)@2
leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_q <= ld_LeftShiftStage028dto0_uid127_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_b_q & cstAllZWE_uid16_fpSinPiTest_q;
--LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest(BITSELECT,123)@1
LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_in <= leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q(32 downto 0);
LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b <= LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_in(32 downto 0);
--ld_LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_b(DELAY,399)@1
ld_LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 33, depth => 1 )
PORT MAP ( xin => LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b, xout => ld_LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx1Pad4_uid123_fixedPointX_uid41_fpSinPiTest(CONSTANT,122)
leftShiftStage1Idx1Pad4_uid123_fixedPointX_uid41_fpSinPiTest_q <= "0000";
--leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest(BITJOIN,124)@2
leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_q <= ld_LeftShiftStage032dto0_uid124_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_b_q & leftShiftStage1Idx1Pad4_uid123_fixedPointX_uid41_fpSinPiTest_q;
--reg_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_2(REG,239)@1
reg_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_2_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_2_q <= leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest(BITSELECT,131)@0
leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_in <= fxpShifterBits_uid40_fpSinPiTest_b(3 downto 0);
leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b <= leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_in(3 downto 2);
--ld_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_a(DELAY,516)@0
ld_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b, xout => ld_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1(REG,238)@1
reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_q <= ld_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest(MUX,132)@2
leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_s <= reg_leftShiftStageSel3Dto2_uid132_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_1_q;
leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest: PROCESS (leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_s, en, reg_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_2_q, leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_q, leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_q, leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_q)
BEGIN
CASE leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_s IS
WHEN "00" => leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q <= reg_leftShiftStage0_uid122_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_2_q;
WHEN "01" => leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage1Idx1_uid125_fixedPointX_uid41_fpSinPiTest_q;
WHEN "10" => leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage1Idx2_uid128_fixedPointX_uid41_fpSinPiTest_q;
WHEN "11" => leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage1Idx3_uid131_fixedPointX_uid41_fpSinPiTest_q;
WHEN OTHERS => leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest(BITSELECT,140)@2
LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_in <= leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q(33 downto 0);
LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b <= LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_in(33 downto 0);
--ld_LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_b(DELAY,415)@2
ld_LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 34, depth => 1 )
PORT MAP ( xin => LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b, xout => ld_LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx3Pad3_uid140_fixedPointX_uid41_fpSinPiTest(CONSTANT,139)
leftShiftStage2Idx3Pad3_uid140_fixedPointX_uid41_fpSinPiTest_q <= "000";
--leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest(BITJOIN,141)@3
leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_q <= ld_LeftShiftStage133dto0_uid141_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_b_q & leftShiftStage2Idx3Pad3_uid140_fixedPointX_uid41_fpSinPiTest_q;
--LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest(BITSELECT,137)@2
LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_in <= leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q(34 downto 0);
LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b <= LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_in(34 downto 0);
--ld_LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_b(DELAY,413)@2
ld_LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 35, depth => 1 )
PORT MAP ( xin => LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b, xout => ld_LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2Pad2_uid137_fixedPointX_uid41_fpSinPiTest(CONSTANT,136)
leftShiftStage2Idx2Pad2_uid137_fixedPointX_uid41_fpSinPiTest_q <= "00";
--leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest(BITJOIN,138)@3
leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_q <= ld_LeftShiftStage134dto0_uid138_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_b_q & leftShiftStage2Idx2Pad2_uid137_fixedPointX_uid41_fpSinPiTest_q;
--LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest(BITSELECT,134)@2
LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_in <= leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q(35 downto 0);
LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b <= LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_in(35 downto 0);
--ld_LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_b(DELAY,411)@2
ld_LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 36, depth => 1 )
PORT MAP ( xin => LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b, xout => ld_LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--GND(CONSTANT,0)
GND_q <= "0";
--leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest(BITJOIN,135)@3
leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_q <= ld_LeftShiftStage135dto0_uid135_fixedPointX_uid41_fpSinPiTest_b_to_leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_b_q & GND_q;
--reg_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_2(REG,241)@2
reg_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_2_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_2_q <= leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest(BITSELECT,142)@0
leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_in <= fxpShifterBits_uid40_fpSinPiTest_b(1 downto 0);
leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b <= leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_in(1 downto 0);
--ld_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_a(DELAY,518)@0
ld_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 2 )
PORT MAP ( xin => leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b, xout => ld_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1(REG,240)@2
reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_q <= ld_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest(MUX,143)@3
leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_s <= reg_leftShiftStageSel1Dto0_uid143_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_1_q;
leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest: PROCESS (leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_s, en, reg_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_2_q, leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_q, leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_q, leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_q)
BEGIN
CASE leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_s IS
WHEN "00" => leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q <= reg_leftShiftStage1_uid133_fixedPointX_uid41_fpSinPiTest_0_to_leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_2_q;
WHEN "01" => leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage2Idx1_uid136_fixedPointX_uid41_fpSinPiTest_q;
WHEN "10" => leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage2Idx2_uid139_fixedPointX_uid41_fpSinPiTest_q;
WHEN "11" => leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q <= leftShiftStage2Idx3_uid142_fixedPointX_uid41_fpSinPiTest_q;
WHEN OTHERS => leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--intXParity_uid42_fpSinPiTest(BITSELECT,41)@3
intXParity_uid42_fpSinPiTest_in <= leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q;
intXParity_uid42_fpSinPiTest_b <= intXParity_uid42_fpSinPiTest_in(36 downto 36);
--ld_intXParity_uid42_fpSinPiTest_b_to_signComp_uid107_fpSinPiTest_c(DELAY,380)@3
ld_intXParity_uid42_fpSinPiTest_b_to_signComp_uid107_fpSinPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => intXParity_uid42_fpSinPiTest_b, xout => ld_intXParity_uid42_fpSinPiTest_b_to_signComp_uid107_fpSinPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--biasM1_uid31_fpSinPiTest(CONSTANT,30)
biasM1_uid31_fpSinPiTest_q <= "01111110";
--xFrac_uid32_fpSinPiTest(COMPARE,31)@0
xFrac_uid32_fpSinPiTest_cin <= GND_q;
xFrac_uid32_fpSinPiTest_a <= STD_LOGIC_VECTOR("00" & biasM1_uid31_fpSinPiTest_q) & '0';
xFrac_uid32_fpSinPiTest_b <= STD_LOGIC_VECTOR("00" & expX_uid6_fpSinPiTest_b) & xFrac_uid32_fpSinPiTest_cin(0);
xFrac_uid32_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xFrac_uid32_fpSinPiTest_a) - UNSIGNED(xFrac_uid32_fpSinPiTest_b));
xFrac_uid32_fpSinPiTest_n(0) <= not xFrac_uid32_fpSinPiTest_o(10);
--ld_xFrac_uid32_fpSinPiTest_n_to_InvXFrac_uid105_fpSinPiTest_a(DELAY,376)@0
ld_xFrac_uid32_fpSinPiTest_n_to_InvXFrac_uid105_fpSinPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => xFrac_uid32_fpSinPiTest_n, xout => ld_xFrac_uid32_fpSinPiTest_n_to_InvXFrac_uid105_fpSinPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvXFrac_uid105_fpSinPiTest(LOGICAL,104)@4
InvXFrac_uid105_fpSinPiTest_a <= ld_xFrac_uid32_fpSinPiTest_n_to_InvXFrac_uid105_fpSinPiTest_a_q;
InvXFrac_uid105_fpSinPiTest_q <= not InvXFrac_uid105_fpSinPiTest_a;
--biasMwShift_uid33_fpSinPiTest(CONSTANT,32)
biasMwShift_uid33_fpSinPiTest_q <= "01110011";
--sinXIsX_uid34_fpSinPiTest(COMPARE,33)@0
sinXIsX_uid34_fpSinPiTest_cin <= GND_q;
sinXIsX_uid34_fpSinPiTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpSinPiTest_b) & '0';
sinXIsX_uid34_fpSinPiTest_b <= STD_LOGIC_VECTOR("00" & biasMwShift_uid33_fpSinPiTest_q) & sinXIsX_uid34_fpSinPiTest_cin(0);
sinXIsX_uid34_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(sinXIsX_uid34_fpSinPiTest_a) - UNSIGNED(sinXIsX_uid34_fpSinPiTest_b));
sinXIsX_uid34_fpSinPiTest_c(0) <= sinXIsX_uid34_fpSinPiTest_o(10);
--ld_sinXIsX_uid34_fpSinPiTest_c_to_InvSinXIsX_uid84_fpSinPiTest_a(DELAY,343)@0
ld_sinXIsX_uid34_fpSinPiTest_c_to_InvSinXIsX_uid84_fpSinPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => sinXIsX_uid34_fpSinPiTest_c, xout => ld_sinXIsX_uid34_fpSinPiTest_c_to_InvSinXIsX_uid84_fpSinPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvSinXIsX_uid84_fpSinPiTest(LOGICAL,83)@4
InvSinXIsX_uid84_fpSinPiTest_a <= ld_sinXIsX_uid34_fpSinPiTest_c_to_InvSinXIsX_uid84_fpSinPiTest_a_q;
InvSinXIsX_uid84_fpSinPiTest_q <= not InvSinXIsX_uid84_fpSinPiTest_a;
--y_uid43_fpSinPiTest(BITSELECT,42)@3
y_uid43_fpSinPiTest_in <= leftShiftStage2_uid144_fixedPointX_uid41_fpSinPiTest_q(35 downto 0);
y_uid43_fpSinPiTest_b <= y_uid43_fpSinPiTest_in(35 downto 0);
--reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1(REG,242)@3
reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1_q <= "000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1_q <= y_uid43_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--yIsZero_uid44_fpSinPiTest(LOGICAL,43)@4
yIsZero_uid44_fpSinPiTest_a <= reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1_q;
yIsZero_uid44_fpSinPiTest_b <= STD_LOGIC_VECTOR("00000000000000000000000000000000000" & GND_q);
yIsZero_uid44_fpSinPiTest_q <= "1" when yIsZero_uid44_fpSinPiTest_a = yIsZero_uid44_fpSinPiTest_b else "0";
--yZSinXNX_uid85_fpSinPiTest(LOGICAL,84)@4
yZSinXNX_uid85_fpSinPiTest_a <= yIsZero_uid44_fpSinPiTest_q;
yZSinXNX_uid85_fpSinPiTest_b <= InvSinXIsX_uid84_fpSinPiTest_q;
yZSinXNX_uid85_fpSinPiTest_q <= yZSinXNX_uid85_fpSinPiTest_a and yZSinXNX_uid85_fpSinPiTest_b;
--cstBiasPwF_uid12_fpSinPiTest(CONSTANT,11)
cstBiasPwF_uid12_fpSinPiTest_q <= "10010110";
--xIntExp_uid30_fpSinPiTest(COMPARE,29)@0
xIntExp_uid30_fpSinPiTest_cin <= GND_q;
xIntExp_uid30_fpSinPiTest_a <= STD_LOGIC_VECTOR("00" & cstBiasPwF_uid12_fpSinPiTest_q) & '0';
xIntExp_uid30_fpSinPiTest_b <= STD_LOGIC_VECTOR("00" & expX_uid6_fpSinPiTest_b) & xIntExp_uid30_fpSinPiTest_cin(0);
xIntExp_uid30_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xIntExp_uid30_fpSinPiTest_a) - UNSIGNED(xIntExp_uid30_fpSinPiTest_b));
xIntExp_uid30_fpSinPiTest_c(0) <= xIntExp_uid30_fpSinPiTest_o(10);
--ld_xIntExp_uid30_fpSinPiTest_c_to_xIntYz_uid86_fpSinPiTest_a(DELAY,346)@0
ld_xIntExp_uid30_fpSinPiTest_c_to_xIntYz_uid86_fpSinPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => xIntExp_uid30_fpSinPiTest_c, xout => ld_xIntExp_uid30_fpSinPiTest_c_to_xIntYz_uid86_fpSinPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--xIntYz_uid86_fpSinPiTest(LOGICAL,85)@4
xIntYz_uid86_fpSinPiTest_a <= ld_xIntExp_uid30_fpSinPiTest_c_to_xIntYz_uid86_fpSinPiTest_a_q;
xIntYz_uid86_fpSinPiTest_b <= yZSinXNX_uid85_fpSinPiTest_q;
xIntYz_uid86_fpSinPiTest_q <= xIntYz_uid86_fpSinPiTest_a or xIntYz_uid86_fpSinPiTest_b;
--cstAllZWF_uid10_fpSinPiTest(CONSTANT,9)
cstAllZWF_uid10_fpSinPiTest_q <= "00000000000000000000000";
--fracXIsZero_uid22_fpSinPiTest(LOGICAL,21)@0
fracXIsZero_uid22_fpSinPiTest_a <= fracX_uid7_fpSinPiTest_b;
fracXIsZero_uid22_fpSinPiTest_b <= cstAllZWF_uid10_fpSinPiTest_q;
fracXIsZero_uid22_fpSinPiTest_q <= "1" when fracXIsZero_uid22_fpSinPiTest_a = fracXIsZero_uid22_fpSinPiTest_b else "0";
--InvFracXIsZero_uid24_fpSinPiTest(LOGICAL,23)@0
InvFracXIsZero_uid24_fpSinPiTest_a <= fracXIsZero_uid22_fpSinPiTest_q;
InvFracXIsZero_uid24_fpSinPiTest_q <= not InvFracXIsZero_uid24_fpSinPiTest_a;
--cstAllOWE_uid9_fpSinPiTest(CONSTANT,8)
cstAllOWE_uid9_fpSinPiTest_q <= "11111111";
--expXIsMax_uid20_fpSinPiTest(LOGICAL,19)@0
expXIsMax_uid20_fpSinPiTest_a <= expX_uid6_fpSinPiTest_b;
expXIsMax_uid20_fpSinPiTest_b <= cstAllOWE_uid9_fpSinPiTest_q;
expXIsMax_uid20_fpSinPiTest_q <= "1" when expXIsMax_uid20_fpSinPiTest_a = expXIsMax_uid20_fpSinPiTest_b else "0";
--exc_N_uid25_fpSinPiTest(LOGICAL,24)@0
exc_N_uid25_fpSinPiTest_a <= expXIsMax_uid20_fpSinPiTest_q;
exc_N_uid25_fpSinPiTest_b <= InvFracXIsZero_uid24_fpSinPiTest_q;
exc_N_uid25_fpSinPiTest_q <= exc_N_uid25_fpSinPiTest_a and exc_N_uid25_fpSinPiTest_b;
--InvExc_N_uid26_fpSinPiTest(LOGICAL,25)@0
InvExc_N_uid26_fpSinPiTest_a <= exc_N_uid25_fpSinPiTest_q;
InvExc_N_uid26_fpSinPiTest_q <= not InvExc_N_uid26_fpSinPiTest_a;
--exc_I_uid23_fpSinPiTest(LOGICAL,22)@0
exc_I_uid23_fpSinPiTest_a <= expXIsMax_uid20_fpSinPiTest_q;
exc_I_uid23_fpSinPiTest_b <= fracXIsZero_uid22_fpSinPiTest_q;
exc_I_uid23_fpSinPiTest_q <= exc_I_uid23_fpSinPiTest_a and exc_I_uid23_fpSinPiTest_b;
--InvExc_I_uid27_fpSinPiTest(LOGICAL,26)@0
InvExc_I_uid27_fpSinPiTest_a <= exc_I_uid23_fpSinPiTest_q;
InvExc_I_uid27_fpSinPiTest_q <= not InvExc_I_uid27_fpSinPiTest_a;
--expXIsZero_uid18_fpSinPiTest(LOGICAL,17)@0
expXIsZero_uid18_fpSinPiTest_a <= expX_uid6_fpSinPiTest_b;
expXIsZero_uid18_fpSinPiTest_b <= cstAllZWE_uid16_fpSinPiTest_q;
expXIsZero_uid18_fpSinPiTest_q <= "1" when expXIsZero_uid18_fpSinPiTest_a = expXIsZero_uid18_fpSinPiTest_b else "0";
--InvExpXIsZero_uid28_fpSinPiTest(LOGICAL,27)@0
InvExpXIsZero_uid28_fpSinPiTest_a <= expXIsZero_uid18_fpSinPiTest_q;
InvExpXIsZero_uid28_fpSinPiTest_q <= not InvExpXIsZero_uid28_fpSinPiTest_a;
--exc_R_uid29_fpSinPiTest(LOGICAL,28)@0
exc_R_uid29_fpSinPiTest_a <= InvExpXIsZero_uid28_fpSinPiTest_q;
exc_R_uid29_fpSinPiTest_b <= InvExc_I_uid27_fpSinPiTest_q;
exc_R_uid29_fpSinPiTest_c <= InvExc_N_uid26_fpSinPiTest_q;
exc_R_uid29_fpSinPiTest_q <= exc_R_uid29_fpSinPiTest_a and exc_R_uid29_fpSinPiTest_b and exc_R_uid29_fpSinPiTest_c;
--ld_exc_R_uid29_fpSinPiTest_q_to_xIsInt_uid87_fpSinPiTest_a(DELAY,348)@0
ld_exc_R_uid29_fpSinPiTest_q_to_xIsInt_uid87_fpSinPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => exc_R_uid29_fpSinPiTest_q, xout => ld_exc_R_uid29_fpSinPiTest_q_to_xIsInt_uid87_fpSinPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--xIsInt_uid87_fpSinPiTest(LOGICAL,86)@4
xIsInt_uid87_fpSinPiTest_a <= ld_exc_R_uid29_fpSinPiTest_q_to_xIsInt_uid87_fpSinPiTest_a_q;
xIsInt_uid87_fpSinPiTest_b <= xIntYz_uid86_fpSinPiTest_q;
xIsInt_uid87_fpSinPiTest_q <= xIsInt_uid87_fpSinPiTest_a and xIsInt_uid87_fpSinPiTest_b;
--InvXIsInt_uid106_fpSinPiTest(LOGICAL,105)@4
InvXIsInt_uid106_fpSinPiTest_a <= xIsInt_uid87_fpSinPiTest_q;
InvXIsInt_uid106_fpSinPiTest_q <= not InvXIsInt_uid106_fpSinPiTest_a;
--signComp_uid107_fpSinPiTest(LOGICAL,106)@4
signComp_uid107_fpSinPiTest_a <= InvXIsInt_uid106_fpSinPiTest_q;
signComp_uid107_fpSinPiTest_b <= InvXFrac_uid105_fpSinPiTest_q;
signComp_uid107_fpSinPiTest_c <= ld_intXParity_uid42_fpSinPiTest_b_to_signComp_uid107_fpSinPiTest_c_q;
signComp_uid107_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
signComp_uid107_fpSinPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
signComp_uid107_fpSinPiTest_q <= signComp_uid107_fpSinPiTest_a and signComp_uid107_fpSinPiTest_b and signComp_uid107_fpSinPiTest_c;
END IF;
END IF;
END PROCESS;
--InvYIsZero_uid108_fpSinPiTest(LOGICAL,107)@4
InvYIsZero_uid108_fpSinPiTest_a <= yIsZero_uid44_fpSinPiTest_q;
InvYIsZero_uid108_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
InvYIsZero_uid108_fpSinPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
InvYIsZero_uid108_fpSinPiTest_q <= not InvYIsZero_uid108_fpSinPiTest_a;
END IF;
END PROCESS;
--yZSC_uid109_fpSinPiTest(LOGICAL,108)@5
yZSC_uid109_fpSinPiTest_a <= InvYIsZero_uid108_fpSinPiTest_q;
yZSC_uid109_fpSinPiTest_b <= signComp_uid107_fpSinPiTest_q;
yZSC_uid109_fpSinPiTest_q <= yZSC_uid109_fpSinPiTest_a and yZSC_uid109_fpSinPiTest_b;
--signX_uid8_fpSinPiTest(BITSELECT,7)@0
signX_uid8_fpSinPiTest_in <= a;
signX_uid8_fpSinPiTest_b <= signX_uid8_fpSinPiTest_in(31 downto 31);
--ld_signX_uid8_fpSinPiTest_b_to_signR_uid110_fpSinPiTest_a(DELAY,384)@0
ld_signX_uid8_fpSinPiTest_b_to_signR_uid110_fpSinPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => signX_uid8_fpSinPiTest_b, xout => ld_signX_uid8_fpSinPiTest_b_to_signR_uid110_fpSinPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--signR_uid110_fpSinPiTest(LOGICAL,109)@5
signR_uid110_fpSinPiTest_a <= ld_signX_uid8_fpSinPiTest_b_to_signR_uid110_fpSinPiTest_a_q;
signR_uid110_fpSinPiTest_b <= yZSC_uid109_fpSinPiTest_q;
signR_uid110_fpSinPiTest_q <= signR_uid110_fpSinPiTest_a xor signR_uid110_fpSinPiTest_b;
--ld_signR_uid110_fpSinPiTest_q_to_R_uid111_fpSinPiTest_c(DELAY,388)@5
ld_signR_uid110_fpSinPiTest_q_to_R_uid111_fpSinPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 15 )
PORT MAP ( xin => signR_uid110_fpSinPiTest_q, xout => ld_signR_uid110_fpSinPiTest_q_to_R_uid111_fpSinPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--cstBias_uid11_fpSinPiTest(CONSTANT,10)
cstBias_uid11_fpSinPiTest_q <= "01111111";
--piwFP2_uid71_fpSinPiTest(CONSTANT,70)
piwFP2_uid71_fpSinPiTest_q <= "1100100100001111110110101";
--cOne_uid48_fpSinPiTest(CONSTANT,47)
cOne_uid48_fpSinPiTest_q <= "1000000000000000000000000000000000000";
--oneMinusY_uid49_fpSinPiTest(SUB,48)@4
oneMinusY_uid49_fpSinPiTest_a <= STD_LOGIC_VECTOR("0" & cOne_uid48_fpSinPiTest_q);
oneMinusY_uid49_fpSinPiTest_b <= STD_LOGIC_VECTOR("00" & reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1_q);
oneMinusY_uid49_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oneMinusY_uid49_fpSinPiTest_a) - UNSIGNED(oneMinusY_uid49_fpSinPiTest_b));
oneMinusY_uid49_fpSinPiTest_q <= oneMinusY_uid49_fpSinPiTest_o(37 downto 0);
--oMyBottom_uid51_fpSinPiTest(BITSELECT,50)@4
oMyBottom_uid51_fpSinPiTest_in <= oneMinusY_uid49_fpSinPiTest_q(34 downto 0);
oMyBottom_uid51_fpSinPiTest_b <= oMyBottom_uid51_fpSinPiTest_in(34 downto 0);
--reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3(REG,249)@4
reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q <= "00000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q <= oMyBottom_uid51_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q_to_z_uid53_fpSinPiTest_d(DELAY,310)@5
ld_reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q_to_z_uid53_fpSinPiTest_d : dspba_delay
GENERIC MAP ( width => 35, depth => 1 )
PORT MAP ( xin => reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q, xout => ld_reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q_to_z_uid53_fpSinPiTest_d_q, ena => en(0), clk => clk, aclr => areset );
--yBottom_uid52_fpSinPiTest(BITSELECT,51)@3
yBottom_uid52_fpSinPiTest_in <= y_uid43_fpSinPiTest_b(34 downto 0);
yBottom_uid52_fpSinPiTest_b <= yBottom_uid52_fpSinPiTest_in(34 downto 0);
--ld_yBottom_uid52_fpSinPiTest_b_to_reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_a(DELAY,526)@3
ld_yBottom_uid52_fpSinPiTest_b_to_reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_a : dspba_delay
GENERIC MAP ( width => 35, depth => 2 )
PORT MAP ( xin => yBottom_uid52_fpSinPiTest_b, xout => ld_yBottom_uid52_fpSinPiTest_b_to_reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2(REG,248)@5
reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_q <= "00000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_q <= ld_yBottom_uid52_fpSinPiTest_b_to_reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_a_q;
END IF;
END IF;
END PROCESS;
--ld_y_uid43_fpSinPiTest_b_to_cmpYToOneMinusY_uid50_fpSinPiTest_b(DELAY,305)@3
ld_y_uid43_fpSinPiTest_b_to_cmpYToOneMinusY_uid50_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 36, depth => 2 )
PORT MAP ( xin => y_uid43_fpSinPiTest_b, xout => ld_y_uid43_fpSinPiTest_b_to_cmpYToOneMinusY_uid50_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--reg_oneMinusY_uid49_fpSinPiTest_0_to_cmpYToOneMinusY_uid50_fpSinPiTest_0(REG,247)@4
reg_oneMinusY_uid49_fpSinPiTest_0_to_cmpYToOneMinusY_uid50_fpSinPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oneMinusY_uid49_fpSinPiTest_0_to_cmpYToOneMinusY_uid50_fpSinPiTest_0_q <= "00000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oneMinusY_uid49_fpSinPiTest_0_to_cmpYToOneMinusY_uid50_fpSinPiTest_0_q <= oneMinusY_uid49_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--cmpYToOneMinusY_uid50_fpSinPiTest(COMPARE,49)@5
cmpYToOneMinusY_uid50_fpSinPiTest_cin <= GND_q;
cmpYToOneMinusY_uid50_fpSinPiTest_a <= STD_LOGIC_VECTOR("00" & reg_oneMinusY_uid49_fpSinPiTest_0_to_cmpYToOneMinusY_uid50_fpSinPiTest_0_q) & '0';
cmpYToOneMinusY_uid50_fpSinPiTest_b <= STD_LOGIC_VECTOR("0000" & ld_y_uid43_fpSinPiTest_b_to_cmpYToOneMinusY_uid50_fpSinPiTest_b_q) & cmpYToOneMinusY_uid50_fpSinPiTest_cin(0);
cmpYToOneMinusY_uid50_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
cmpYToOneMinusY_uid50_fpSinPiTest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
cmpYToOneMinusY_uid50_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(cmpYToOneMinusY_uid50_fpSinPiTest_a) - UNSIGNED(cmpYToOneMinusY_uid50_fpSinPiTest_b));
END IF;
END IF;
END PROCESS;
cmpYToOneMinusY_uid50_fpSinPiTest_c(0) <= cmpYToOneMinusY_uid50_fpSinPiTest_o(40);
--z_uid53_fpSinPiTest(MUX,52)@6
z_uid53_fpSinPiTest_s <= cmpYToOneMinusY_uid50_fpSinPiTest_c;
z_uid53_fpSinPiTest: PROCESS (z_uid53_fpSinPiTest_s, en, reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_q, ld_reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q_to_z_uid53_fpSinPiTest_d_q)
BEGIN
CASE z_uid53_fpSinPiTest_s IS
WHEN "0" => z_uid53_fpSinPiTest_q <= reg_yBottom_uid52_fpSinPiTest_0_to_z_uid53_fpSinPiTest_2_q;
WHEN "1" => z_uid53_fpSinPiTest_q <= ld_reg_oMyBottom_uid51_fpSinPiTest_0_to_z_uid53_fpSinPiTest_3_q_to_z_uid53_fpSinPiTest_d_q;
WHEN OTHERS => z_uid53_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--zAddr_uid67_fpSinPiTest(BITSELECT,66)@6
zAddr_uid67_fpSinPiTest_in <= z_uid53_fpSinPiTest_q;
zAddr_uid67_fpSinPiTest_b <= zAddr_uid67_fpSinPiTest_in(34 downto 28);
--reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0(REG,261)@6
reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0_q <= zAddr_uid67_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--memoryC2_uid218_sinPiZTableGenerator(LOOKUP,217)@7
memoryC2_uid218_sinPiZTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC2_uid218_sinPiZTableGenerator_q <= "10101101010011";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0_q) IS
WHEN "0000000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101010011";
WHEN "0000001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101010100";
WHEN "0000010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101010111";
WHEN "0000011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101011001";
WHEN "0000100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101011011";
WHEN "0000101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101011100";
WHEN "0000110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101011111";
WHEN "0000111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101100010";
WHEN "0001000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101100110";
WHEN "0001001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101101011";
WHEN "0001010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101110000";
WHEN "0001011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101110101";
WHEN "0001100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101101111011";
WHEN "0001101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110000000";
WHEN "0001110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110000111";
WHEN "0001111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110001110";
WHEN "0010000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110010011";
WHEN "0010001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110011110";
WHEN "0010010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110100110";
WHEN "0010011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110101111";
WHEN "0010100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101110111000";
WHEN "0010101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101111000011";
WHEN "0010110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101111001100";
WHEN "0010111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101111010111";
WHEN "0011000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101111100011";
WHEN "0011001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101111101110";
WHEN "0011010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10101111111011";
WHEN "0011011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110000001001";
WHEN "0011100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110000010101";
WHEN "0011101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110000100000";
WHEN "0011110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110000110001";
WHEN "0011111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110001000000";
WHEN "0100000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110001001101";
WHEN "0100001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110001011110";
WHEN "0100010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110001101100";
WHEN "0100011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110001111111";
WHEN "0100100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110010001111";
WHEN "0100101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110010100001";
WHEN "0100110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110010110011";
WHEN "0100111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110011000101";
WHEN "0101000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110011010110";
WHEN "0101001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110011101011";
WHEN "0101010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110011111111";
WHEN "0101011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110100010010";
WHEN "0101100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110100100101";
WHEN "0101101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110100111011";
WHEN "0101110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110101001110";
WHEN "0101111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110101100111";
WHEN "0110000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110101111100";
WHEN "0110001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110110010010";
WHEN "0110010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110110100110";
WHEN "0110011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110111000000";
WHEN "0110100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110111010101";
WHEN "0110101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10110111110000";
WHEN "0110110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111000000110";
WHEN "0110111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111000100010";
WHEN "0111000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111000111001";
WHEN "0111001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111001010100";
WHEN "0111010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111001101111";
WHEN "0111011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111010001001";
WHEN "0111100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111010100011";
WHEN "0111101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111010111100";
WHEN "0111110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111011011001";
WHEN "0111111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111011110111";
WHEN "1000000" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111100010100";
WHEN "1000001" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111100110001";
WHEN "1000010" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111101001101";
WHEN "1000011" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111101101010";
WHEN "1000100" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111110001000";
WHEN "1000101" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111110100101";
WHEN "1000110" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111111000101";
WHEN "1000111" => memoryC2_uid218_sinPiZTableGenerator_q <= "10111111100011";
WHEN "1001000" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000000000011";
WHEN "1001001" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000000100011";
WHEN "1001010" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000001000100";
WHEN "1001011" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000001100010";
WHEN "1001100" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000010000100";
WHEN "1001101" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000010100010";
WHEN "1001110" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000011000110";
WHEN "1001111" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000011101000";
WHEN "1010000" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000100001010";
WHEN "1010001" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000100101101";
WHEN "1010010" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000101010001";
WHEN "1010011" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000101110010";
WHEN "1010100" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000110010100";
WHEN "1010101" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000110111011";
WHEN "1010110" => memoryC2_uid218_sinPiZTableGenerator_q <= "11000111011010";
WHEN "1010111" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001000000001";
WHEN "1011000" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001000100110";
WHEN "1011001" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001001001011";
WHEN "1011010" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001001101101";
WHEN "1011011" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001010010101";
WHEN "1011100" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001010111100";
WHEN "1011101" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001011100000";
WHEN "1011110" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001100000101";
WHEN "1011111" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001100101110";
WHEN "1100000" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001101010100";
WHEN "1100001" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001101111010";
WHEN "1100010" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001110100010";
WHEN "1100011" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001111001001";
WHEN "1100100" => memoryC2_uid218_sinPiZTableGenerator_q <= "11001111110001";
WHEN "1100101" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010000010110";
WHEN "1100110" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010000111111";
WHEN "1100111" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010001101001";
WHEN "1101000" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010010010010";
WHEN "1101001" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010010111101";
WHEN "1101010" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010011100001";
WHEN "1101011" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010100001100";
WHEN "1101100" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010100110111";
WHEN "1101101" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010101100001";
WHEN "1101110" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010110001011";
WHEN "1101111" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010110110011";
WHEN "1110000" => memoryC2_uid218_sinPiZTableGenerator_q <= "11010111011111";
WHEN "1110001" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011000001010";
WHEN "1110010" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011000110100";
WHEN "1110011" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011001011111";
WHEN "1110100" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011010001010";
WHEN "1110101" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011010110110";
WHEN "1110110" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011011100011";
WHEN "1110111" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011100001111";
WHEN "1111000" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011100111001";
WHEN "1111001" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011101100011";
WHEN "1111010" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011110010001";
WHEN "1111011" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011110111011";
WHEN "1111100" => memoryC2_uid218_sinPiZTableGenerator_q <= "11011111101000";
WHEN "1111101" => memoryC2_uid218_sinPiZTableGenerator_q <= "11100000010101";
WHEN "1111110" => memoryC2_uid218_sinPiZTableGenerator_q <= "11100001000010";
WHEN "1111111" => memoryC2_uid218_sinPiZTableGenerator_q <= "11100001110000";
WHEN OTHERS =>
memoryC2_uid218_sinPiZTableGenerator_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--zPPolyEval_uid68_fpSinPiTest(BITSELECT,67)@6
zPPolyEval_uid68_fpSinPiTest_in <= z_uid53_fpSinPiTest_q(27 downto 0);
zPPolyEval_uid68_fpSinPiTest_b <= zPPolyEval_uid68_fpSinPiTest_in(27 downto 12);
--yT1_uid219_sinPiZPolyEval(BITSELECT,218)@6
yT1_uid219_sinPiZPolyEval_in <= zPPolyEval_uid68_fpSinPiTest_b;
yT1_uid219_sinPiZPolyEval_b <= yT1_uid219_sinPiZPolyEval_in(15 downto 2);
--ld_yT1_uid219_sinPiZPolyEval_b_to_reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_a(DELAY,540)@6
ld_yT1_uid219_sinPiZPolyEval_b_to_reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_a : dspba_delay
GENERIC MAP ( width => 14, depth => 1 )
PORT MAP ( xin => yT1_uid219_sinPiZPolyEval_b, xout => ld_yT1_uid219_sinPiZPolyEval_b_to_reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0(REG,262)@7
reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_q <= "00000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_q <= ld_yT1_uid219_sinPiZPolyEval_b_to_reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_a_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid232_pT1_uid220_sinPiZPolyEval(MULT,231)@8
prodXY_uid232_pT1_uid220_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid232_pT1_uid220_sinPiZPolyEval_a),15)) * SIGNED(prodXY_uid232_pT1_uid220_sinPiZPolyEval_b);
prodXY_uid232_pT1_uid220_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid232_pT1_uid220_sinPiZPolyEval_a <= (others => '0');
prodXY_uid232_pT1_uid220_sinPiZPolyEval_b <= (others => '0');
prodXY_uid232_pT1_uid220_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid232_pT1_uid220_sinPiZPolyEval_a <= reg_yT1_uid219_sinPiZPolyEval_0_to_prodXY_uid232_pT1_uid220_sinPiZPolyEval_0_q;
prodXY_uid232_pT1_uid220_sinPiZPolyEval_b <= memoryC2_uid218_sinPiZTableGenerator_q;
prodXY_uid232_pT1_uid220_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid232_pT1_uid220_sinPiZPolyEval_pr,28));
END IF;
END IF;
END PROCESS;
prodXY_uid232_pT1_uid220_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid232_pT1_uid220_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid232_pT1_uid220_sinPiZPolyEval_q <= prodXY_uid232_pT1_uid220_sinPiZPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval(BITSELECT,232)@11
prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval_in <= prodXY_uid232_pT1_uid220_sinPiZPolyEval_q;
prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval_b <= prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval_in(27 downto 13);
--highBBits_uid222_sinPiZPolyEval(BITSELECT,221)@11
highBBits_uid222_sinPiZPolyEval_in <= prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval_b;
highBBits_uid222_sinPiZPolyEval_b <= highBBits_uid222_sinPiZPolyEval_in(14 downto 1);
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC1_uid217_sinPiZTableGenerator_0_q_to_memoryC1_uid217_sinPiZTableGenerator_a(DELAY,494)@7
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC1_uid217_sinPiZTableGenerator_0_q_to_memoryC1_uid217_sinPiZTableGenerator_a : dspba_delay
GENERIC MAP ( width => 7, depth => 3 )
PORT MAP ( xin => reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0_q, xout => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC1_uid217_sinPiZTableGenerator_0_q_to_memoryC1_uid217_sinPiZTableGenerator_a_q, ena => en(0), clk => clk, aclr => areset );
--memoryC1_uid217_sinPiZTableGenerator(LOOKUP,216)@10
memoryC1_uid217_sinPiZTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC1_uid217_sinPiZTableGenerator_q <= "000000000000000000001";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC1_uid217_sinPiZTableGenerator_0_q_to_memoryC1_uid217_sinPiZTableGenerator_a_q) IS
WHEN "0000000" => memoryC1_uid217_sinPiZTableGenerator_q <= "000000000000000000001";
WHEN "0000001" => memoryC1_uid217_sinPiZTableGenerator_q <= "111111101011010101010";
WHEN "0000010" => memoryC1_uid217_sinPiZTableGenerator_q <= "111111010110101010001";
WHEN "0000011" => memoryC1_uid217_sinPiZTableGenerator_q <= "111111000001111111100";
WHEN "0000100" => memoryC1_uid217_sinPiZTableGenerator_q <= "111110101101010101010";
WHEN "0000101" => memoryC1_uid217_sinPiZTableGenerator_q <= "111110011000101011101";
WHEN "0000110" => memoryC1_uid217_sinPiZTableGenerator_q <= "111110000100000010101";
WHEN "0000111" => memoryC1_uid217_sinPiZTableGenerator_q <= "111101101111011010001";
WHEN "0001000" => memoryC1_uid217_sinPiZTableGenerator_q <= "111101011010110010101";
WHEN "0001001" => memoryC1_uid217_sinPiZTableGenerator_q <= "111101000110001011111";
WHEN "0001010" => memoryC1_uid217_sinPiZTableGenerator_q <= "111100110001100110010";
WHEN "0001011" => memoryC1_uid217_sinPiZTableGenerator_q <= "111100011101000010000";
WHEN "0001100" => memoryC1_uid217_sinPiZTableGenerator_q <= "111100001000011110111";
WHEN "0001101" => memoryC1_uid217_sinPiZTableGenerator_q <= "111011110011111101011";
WHEN "0001110" => memoryC1_uid217_sinPiZTableGenerator_q <= "111011011111011101011";
WHEN "0001111" => memoryC1_uid217_sinPiZTableGenerator_q <= "111011001010111110111";
WHEN "0010000" => memoryC1_uid217_sinPiZTableGenerator_q <= "111010110110100010101";
WHEN "0010001" => memoryC1_uid217_sinPiZTableGenerator_q <= "111010100010000111100";
WHEN "0010010" => memoryC1_uid217_sinPiZTableGenerator_q <= "111010001101101111000";
WHEN "0010011" => memoryC1_uid217_sinPiZTableGenerator_q <= "111001111001011000011";
WHEN "0010100" => memoryC1_uid217_sinPiZTableGenerator_q <= "111001100101000100010";
WHEN "0010101" => memoryC1_uid217_sinPiZTableGenerator_q <= "111001010000110010001";
WHEN "0010110" => memoryC1_uid217_sinPiZTableGenerator_q <= "111000111100100010111";
WHEN "0010111" => memoryC1_uid217_sinPiZTableGenerator_q <= "111000101000010110001";
WHEN "0011000" => memoryC1_uid217_sinPiZTableGenerator_q <= "111000010100001011111";
WHEN "0011001" => memoryC1_uid217_sinPiZTableGenerator_q <= "111000000000000100110";
WHEN "0011010" => memoryC1_uid217_sinPiZTableGenerator_q <= "110111101100000000011";
WHEN "0011011" => memoryC1_uid217_sinPiZTableGenerator_q <= "110111010111111111000";
WHEN "0011100" => memoryC1_uid217_sinPiZTableGenerator_q <= "110111000100000001000";
WHEN "0011101" => memoryC1_uid217_sinPiZTableGenerator_q <= "110110110000000110101";
WHEN "0011110" => memoryC1_uid217_sinPiZTableGenerator_q <= "110110011100001110111";
WHEN "0011111" => memoryC1_uid217_sinPiZTableGenerator_q <= "110110001000011011000";
WHEN "0100000" => memoryC1_uid217_sinPiZTableGenerator_q <= "110101110100101011010";
WHEN "0100001" => memoryC1_uid217_sinPiZTableGenerator_q <= "110101100000111110101";
WHEN "0100010" => memoryC1_uid217_sinPiZTableGenerator_q <= "110101001101010110010";
WHEN "0100011" => memoryC1_uid217_sinPiZTableGenerator_q <= "110100111001110001011";
WHEN "0100100" => memoryC1_uid217_sinPiZTableGenerator_q <= "110100100110010001001";
WHEN "0100101" => memoryC1_uid217_sinPiZTableGenerator_q <= "110100010010110100110";
WHEN "0100110" => memoryC1_uid217_sinPiZTableGenerator_q <= "110011111111011100110";
WHEN "0100111" => memoryC1_uid217_sinPiZTableGenerator_q <= "110011101100001001010";
WHEN "0101000" => memoryC1_uid217_sinPiZTableGenerator_q <= "110011011000111010011";
WHEN "0101001" => memoryC1_uid217_sinPiZTableGenerator_q <= "110011000101101111111";
WHEN "0101010" => memoryC1_uid217_sinPiZTableGenerator_q <= "110010110010101010010";
WHEN "0101011" => memoryC1_uid217_sinPiZTableGenerator_q <= "110010011111101001101";
WHEN "0101100" => memoryC1_uid217_sinPiZTableGenerator_q <= "110010001100101110000";
WHEN "0101101" => memoryC1_uid217_sinPiZTableGenerator_q <= "110001111001110111001";
WHEN "0101110" => memoryC1_uid217_sinPiZTableGenerator_q <= "110001100111000110000";
WHEN "0101111" => memoryC1_uid217_sinPiZTableGenerator_q <= "110001010100011001011";
WHEN "0110000" => memoryC1_uid217_sinPiZTableGenerator_q <= "110001000001110010110";
WHEN "0110001" => memoryC1_uid217_sinPiZTableGenerator_q <= "110000101111010001100";
WHEN "0110010" => memoryC1_uid217_sinPiZTableGenerator_q <= "110000011100110110001";
WHEN "0110011" => memoryC1_uid217_sinPiZTableGenerator_q <= "110000001010011111111";
WHEN "0110100" => memoryC1_uid217_sinPiZTableGenerator_q <= "101111111000010000000";
WHEN "0110101" => memoryC1_uid217_sinPiZTableGenerator_q <= "101111100110000101100";
WHEN "0110110" => memoryC1_uid217_sinPiZTableGenerator_q <= "101111010100000001100";
WHEN "0110111" => memoryC1_uid217_sinPiZTableGenerator_q <= "101111000010000011001";
WHEN "0111000" => memoryC1_uid217_sinPiZTableGenerator_q <= "101110110000001011100";
WHEN "0111001" => memoryC1_uid217_sinPiZTableGenerator_q <= "101110011110011001110";
WHEN "0111010" => memoryC1_uid217_sinPiZTableGenerator_q <= "101110001100101110101";
WHEN "0111011" => memoryC1_uid217_sinPiZTableGenerator_q <= "101101111011001010001";
WHEN "0111100" => memoryC1_uid217_sinPiZTableGenerator_q <= "101101101001101100010";
WHEN "0111101" => memoryC1_uid217_sinPiZTableGenerator_q <= "101101011000010101010";
WHEN "0111110" => memoryC1_uid217_sinPiZTableGenerator_q <= "101101000111000100101";
WHEN "0111111" => memoryC1_uid217_sinPiZTableGenerator_q <= "101100110101111010111";
WHEN "1000000" => memoryC1_uid217_sinPiZTableGenerator_q <= "101100100100111000010";
WHEN "1000001" => memoryC1_uid217_sinPiZTableGenerator_q <= "101100010011111100111";
WHEN "1000010" => memoryC1_uid217_sinPiZTableGenerator_q <= "101100000011001000111";
WHEN "1000011" => memoryC1_uid217_sinPiZTableGenerator_q <= "101011110010011100000";
WHEN "1000100" => memoryC1_uid217_sinPiZTableGenerator_q <= "101011100001110110100";
WHEN "1000101" => memoryC1_uid217_sinPiZTableGenerator_q <= "101011010001011000100";
WHEN "1000110" => memoryC1_uid217_sinPiZTableGenerator_q <= "101011000001000001110";
WHEN "1000111" => memoryC1_uid217_sinPiZTableGenerator_q <= "101010110000110011000";
WHEN "1001000" => memoryC1_uid217_sinPiZTableGenerator_q <= "101010100000101011111";
WHEN "1001001" => memoryC1_uid217_sinPiZTableGenerator_q <= "101010010000101100100";
WHEN "1001010" => memoryC1_uid217_sinPiZTableGenerator_q <= "101010000000110101001";
WHEN "1001011" => memoryC1_uid217_sinPiZTableGenerator_q <= "101001110001000110000";
WHEN "1001100" => memoryC1_uid217_sinPiZTableGenerator_q <= "101001100001011110101";
WHEN "1001101" => memoryC1_uid217_sinPiZTableGenerator_q <= "101001010001111111111";
WHEN "1001110" => memoryC1_uid217_sinPiZTableGenerator_q <= "101001000010101000110";
WHEN "1001111" => memoryC1_uid217_sinPiZTableGenerator_q <= "101000110011011010001";
WHEN "1010000" => memoryC1_uid217_sinPiZTableGenerator_q <= "101000100100010100001";
WHEN "1010001" => memoryC1_uid217_sinPiZTableGenerator_q <= "101000010101010110100";
WHEN "1010010" => memoryC1_uid217_sinPiZTableGenerator_q <= "101000000110100001011";
WHEN "1010011" => memoryC1_uid217_sinPiZTableGenerator_q <= "100111110111110101011";
WHEN "1010100" => memoryC1_uid217_sinPiZTableGenerator_q <= "100111101001010010000";
WHEN "1010101" => memoryC1_uid217_sinPiZTableGenerator_q <= "100111011010110110110";
WHEN "1010110" => memoryC1_uid217_sinPiZTableGenerator_q <= "100111001100100101100";
WHEN "1010111" => memoryC1_uid217_sinPiZTableGenerator_q <= "100110111110011100011";
WHEN "1011000" => memoryC1_uid217_sinPiZTableGenerator_q <= "100110110000011100011";
WHEN "1011001" => memoryC1_uid217_sinPiZTableGenerator_q <= "100110100010100101110";
WHEN "1011010" => memoryC1_uid217_sinPiZTableGenerator_q <= "100110010100111000101";
WHEN "1011011" => memoryC1_uid217_sinPiZTableGenerator_q <= "100110000111010100001";
WHEN "1011100" => memoryC1_uid217_sinPiZTableGenerator_q <= "100101111001111001000";
WHEN "1011101" => memoryC1_uid217_sinPiZTableGenerator_q <= "100101101100100111111";
WHEN "1011110" => memoryC1_uid217_sinPiZTableGenerator_q <= "100101011111100000000";
WHEN "1011111" => memoryC1_uid217_sinPiZTableGenerator_q <= "100101010010100001001";
WHEN "1100000" => memoryC1_uid217_sinPiZTableGenerator_q <= "100101000101101100011";
WHEN "1100001" => memoryC1_uid217_sinPiZTableGenerator_q <= "100100111001000001011";
WHEN "1100010" => memoryC1_uid217_sinPiZTableGenerator_q <= "100100101100011111111";
WHEN "1100011" => memoryC1_uid217_sinPiZTableGenerator_q <= "100100100000001000010";
WHEN "1100100" => memoryC1_uid217_sinPiZTableGenerator_q <= "100100010011111010101";
WHEN "1100101" => memoryC1_uid217_sinPiZTableGenerator_q <= "100100000111110111001";
WHEN "1100110" => memoryC1_uid217_sinPiZTableGenerator_q <= "100011111011111101010";
WHEN "1100111" => memoryC1_uid217_sinPiZTableGenerator_q <= "100011110000001101010";
WHEN "1101000" => memoryC1_uid217_sinPiZTableGenerator_q <= "100011100100100111101";
WHEN "1101001" => memoryC1_uid217_sinPiZTableGenerator_q <= "100011011001001011111";
WHEN "1101010" => memoryC1_uid217_sinPiZTableGenerator_q <= "100011001101111011010";
WHEN "1101011" => memoryC1_uid217_sinPiZTableGenerator_q <= "100011000010110100001";
WHEN "1101100" => memoryC1_uid217_sinPiZTableGenerator_q <= "100010110111110111010";
WHEN "1101101" => memoryC1_uid217_sinPiZTableGenerator_q <= "100010101101000101000";
WHEN "1101110" => memoryC1_uid217_sinPiZTableGenerator_q <= "100010100010011101001";
WHEN "1101111" => memoryC1_uid217_sinPiZTableGenerator_q <= "100010011000000000001";
WHEN "1110000" => memoryC1_uid217_sinPiZTableGenerator_q <= "100010001101101101010";
WHEN "1110001" => memoryC1_uid217_sinPiZTableGenerator_q <= "100010000011100100111";
WHEN "1110010" => memoryC1_uid217_sinPiZTableGenerator_q <= "100001111001100111100";
WHEN "1110011" => memoryC1_uid217_sinPiZTableGenerator_q <= "100001101111110100101";
WHEN "1110100" => memoryC1_uid217_sinPiZTableGenerator_q <= "100001100110001100100";
WHEN "1110101" => memoryC1_uid217_sinPiZTableGenerator_q <= "100001011100101111001";
WHEN "1110110" => memoryC1_uid217_sinPiZTableGenerator_q <= "100001010011011100011";
WHEN "1110111" => memoryC1_uid217_sinPiZTableGenerator_q <= "100001001010010100101";
WHEN "1111000" => memoryC1_uid217_sinPiZTableGenerator_q <= "100001000001011000000";
WHEN "1111001" => memoryC1_uid217_sinPiZTableGenerator_q <= "100000111000100110100";
WHEN "1111010" => memoryC1_uid217_sinPiZTableGenerator_q <= "100000101111111111100";
WHEN "1111011" => memoryC1_uid217_sinPiZTableGenerator_q <= "100000100111100011111";
WHEN "1111100" => memoryC1_uid217_sinPiZTableGenerator_q <= "100000011111010011000";
WHEN "1111101" => memoryC1_uid217_sinPiZTableGenerator_q <= "100000010111001101010";
WHEN "1111110" => memoryC1_uid217_sinPiZTableGenerator_q <= "100000001111010010101";
WHEN "1111111" => memoryC1_uid217_sinPiZTableGenerator_q <= "100000000111100011001";
WHEN OTHERS =>
memoryC1_uid217_sinPiZTableGenerator_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid223_sinPiZPolyEval(ADD,222)@11
sumAHighB_uid223_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((21 downto 21 => memoryC1_uid217_sinPiZTableGenerator_q(20)) & memoryC1_uid217_sinPiZTableGenerator_q);
sumAHighB_uid223_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((21 downto 14 => highBBits_uid222_sinPiZPolyEval_b(13)) & highBBits_uid222_sinPiZPolyEval_b);
sumAHighB_uid223_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid223_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid223_sinPiZPolyEval_b));
sumAHighB_uid223_sinPiZPolyEval_q <= sumAHighB_uid223_sinPiZPolyEval_o(21 downto 0);
--lowRangeB_uid221_sinPiZPolyEval(BITSELECT,220)@11
lowRangeB_uid221_sinPiZPolyEval_in <= prodXYTruncFR_uid233_pT1_uid220_sinPiZPolyEval_b(0 downto 0);
lowRangeB_uid221_sinPiZPolyEval_b <= lowRangeB_uid221_sinPiZPolyEval_in(0 downto 0);
--s1_uid221_uid224_sinPiZPolyEval(BITJOIN,223)@11
s1_uid221_uid224_sinPiZPolyEval_q <= sumAHighB_uid223_sinPiZPolyEval_q & lowRangeB_uid221_sinPiZPolyEval_b;
--reg_s1_uid221_uid224_sinPiZPolyEval_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_1(REG,265)@11
reg_s1_uid221_uid224_sinPiZPolyEval_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid221_uid224_sinPiZPolyEval_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_1_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid221_uid224_sinPiZPolyEval_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_1_q <= s1_uid221_uid224_sinPiZPolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable(LOGICAL,560)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_a <= en;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q <= not ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_a;
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor(LOGICAL,636)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_b <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena_q;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_q <= not (ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_a or ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_b);
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_mem_top(CONSTANT,632)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_mem_top_q <= "010";
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp(LOGICAL,633)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_a <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_mem_top_q;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_q);
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_q <= "1" when ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_a = ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_b else "0";
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmpReg(REG,634)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmpReg_q <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena(REG,637)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_nor_q = "1") THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena_q <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd(LOGICAL,638)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_a <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_sticky_ena_q;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_b <= en;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_q <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_a and ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_b;
--reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0(REG,264)@6
reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q <= zPPolyEval_uid68_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_inputreg(DELAY,626)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 16, depth => 1 )
PORT MAP ( xin => reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q, xout => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt(COUNTER,628)
-- every=1, low=0, high=2, step=1, init=1
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,2);
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i = 1 THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i - 2;
ELSE
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_i,2));
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg(REG,629)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg_q <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux(MUX,630)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_s <= en;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux: PROCESS (ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_s, ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg_q, ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_q <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_q <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem(DUALMEM,627)
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_ia <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_inputreg_q;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_aa <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdreg_q;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_ab <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_rdmux_q;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 16,
widthad_a => 2,
numwords_a => 3,
width_b => 16,
widthad_b => 2,
numwords_b => 3,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_iq,
address_a => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_aa,
data_a => ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_ia
);
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_reset0 <= areset;
ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_q <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_iq(15 downto 0);
--prodXY_uid235_pT2_uid226_sinPiZPolyEval(MULT,234)@12
prodXY_uid235_pT2_uid226_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid235_pT2_uid226_sinPiZPolyEval_a),17)) * SIGNED(prodXY_uid235_pT2_uid226_sinPiZPolyEval_b);
prodXY_uid235_pT2_uid226_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid235_pT2_uid226_sinPiZPolyEval_a <= (others => '0');
prodXY_uid235_pT2_uid226_sinPiZPolyEval_b <= (others => '0');
prodXY_uid235_pT2_uid226_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid235_pT2_uid226_sinPiZPolyEval_a <= ld_reg_zPPolyEval_uid68_fpSinPiTest_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_0_q_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_a_replace_mem_q;
prodXY_uid235_pT2_uid226_sinPiZPolyEval_b <= reg_s1_uid221_uid224_sinPiZPolyEval_0_to_prodXY_uid235_pT2_uid226_sinPiZPolyEval_1_q;
prodXY_uid235_pT2_uid226_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid235_pT2_uid226_sinPiZPolyEval_pr,39));
END IF;
END IF;
END PROCESS;
prodXY_uid235_pT2_uid226_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid235_pT2_uid226_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid235_pT2_uid226_sinPiZPolyEval_q <= prodXY_uid235_pT2_uid226_sinPiZPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval(BITSELECT,235)@15
prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval_in <= prodXY_uid235_pT2_uid226_sinPiZPolyEval_q;
prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval_b <= prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval_in(38 downto 15);
--highBBits_uid228_sinPiZPolyEval(BITSELECT,227)@15
highBBits_uid228_sinPiZPolyEval_in <= prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval_b;
highBBits_uid228_sinPiZPolyEval_b <= highBBits_uid228_sinPiZPolyEval_in(23 downto 2);
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor(LOGICAL,623)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_b <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena_q;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_q <= not (ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_a or ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_b);
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_mem_top(CONSTANT,619)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_mem_top_q <= "0100";
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp(LOGICAL,620)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_a <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_mem_top_q;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_q);
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_q <= "1" when ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_a = ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_b else "0";
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmpReg(REG,621)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmpReg_q <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena(REG,624)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_nor_q = "1") THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena_q <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd(LOGICAL,625)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_a <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_sticky_ena_q;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_b <= en;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_q <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_a and ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_b;
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_inputreg(DELAY,613)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_inputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => reg_zAddr_uid67_fpSinPiTest_0_to_memoryC2_uid218_sinPiZTableGenerator_0_q, xout => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt(COUNTER,615)
-- every=1, low=0, high=4, step=1, init=1
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i = 3 THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i - 4;
ELSE
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_i,3));
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg(REG,616)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg_q <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux(MUX,617)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_s <= en;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux: PROCESS (ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_s, ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg_q, ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_q <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_q <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem(DUALMEM,614)
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_ia <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_inputreg_q;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_aa <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdreg_q;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_ab <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_rdmux_q;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 3,
numwords_a => 5,
width_b => 7,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_iq,
address_a => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_aa,
data_a => ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_ia
);
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_reset0 <= areset;
ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_q <= ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0);
--memoryC0_uid216_sinPiZTableGenerator(LOOKUP,215)@14
memoryC0_uid216_sinPiZTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC0_uid216_sinPiZTableGenerator_q <= "01100100100001111110110101110";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_zAddr_uid67_fpSinPiTest_0_to_memoryC0_uid216_sinPiZTableGenerator_0_q_to_memoryC0_uid216_sinPiZTableGenerator_a_replace_mem_q) IS
WHEN "0000000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100100001111110110101110";
WHEN "0000001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100100001110100100000010";
WHEN "0000010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100100001010101100000000";
WHEN "0000011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100100000100001110101000";
WHEN "0000100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100011111011001011111101";
WHEN "0000101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100011101111100100000010";
WHEN "0000110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100011100001010110111011";
WHEN "0000111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100011010000100100101111";
WHEN "0001000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100010111101001101100010";
WHEN "0001001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100010100111010001011101";
WHEN "0001010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100010001110110000100111";
WHEN "0001011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100001110011101011001001";
WHEN "0001100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100001010110000001001110";
WHEN "0001101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100000110101110011000000";
WHEN "0001110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100100000010011000000101011";
WHEN "0001111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011111101101101010011101";
WHEN "0010000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011111000101110000100010";
WHEN "0010001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011110011011010011001011";
WHEN "0010010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011101101110010010100101";
WHEN "0010011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011100111110101111000011";
WHEN "0010100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011100001100101000110101";
WHEN "0010101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011011011000000000001111";
WHEN "0010110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011010100000110101100011";
WHEN "0010111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011001100111001001000110";
WHEN "0011000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100011000101010111011001110";
WHEN "0011001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100010111101100001100010000";
WHEN "0011010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100010110101010111100100100";
WHEN "0011011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100010101100111001100100010";
WHEN "0011100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100010100100000111100100011";
WHEN "0011101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100010011011000001101000000";
WHEN "0011110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100010010001100111110010110";
WHEN "0011111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100010000111111010000111111";
WHEN "0100000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100001111101111000101010111";
WHEN "0100001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100001110011100011011111110";
WHEN "0100010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100001101000111010101010001";
WHEN "0100011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100001011101111110001110000";
WHEN "0100100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100001010010101110001111010";
WHEN "0100101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100001000111001010110010010";
WHEN "0100110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100000111011010011111011001";
WHEN "0100111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100000101111001001101110010";
WHEN "0101000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100000100010101100010000001";
WHEN "0101001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100000010101111011100101011";
WHEN "0101010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01100000001000110111110010101";
WHEN "0101011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011111111011100000111100110";
WHEN "0101100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011111101101110111001000101";
WHEN "0101101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011111011111111010011011011";
WHEN "0101110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011111010001101010111001111";
WHEN "0101111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011111000011001000101001110";
WHEN "0110000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011110110100010011110000000";
WHEN "0110001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011110100101001100010010010";
WHEN "0110010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011110010101110010010110000";
WHEN "0110011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011110000110000110000001000";
WHEN "0110100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011101110110000111011000111";
WHEN "0110101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011101100101110110100011101";
WHEN "0110110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011101010101010011100111001";
WHEN "0110111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011101000100011110101001100";
WHEN "0111000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011100110011010111110000111";
WHEN "0111001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011100100001111111000011101";
WHEN "0111010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011100010000010100101000000";
WHEN "0111011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011011111110011000100100100";
WHEN "0111100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011011101100001010111111110";
WHEN "0111101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011011011001101100000000011";
WHEN "0111110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011011000110111011101101010";
WHEN "0111111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011010110011111010001101001";
WHEN "1000000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011010100000100111100111000";
WHEN "1000001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011010001101000100000001111";
WHEN "1000010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011001111001001111100100111";
WHEN "1000011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011001100101001010010111011";
WHEN "1000100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011001010000110100100000101";
WHEN "1000101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011000111100001110001000001";
WHEN "1000110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011000100111010111010101011";
WHEN "1000111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01011000010010010000001111111";
WHEN "1001000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010111111100111000111111011";
WHEN "1001001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010111100111010001101011110";
WHEN "1001010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010111010001011010011100110";
WHEN "1001011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010110111011010011011010011";
WHEN "1001100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010110100100111100101100110";
WHEN "1001101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010110001110010110011011111";
WHEN "1001110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010101110111100000110000001";
WHEN "1001111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010101100000011011110001110";
WHEN "1010000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010101001001000111101001000";
WHEN "1010001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010100110001100100011110100";
WHEN "1010010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010100011001110010011010110";
WHEN "1010011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010100000001110001100110010";
WHEN "1010100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010011101001100010001001111";
WHEN "1010101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010011010001000100001110100";
WHEN "1010110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010010111000010111111100101";
WHEN "1010111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010010011111011101011101100";
WHEN "1011000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010010000110010100111010001";
WHEN "1011001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010001101100111110011011011";
WHEN "1011010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010001010011011010001010100";
WHEN "1011011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010000111001101000010000111";
WHEN "1011100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010000011111101000110111110";
WHEN "1011101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01010000000101011100001000010";
WHEN "1011110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001111101011000010001100001";
WHEN "1011111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001111010000011011001100111";
WHEN "1100000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001110110101100111010011111";
WHEN "1100001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001110011010100110101010111";
WHEN "1100010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001101111111011001011011101";
WHEN "1100011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001101100011111111101111111";
WHEN "1100100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001101001000011001110001011";
WHEN "1100101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001100101100100111101010001";
WHEN "1100110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001100010000101001100100001";
WHEN "1100111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001011110100011111101001011";
WHEN "1101000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001011011000001010000011111";
WHEN "1101001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001010111011101000111101111";
WHEN "1101010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001010011110111100100001011";
WHEN "1101011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001010000010000100111000111";
WHEN "1101100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001001100101000010001110101";
WHEN "1101101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001001000111110100101100111";
WHEN "1101110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001000101010011100011110001";
WHEN "1101111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01001000001100111001101100110";
WHEN "1110000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000111101111001100100011011";
WHEN "1110001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000111010001010101001100101";
WHEN "1110010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000110110011010011110010111";
WHEN "1110011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000110010101001000100001000";
WHEN "1110100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000101110110110011100001101";
WHEN "1110101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000101011000010100111111100";
WHEN "1110110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000100111001101101000101100";
WHEN "1110111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000100011010111011111110011";
WHEN "1111000" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000011111100000001110101000";
WHEN "1111001" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000011011100111110110100010";
WHEN "1111010" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000010111101110011000111010";
WHEN "1111011" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000010011110011110111000111";
WHEN "1111100" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000001111111000010010100010";
WHEN "1111101" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000001011111011101100100011";
WHEN "1111110" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000000111111110000110100011";
WHEN "1111111" => memoryC0_uid216_sinPiZTableGenerator_q <= "01000000011111111100001111011";
WHEN OTHERS =>
memoryC0_uid216_sinPiZTableGenerator_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid229_sinPiZPolyEval(ADD,228)@15
sumAHighB_uid229_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((29 downto 29 => memoryC0_uid216_sinPiZTableGenerator_q(28)) & memoryC0_uid216_sinPiZTableGenerator_q);
sumAHighB_uid229_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((29 downto 22 => highBBits_uid228_sinPiZPolyEval_b(21)) & highBBits_uid228_sinPiZPolyEval_b);
sumAHighB_uid229_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid229_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid229_sinPiZPolyEval_b));
sumAHighB_uid229_sinPiZPolyEval_q <= sumAHighB_uid229_sinPiZPolyEval_o(29 downto 0);
--lowRangeB_uid227_sinPiZPolyEval(BITSELECT,226)@15
lowRangeB_uid227_sinPiZPolyEval_in <= prodXYTruncFR_uid236_pT2_uid226_sinPiZPolyEval_b(1 downto 0);
lowRangeB_uid227_sinPiZPolyEval_b <= lowRangeB_uid227_sinPiZPolyEval_in(1 downto 0);
--s2_uid227_uid230_sinPiZPolyEval(BITJOIN,229)@15
s2_uid227_uid230_sinPiZPolyEval_q <= sumAHighB_uid229_sinPiZPolyEval_q & lowRangeB_uid227_sinPiZPolyEval_b;
--fxpSinRes_uid70_fpSinPiTest(BITSELECT,69)@15
fxpSinRes_uid70_fpSinPiTest_in <= s2_uid227_uid230_sinPiZPolyEval_q(29 downto 0);
fxpSinRes_uid70_fpSinPiTest_b <= fxpSinRes_uid70_fpSinPiTest_in(29 downto 5);
--ld_sinXIsX_uid34_fpSinPiTest_c_to_multRightOp_uid72_fpSinPiTest_b(DELAY,326)@0
ld_sinXIsX_uid34_fpSinPiTest_c_to_multRightOp_uid72_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 15 )
PORT MAP ( xin => sinXIsX_uid34_fpSinPiTest_c, xout => ld_sinXIsX_uid34_fpSinPiTest_c_to_multRightOp_uid72_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--multRightOp_uid72_fpSinPiTest(MUX,71)@15
multRightOp_uid72_fpSinPiTest_s <= ld_sinXIsX_uid34_fpSinPiTest_c_to_multRightOp_uid72_fpSinPiTest_b_q;
multRightOp_uid72_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multRightOp_uid72_fpSinPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE multRightOp_uid72_fpSinPiTest_s IS
WHEN "0" => multRightOp_uid72_fpSinPiTest_q <= fxpSinRes_uid70_fpSinPiTest_b;
WHEN "1" => multRightOp_uid72_fpSinPiTest_q <= piwFP2_uid71_fpSinPiTest_q;
WHEN OTHERS => multRightOp_uid72_fpSinPiTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor(LOGICAL,561)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_b <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena_q;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_q <= not (ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_a or ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_b);
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_mem_top(CONSTANT,557)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_mem_top_q <= "01000";
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp(LOGICAL,558)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_mem_top_q;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_q);
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_q <= "1" when ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_a = ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_b else "0";
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmpReg(REG,559)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmpReg_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena(REG,562)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_nor_q = "1") THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd(LOGICAL,563)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_sticky_ena_q;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_b <= en;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_a and ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_b;
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_inputreg(DELAY,551)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => oFracX_uid35_uid35_fpSinPiTest_q, xout => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt(COUNTER,553)
-- every=1, low=0, high=8, step=1, init=1
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i = 7 THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_eq = '1') THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i - 8;
ELSE
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_i,4));
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg(REG,554)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux(MUX,555)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_s <= en;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux: PROCESS (ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_s, ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg_q, ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_q)
BEGIN
CASE ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_s IS
WHEN "0" => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg_q;
WHEN "1" => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem(DUALMEM,552)
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_ia <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_inputreg_q;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_aa <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdreg_q;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_ab <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_rdmux_q;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 24,
widthad_a => 4,
numwords_a => 9,
width_b => 24,
widthad_b => 4,
numwords_b => 9,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_iq,
address_a => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_aa,
data_a => ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_ia
);
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_reset0 <= areset;
ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_iq(23 downto 0);
--ozz_uid45_fpSinPiTest(CONSTANT,44)
ozz_uid45_fpSinPiTest_q <= "00000000000000000000000000000000000";
--vStage_uid150_lzcZ_uid55_fpSinPiTest(BITSELECT,149)@6
vStage_uid150_lzcZ_uid55_fpSinPiTest_in <= z_uid53_fpSinPiTest_q(2 downto 0);
vStage_uid150_lzcZ_uid55_fpSinPiTest_b <= vStage_uid150_lzcZ_uid55_fpSinPiTest_in(2 downto 0);
--ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_b(DELAY,463)@6
ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 3, depth => 4 )
PORT MAP ( xin => vStage_uid150_lzcZ_uid55_fpSinPiTest_b, xout => ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest(BITJOIN,188)@10
leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_q <= ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_b_q & leftShiftStage0Idx2Pad32_uid117_fixedPointX_uid41_fpSinPiTest_q;
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor(LOGICAL,599)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_b <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena_q;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_q <= not (ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_a or ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_b);
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_cmpReg(REG,597)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena(REG,600)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_nor_q = "1") THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd(LOGICAL,601)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_a <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_sticky_ena_q;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_b <= en;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_a and ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_b;
--X18dto0_uid185_alignedZ_uid56_fpSinPiTest(BITSELECT,184)@6
X18dto0_uid185_alignedZ_uid56_fpSinPiTest_in <= z_uid53_fpSinPiTest_q(18 downto 0);
X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b <= X18dto0_uid185_alignedZ_uid56_fpSinPiTest_in(18 downto 0);
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_inputreg(DELAY,591)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 19, depth => 1 )
PORT MAP ( xin => X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b, xout => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt(COUNTER,593)
-- every=1, low=0, high=1, step=1, init=1
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_i <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_i,1));
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg(REG,594)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux(MUX,595)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_s <= en;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux: PROCESS (ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_s, ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg_q, ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg_q;
WHEN "1" => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem(DUALMEM,592)
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_ia <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_inputreg_q;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_aa <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg_q;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_ab <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_q;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 19,
widthad_a => 1,
numwords_a => 2,
width_b => 19,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_iq,
address_a => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_aa,
data_a => ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_ia
);
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_reset0 <= areset;
ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_iq(18 downto 0);
--leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest(BITJOIN,185)@10
leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_mem_q & leftShiftStage0Idx1Pad16_uid114_fixedPointX_uid41_fpSinPiTest_q;
--ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor(LOGICAL,610)
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_b <= ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena_q;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_q <= not (ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_a or ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_b);
--ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena(REG,611)
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_nor_q = "1") THEN
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena_q <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd(LOGICAL,612)
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_a <= ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_sticky_ena_q;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_b <= en;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_q <= ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_a and ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_b;
--ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_inputreg(DELAY,602)
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 35, depth => 1 )
PORT MAP ( xin => z_uid53_fpSinPiTest_q, xout => ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem(DUALMEM,603)
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_ia <= ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_inputreg_q;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_aa <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdreg_q;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_ab <= ld_X18dto0_uid185_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_b_replace_rdmux_q;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 35,
widthad_a => 1,
numwords_a => 2,
width_b => 35,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_iq,
address_a => ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_aa,
data_a => ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_ia
);
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_reset0 <= areset;
ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_q <= ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_iq(34 downto 0);
--rVStage_uid147_lzcZ_uid55_fpSinPiTest(BITSELECT,146)@6
rVStage_uid147_lzcZ_uid55_fpSinPiTest_in <= z_uid53_fpSinPiTest_q;
rVStage_uid147_lzcZ_uid55_fpSinPiTest_b <= rVStage_uid147_lzcZ_uid55_fpSinPiTest_in(34 downto 3);
--vCount_uid148_lzcZ_uid55_fpSinPiTest(LOGICAL,147)@6
vCount_uid148_lzcZ_uid55_fpSinPiTest_a <= rVStage_uid147_lzcZ_uid55_fpSinPiTest_b;
vCount_uid148_lzcZ_uid55_fpSinPiTest_b <= leftShiftStage0Idx2Pad32_uid117_fixedPointX_uid41_fpSinPiTest_q;
vCount_uid148_lzcZ_uid55_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
vCount_uid148_lzcZ_uid55_fpSinPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
IF (vCount_uid148_lzcZ_uid55_fpSinPiTest_a = vCount_uid148_lzcZ_uid55_fpSinPiTest_b) THEN
vCount_uid148_lzcZ_uid55_fpSinPiTest_q <= "1";
ELSE
vCount_uid148_lzcZ_uid55_fpSinPiTest_q <= "0";
END IF;
END IF;
END IF;
END PROCESS;
--ld_vCount_uid148_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_f(DELAY,460)@7
ld_vCount_uid148_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_f : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid148_lzcZ_uid55_fpSinPiTest_q, xout => ld_vCount_uid148_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_f_q, ena => en(0), clk => clk, aclr => areset );
--ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_cStage_uid151_lzcZ_uid55_fpSinPiTest_b(DELAY,425)@6
ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_cStage_uid151_lzcZ_uid55_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 3, depth => 1 )
PORT MAP ( xin => vStage_uid150_lzcZ_uid55_fpSinPiTest_b, xout => ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_cStage_uid151_lzcZ_uid55_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--mO_uid149_lzcZ_uid55_fpSinPiTest(CONSTANT,148)
mO_uid149_lzcZ_uid55_fpSinPiTest_q <= "11111111111111111111111111111";
--cStage_uid151_lzcZ_uid55_fpSinPiTest(BITJOIN,150)@7
cStage_uid151_lzcZ_uid55_fpSinPiTest_q <= ld_vStage_uid150_lzcZ_uid55_fpSinPiTest_b_to_cStage_uid151_lzcZ_uid55_fpSinPiTest_b_q & mO_uid149_lzcZ_uid55_fpSinPiTest_q;
--ld_rVStage_uid147_lzcZ_uid55_fpSinPiTest_b_to_vStagei_uid153_lzcZ_uid55_fpSinPiTest_c(DELAY,427)@6
ld_rVStage_uid147_lzcZ_uid55_fpSinPiTest_b_to_vStagei_uid153_lzcZ_uid55_fpSinPiTest_c : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => rVStage_uid147_lzcZ_uid55_fpSinPiTest_b, xout => ld_rVStage_uid147_lzcZ_uid55_fpSinPiTest_b_to_vStagei_uid153_lzcZ_uid55_fpSinPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid153_lzcZ_uid55_fpSinPiTest(MUX,152)@7
vStagei_uid153_lzcZ_uid55_fpSinPiTest_s <= vCount_uid148_lzcZ_uid55_fpSinPiTest_q;
vStagei_uid153_lzcZ_uid55_fpSinPiTest: PROCESS (vStagei_uid153_lzcZ_uid55_fpSinPiTest_s, en, ld_rVStage_uid147_lzcZ_uid55_fpSinPiTest_b_to_vStagei_uid153_lzcZ_uid55_fpSinPiTest_c_q, cStage_uid151_lzcZ_uid55_fpSinPiTest_q)
BEGIN
CASE vStagei_uid153_lzcZ_uid55_fpSinPiTest_s IS
WHEN "0" => vStagei_uid153_lzcZ_uid55_fpSinPiTest_q <= ld_rVStage_uid147_lzcZ_uid55_fpSinPiTest_b_to_vStagei_uid153_lzcZ_uid55_fpSinPiTest_c_q;
WHEN "1" => vStagei_uid153_lzcZ_uid55_fpSinPiTest_q <= cStage_uid151_lzcZ_uid55_fpSinPiTest_q;
WHEN OTHERS => vStagei_uid153_lzcZ_uid55_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid155_lzcZ_uid55_fpSinPiTest(BITSELECT,154)@7
rVStage_uid155_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid153_lzcZ_uid55_fpSinPiTest_q;
rVStage_uid155_lzcZ_uid55_fpSinPiTest_b <= rVStage_uid155_lzcZ_uid55_fpSinPiTest_in(31 downto 16);
--vCount_uid156_lzcZ_uid55_fpSinPiTest(LOGICAL,155)@7
vCount_uid156_lzcZ_uid55_fpSinPiTest_a <= rVStage_uid155_lzcZ_uid55_fpSinPiTest_b;
vCount_uid156_lzcZ_uid55_fpSinPiTest_b <= leftShiftStage0Idx1Pad16_uid114_fixedPointX_uid41_fpSinPiTest_q;
vCount_uid156_lzcZ_uid55_fpSinPiTest_q <= "1" when vCount_uid156_lzcZ_uid55_fpSinPiTest_a = vCount_uid156_lzcZ_uid55_fpSinPiTest_b else "0";
--ld_vCount_uid156_lzcZ_uid55_fpSinPiTest_q_to_reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_a(DELAY,533)@7
ld_vCount_uid156_lzcZ_uid55_fpSinPiTest_q_to_reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid156_lzcZ_uid55_fpSinPiTest_q, xout => ld_vCount_uid156_lzcZ_uid55_fpSinPiTest_q_to_reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4(REG,255)@8
reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_q <= ld_vCount_uid156_lzcZ_uid55_fpSinPiTest_q_to_reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_a_q;
END IF;
END IF;
END PROCESS;
--vStage_uid157_lzcZ_uid55_fpSinPiTest(BITSELECT,156)@7
vStage_uid157_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid153_lzcZ_uid55_fpSinPiTest_q(15 downto 0);
vStage_uid157_lzcZ_uid55_fpSinPiTest_b <= vStage_uid157_lzcZ_uid55_fpSinPiTest_in(15 downto 0);
--vStagei_uid159_lzcZ_uid55_fpSinPiTest(MUX,158)@7
vStagei_uid159_lzcZ_uid55_fpSinPiTest_s <= vCount_uid156_lzcZ_uid55_fpSinPiTest_q;
vStagei_uid159_lzcZ_uid55_fpSinPiTest: PROCESS (vStagei_uid159_lzcZ_uid55_fpSinPiTest_s, en, rVStage_uid155_lzcZ_uid55_fpSinPiTest_b, vStage_uid157_lzcZ_uid55_fpSinPiTest_b)
BEGIN
CASE vStagei_uid159_lzcZ_uid55_fpSinPiTest_s IS
WHEN "0" => vStagei_uid159_lzcZ_uid55_fpSinPiTest_q <= rVStage_uid155_lzcZ_uid55_fpSinPiTest_b;
WHEN "1" => vStagei_uid159_lzcZ_uid55_fpSinPiTest_q <= vStage_uid157_lzcZ_uid55_fpSinPiTest_b;
WHEN OTHERS => vStagei_uid159_lzcZ_uid55_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid161_lzcZ_uid55_fpSinPiTest(BITSELECT,160)@7
rVStage_uid161_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid159_lzcZ_uid55_fpSinPiTest_q;
rVStage_uid161_lzcZ_uid55_fpSinPiTest_b <= rVStage_uid161_lzcZ_uid55_fpSinPiTest_in(15 downto 8);
--reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1(REG,250)@7
reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1_q <= rVStage_uid161_lzcZ_uid55_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid162_lzcZ_uid55_fpSinPiTest(LOGICAL,161)@8
vCount_uid162_lzcZ_uid55_fpSinPiTest_a <= reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1_q;
vCount_uid162_lzcZ_uid55_fpSinPiTest_b <= cstAllZWE_uid16_fpSinPiTest_q;
vCount_uid162_lzcZ_uid55_fpSinPiTest_q <= "1" when vCount_uid162_lzcZ_uid55_fpSinPiTest_a = vCount_uid162_lzcZ_uid55_fpSinPiTest_b else "0";
--ld_vCount_uid162_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_d(DELAY,458)@8
ld_vCount_uid162_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid162_lzcZ_uid55_fpSinPiTest_q, xout => ld_vCount_uid162_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_d_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid163_lzcZ_uid55_fpSinPiTest(BITSELECT,162)@7
vStage_uid163_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid159_lzcZ_uid55_fpSinPiTest_q(7 downto 0);
vStage_uid163_lzcZ_uid55_fpSinPiTest_b <= vStage_uid163_lzcZ_uid55_fpSinPiTest_in(7 downto 0);
--reg_vStage_uid163_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid165_lzcZ_uid55_fpSinPiTest_3(REG,252)@7
reg_vStage_uid163_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid165_lzcZ_uid55_fpSinPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid163_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid165_lzcZ_uid55_fpSinPiTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid163_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid165_lzcZ_uid55_fpSinPiTest_3_q <= vStage_uid163_lzcZ_uid55_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid165_lzcZ_uid55_fpSinPiTest(MUX,164)@8
vStagei_uid165_lzcZ_uid55_fpSinPiTest_s <= vCount_uid162_lzcZ_uid55_fpSinPiTest_q;
vStagei_uid165_lzcZ_uid55_fpSinPiTest: PROCESS (vStagei_uid165_lzcZ_uid55_fpSinPiTest_s, en, reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1_q, reg_vStage_uid163_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid165_lzcZ_uid55_fpSinPiTest_3_q)
BEGIN
CASE vStagei_uid165_lzcZ_uid55_fpSinPiTest_s IS
WHEN "0" => vStagei_uid165_lzcZ_uid55_fpSinPiTest_q <= reg_rVStage_uid161_lzcZ_uid55_fpSinPiTest_0_to_vCount_uid162_lzcZ_uid55_fpSinPiTest_1_q;
WHEN "1" => vStagei_uid165_lzcZ_uid55_fpSinPiTest_q <= reg_vStage_uid163_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid165_lzcZ_uid55_fpSinPiTest_3_q;
WHEN OTHERS => vStagei_uid165_lzcZ_uid55_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid167_lzcZ_uid55_fpSinPiTest(BITSELECT,166)@8
rVStage_uid167_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid165_lzcZ_uid55_fpSinPiTest_q;
rVStage_uid167_lzcZ_uid55_fpSinPiTest_b <= rVStage_uid167_lzcZ_uid55_fpSinPiTest_in(7 downto 4);
--vCount_uid168_lzcZ_uid55_fpSinPiTest(LOGICAL,167)@8
vCount_uid168_lzcZ_uid55_fpSinPiTest_a <= rVStage_uid167_lzcZ_uid55_fpSinPiTest_b;
vCount_uid168_lzcZ_uid55_fpSinPiTest_b <= leftShiftStage1Idx1Pad4_uid123_fixedPointX_uid41_fpSinPiTest_q;
vCount_uid168_lzcZ_uid55_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
vCount_uid168_lzcZ_uid55_fpSinPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
IF (vCount_uid168_lzcZ_uid55_fpSinPiTest_a = vCount_uid168_lzcZ_uid55_fpSinPiTest_b) THEN
vCount_uid168_lzcZ_uid55_fpSinPiTest_q <= "1";
ELSE
vCount_uid168_lzcZ_uid55_fpSinPiTest_q <= "0";
END IF;
END IF;
END IF;
END PROCESS;
--vStage_uid169_lzcZ_uid55_fpSinPiTest(BITSELECT,168)@8
vStage_uid169_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid165_lzcZ_uid55_fpSinPiTest_q(3 downto 0);
vStage_uid169_lzcZ_uid55_fpSinPiTest_b <= vStage_uid169_lzcZ_uid55_fpSinPiTest_in(3 downto 0);
--reg_vStage_uid169_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_3(REG,254)@8
reg_vStage_uid169_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid169_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_3_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid169_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_3_q <= vStage_uid169_lzcZ_uid55_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid167_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_2(REG,253)@8
reg_rVStage_uid167_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid167_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_2_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid167_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_2_q <= rVStage_uid167_lzcZ_uid55_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid171_lzcZ_uid55_fpSinPiTest(MUX,170)@9
vStagei_uid171_lzcZ_uid55_fpSinPiTest_s <= vCount_uid168_lzcZ_uid55_fpSinPiTest_q;
vStagei_uid171_lzcZ_uid55_fpSinPiTest: PROCESS (vStagei_uid171_lzcZ_uid55_fpSinPiTest_s, en, reg_rVStage_uid167_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_2_q, reg_vStage_uid169_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_3_q)
BEGIN
CASE vStagei_uid171_lzcZ_uid55_fpSinPiTest_s IS
WHEN "0" => vStagei_uid171_lzcZ_uid55_fpSinPiTest_q <= reg_rVStage_uid167_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_2_q;
WHEN "1" => vStagei_uid171_lzcZ_uid55_fpSinPiTest_q <= reg_vStage_uid169_lzcZ_uid55_fpSinPiTest_0_to_vStagei_uid171_lzcZ_uid55_fpSinPiTest_3_q;
WHEN OTHERS => vStagei_uid171_lzcZ_uid55_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid173_lzcZ_uid55_fpSinPiTest(BITSELECT,172)@9
rVStage_uid173_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid171_lzcZ_uid55_fpSinPiTest_q;
rVStage_uid173_lzcZ_uid55_fpSinPiTest_b <= rVStage_uid173_lzcZ_uid55_fpSinPiTest_in(3 downto 2);
--vCount_uid174_lzcZ_uid55_fpSinPiTest(LOGICAL,173)@9
vCount_uid174_lzcZ_uid55_fpSinPiTest_a <= rVStage_uid173_lzcZ_uid55_fpSinPiTest_b;
vCount_uid174_lzcZ_uid55_fpSinPiTest_b <= leftShiftStage2Idx2Pad2_uid137_fixedPointX_uid41_fpSinPiTest_q;
vCount_uid174_lzcZ_uid55_fpSinPiTest_q <= "1" when vCount_uid174_lzcZ_uid55_fpSinPiTest_a = vCount_uid174_lzcZ_uid55_fpSinPiTest_b else "0";
--vStage_uid175_lzcZ_uid55_fpSinPiTest(BITSELECT,174)@9
vStage_uid175_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid171_lzcZ_uid55_fpSinPiTest_q(1 downto 0);
vStage_uid175_lzcZ_uid55_fpSinPiTest_b <= vStage_uid175_lzcZ_uid55_fpSinPiTest_in(1 downto 0);
--vStagei_uid177_lzcZ_uid55_fpSinPiTest(MUX,176)@9
vStagei_uid177_lzcZ_uid55_fpSinPiTest_s <= vCount_uid174_lzcZ_uid55_fpSinPiTest_q;
vStagei_uid177_lzcZ_uid55_fpSinPiTest: PROCESS (vStagei_uid177_lzcZ_uid55_fpSinPiTest_s, en, rVStage_uid173_lzcZ_uid55_fpSinPiTest_b, vStage_uid175_lzcZ_uid55_fpSinPiTest_b)
BEGIN
CASE vStagei_uid177_lzcZ_uid55_fpSinPiTest_s IS
WHEN "0" => vStagei_uid177_lzcZ_uid55_fpSinPiTest_q <= rVStage_uid173_lzcZ_uid55_fpSinPiTest_b;
WHEN "1" => vStagei_uid177_lzcZ_uid55_fpSinPiTest_q <= vStage_uid175_lzcZ_uid55_fpSinPiTest_b;
WHEN OTHERS => vStagei_uid177_lzcZ_uid55_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid179_lzcZ_uid55_fpSinPiTest(BITSELECT,178)@9
rVStage_uid179_lzcZ_uid55_fpSinPiTest_in <= vStagei_uid177_lzcZ_uid55_fpSinPiTest_q;
rVStage_uid179_lzcZ_uid55_fpSinPiTest_b <= rVStage_uid179_lzcZ_uid55_fpSinPiTest_in(1 downto 1);
--vCount_uid180_lzcZ_uid55_fpSinPiTest(LOGICAL,179)@9
vCount_uid180_lzcZ_uid55_fpSinPiTest_a <= rVStage_uid179_lzcZ_uid55_fpSinPiTest_b;
vCount_uid180_lzcZ_uid55_fpSinPiTest_b <= GND_q;
vCount_uid180_lzcZ_uid55_fpSinPiTest_q <= "1" when vCount_uid180_lzcZ_uid55_fpSinPiTest_a = vCount_uid180_lzcZ_uid55_fpSinPiTest_b else "0";
--r_uid181_lzcZ_uid55_fpSinPiTest(BITJOIN,180)@9
r_uid181_lzcZ_uid55_fpSinPiTest_q <= ld_vCount_uid148_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_f_q & reg_vCount_uid156_lzcZ_uid55_fpSinPiTest_0_to_r_uid181_lzcZ_uid55_fpSinPiTest_4_q & ld_vCount_uid162_lzcZ_uid55_fpSinPiTest_q_to_r_uid181_lzcZ_uid55_fpSinPiTest_d_q & vCount_uid168_lzcZ_uid55_fpSinPiTest_q & vCount_uid174_lzcZ_uid55_fpSinPiTest_q & vCount_uid180_lzcZ_uid55_fpSinPiTest_q;
--leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest(BITSELECT,190)@9
leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_in <= r_uid181_lzcZ_uid55_fpSinPiTest_q;
leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_b <= leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_in(5 downto 4);
--reg_leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_1(REG,256)@9
reg_leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_1_q <= leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest(MUX,191)@10
leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_s <= reg_leftShiftStageSel5Dto4_uid191_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_1_q;
leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest: PROCESS (leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_s, en, ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_q, leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_q, leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_q, ozz_uid45_fpSinPiTest_q)
BEGIN
CASE leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_s IS
WHEN "00" => leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q <= ld_z_uid53_fpSinPiTest_q_to_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_c_replace_mem_q;
WHEN "01" => leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage0Idx1_uid186_alignedZ_uid56_fpSinPiTest_q;
WHEN "10" => leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage0Idx2_uid189_alignedZ_uid56_fpSinPiTest_q;
WHEN "11" => leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q <= ozz_uid45_fpSinPiTest_q;
WHEN OTHERS => leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest(BITSELECT,199)@10
LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_in <= leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q(22 downto 0);
LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b <= LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_in(22 downto 0);
--ld_LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_b(DELAY,474)@10
ld_LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b, xout => ld_LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest(BITJOIN,200)@11
leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_q <= ld_LeftShiftStage022dto0_uid200_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_b_q & leftShiftStage1Idx3Pad12_uid129_fixedPointX_uid41_fpSinPiTest_q;
--LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest(BITSELECT,196)@10
LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_in <= leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q(26 downto 0);
LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b <= LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_in(26 downto 0);
--ld_LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_b(DELAY,472)@10
ld_LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b, xout => ld_LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest(BITJOIN,197)@11
leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_q <= ld_LeftShiftStage026dto0_uid197_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_b_q & cstAllZWE_uid16_fpSinPiTest_q;
--LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest(BITSELECT,193)@10
LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_in <= leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q(30 downto 0);
LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b <= LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_in(30 downto 0);
--ld_LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_b(DELAY,470)@10
ld_LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 31, depth => 1 )
PORT MAP ( xin => LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b, xout => ld_LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest(BITJOIN,194)@11
leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_q <= ld_LeftShiftStage030dto0_uid194_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_b_q & leftShiftStage1Idx1Pad4_uid123_fixedPointX_uid41_fpSinPiTest_q;
--reg_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_2(REG,258)@10
reg_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_2_q <= "00000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_2_q <= leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest(BITSELECT,201)@9
leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_in <= r_uid181_lzcZ_uid55_fpSinPiTest_q(3 downto 0);
leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_b <= leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_in(3 downto 2);
--reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1(REG,257)@9
reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q <= leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_b(DELAY,476)@10
ld_reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q, xout => ld_reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest(MUX,202)@11
leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_s <= ld_reg_leftShiftStageSel3Dto2_uid202_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_1_q_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_b_q;
leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest: PROCESS (leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_s, en, reg_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_2_q, leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_q, leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_q, leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_q)
BEGIN
CASE leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_s IS
WHEN "00" => leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q <= reg_leftShiftStage0_uid192_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_2_q;
WHEN "01" => leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage1Idx1_uid195_alignedZ_uid56_fpSinPiTest_q;
WHEN "10" => leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage1Idx2_uid198_alignedZ_uid56_fpSinPiTest_q;
WHEN "11" => leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage1Idx3_uid201_alignedZ_uid56_fpSinPiTest_q;
WHEN OTHERS => leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest(BITSELECT,210)@11
LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_in <= leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q(31 downto 0);
LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b <= LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_in(31 downto 0);
--ld_LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_b(DELAY,486)@11
ld_LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b, xout => ld_LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest(BITJOIN,211)@12
leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_q <= ld_LeftShiftStage131dto0_uid211_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_b_q & leftShiftStage2Idx3Pad3_uid140_fixedPointX_uid41_fpSinPiTest_q;
--LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest(BITSELECT,207)@11
LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_in <= leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q(32 downto 0);
LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b <= LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_in(32 downto 0);
--ld_LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_b(DELAY,484)@11
ld_LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 33, depth => 1 )
PORT MAP ( xin => LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b, xout => ld_LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest(BITJOIN,208)@12
leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_q <= ld_LeftShiftStage132dto0_uid208_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_b_q & leftShiftStage2Idx2Pad2_uid137_fixedPointX_uid41_fpSinPiTest_q;
--LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest(BITSELECT,204)@11
LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_in <= leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q(33 downto 0);
LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b <= LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_in(33 downto 0);
--ld_LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_b(DELAY,482)@11
ld_LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 34, depth => 1 )
PORT MAP ( xin => LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b, xout => ld_LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest(BITJOIN,205)@12
leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_q <= ld_LeftShiftStage133dto0_uid205_alignedZ_uid56_fpSinPiTest_b_to_leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_b_q & GND_q;
--reg_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_2(REG,260)@11
reg_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_2_q <= "00000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_2_q <= leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest(BITSELECT,212)@9
leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_in <= r_uid181_lzcZ_uid55_fpSinPiTest_q(1 downto 0);
leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b <= leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_in(1 downto 0);
--ld_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_a(DELAY,537)@9
ld_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 2 )
PORT MAP ( xin => leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b, xout => ld_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1(REG,259)@11
reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_q <= ld_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_b_to_reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest(MUX,213)@12
leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_s <= reg_leftShiftStageSel1Dto0_uid213_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_1_q;
leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest: PROCESS (leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_s, en, reg_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_2_q, leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_q, leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_q, leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_q)
BEGIN
CASE leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_s IS
WHEN "00" => leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_q <= reg_leftShiftStage1_uid203_alignedZ_uid56_fpSinPiTest_0_to_leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_2_q;
WHEN "01" => leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage2Idx1_uid206_alignedZ_uid56_fpSinPiTest_q;
WHEN "10" => leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage2Idx2_uid209_alignedZ_uid56_fpSinPiTest_q;
WHEN "11" => leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_q <= leftShiftStage2Idx3_uid212_alignedZ_uid56_fpSinPiTest_q;
WHEN OTHERS => leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--alignedZLow_uid57_fpSinPiTest(BITSELECT,56)@12
alignedZLow_uid57_fpSinPiTest_in <= leftShiftStage2_uid214_alignedZ_uid56_fpSinPiTest_q;
alignedZLow_uid57_fpSinPiTest_b <= alignedZLow_uid57_fpSinPiTest_in(34 downto 12);
--pHardCase_uid58_fpSinPiTest(BITJOIN,57)@12
pHardCase_uid58_fpSinPiTest_q <= alignedZLow_uid57_fpSinPiTest_b & GND_q;
--ld_sinXIsX_uid34_fpSinPiTest_c_to_p_uid59_fpSinPiTest_b(DELAY,313)@0
ld_sinXIsX_uid34_fpSinPiTest_c_to_p_uid59_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 12 )
PORT MAP ( xin => sinXIsX_uid34_fpSinPiTest_c, xout => ld_sinXIsX_uid34_fpSinPiTest_c_to_p_uid59_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--p_uid59_fpSinPiTest(MUX,58)@12
p_uid59_fpSinPiTest_s <= ld_sinXIsX_uid34_fpSinPiTest_c_to_p_uid59_fpSinPiTest_b_q;
p_uid59_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p_uid59_fpSinPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE p_uid59_fpSinPiTest_s IS
WHEN "0" => p_uid59_fpSinPiTest_q <= pHardCase_uid58_fpSinPiTest_q;
WHEN "1" => p_uid59_fpSinPiTest_q <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_replace_mem_q;
WHEN OTHERS => p_uid59_fpSinPiTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_inputreg(DELAY,577)
ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => p_uid59_fpSinPiTest_q, xout => ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a(DELAY,328)@13
ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a : dspba_delay
GENERIC MAP ( width => 24, depth => 2 )
PORT MAP ( xin => ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_inputreg_q, xout => ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--mul2xSinRes_uid73_fpSinPiTest(MULT,72)@16
mul2xSinRes_uid73_fpSinPiTest_pr <= UNSIGNED(mul2xSinRes_uid73_fpSinPiTest_a) * UNSIGNED(mul2xSinRes_uid73_fpSinPiTest_b);
mul2xSinRes_uid73_fpSinPiTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid73_fpSinPiTest_a <= (others => '0');
mul2xSinRes_uid73_fpSinPiTest_b <= (others => '0');
mul2xSinRes_uid73_fpSinPiTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mul2xSinRes_uid73_fpSinPiTest_a <= ld_p_uid59_fpSinPiTest_q_to_mul2xSinRes_uid73_fpSinPiTest_a_q;
mul2xSinRes_uid73_fpSinPiTest_b <= multRightOp_uid72_fpSinPiTest_q;
mul2xSinRes_uid73_fpSinPiTest_s1 <= STD_LOGIC_VECTOR(mul2xSinRes_uid73_fpSinPiTest_pr);
END IF;
END IF;
END PROCESS;
mul2xSinRes_uid73_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid73_fpSinPiTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mul2xSinRes_uid73_fpSinPiTest_q <= mul2xSinRes_uid73_fpSinPiTest_s1;
END IF;
END IF;
END PROCESS;
--normBit_uid74_fpSinPiTest(BITSELECT,73)@19
normBit_uid74_fpSinPiTest_in <= mul2xSinRes_uid73_fpSinPiTest_q;
normBit_uid74_fpSinPiTest_b <= normBit_uid74_fpSinPiTest_in(48 downto 48);
--rndExpUpdate_uid79_uid80_fpSinPiTest(BITJOIN,79)@19
rndExpUpdate_uid79_uid80_fpSinPiTest_q <= normBit_uid74_fpSinPiTest_b & cstAllZWF_uid10_fpSinPiTest_q & VCC_q;
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor(LOGICAL,588)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_b <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena_q;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_q <= not (ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_a or ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_b);
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_mem_top(CONSTANT,584)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_mem_top_q <= "0101";
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp(LOGICAL,585)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_a <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_mem_top_q;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_q);
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_q <= "1" when ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_a = ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_b else "0";
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmpReg(REG,586)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmpReg_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena(REG,589)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_nor_q = "1") THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd(LOGICAL,590)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_a <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_sticky_ena_q;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_b <= en;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_a and ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_b;
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor(LOGICAL,574)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_b <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena_q;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_q <= not (ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_a or ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_b);
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_mem_top(CONSTANT,570)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_mem_top_q <= "0111";
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp(LOGICAL,571)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_a <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_mem_top_q;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_q);
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_q <= "1" when ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_a = ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_b else "0";
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmpReg(REG,572)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmpReg_q <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena(REG,575)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_nor_q = "1") THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena_q <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd(LOGICAL,576)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_a <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_sticky_ena_q;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_b <= en;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_q <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_a and ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_b;
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_inputreg(DELAY,564)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expX_uid6_fpSinPiTest_b, xout => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt(COUNTER,566)
-- every=1, low=0, high=7, step=1, init=1
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_i <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_i,3));
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg(REG,567)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg_q <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux(MUX,568)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_s <= en;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux: PROCESS (ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_s, ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg_q, ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_q)
BEGIN
CASE ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_s IS
WHEN "0" => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_q <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg_q;
WHEN "1" => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_q <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem(DUALMEM,565)
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_ia <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_inputreg_q;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_aa <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdreg_q;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_ab <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_rdmux_q;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 8,
width_b => 8,
widthad_b => 3,
numwords_b => 8,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_iq,
address_a => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_aa,
data_a => ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_ia
);
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_reset0 <= areset;
ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_q <= ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_iq(7 downto 0);
--expXP1_uid62_fpSinPiTest(ADD,61)@10
expXP1_uid62_fpSinPiTest_a <= STD_LOGIC_VECTOR("0" & ld_expX_uid6_fpSinPiTest_b_to_expXP1_uid62_fpSinPiTest_a_replace_mem_q);
expXP1_uid62_fpSinPiTest_b <= STD_LOGIC_VECTOR("00000000" & VCC_q);
expXP1_uid62_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expXP1_uid62_fpSinPiTest_a) + UNSIGNED(expXP1_uid62_fpSinPiTest_b));
expXP1_uid62_fpSinPiTest_q <= expXP1_uid62_fpSinPiTest_o(8 downto 0);
--expXP1R_uid63_fpSinPiTest(BITSELECT,62)@10
expXP1R_uid63_fpSinPiTest_in <= expXP1_uid62_fpSinPiTest_q(7 downto 0);
expXP1R_uid63_fpSinPiTest_b <= expXP1R_uid63_fpSinPiTest_in(7 downto 0);
--reg_r_uid181_lzcZ_uid55_fpSinPiTest_0_to_expHardCase_uid61_fpSinPiTest_1(REG,267)@9
reg_r_uid181_lzcZ_uid55_fpSinPiTest_0_to_expHardCase_uid61_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_r_uid181_lzcZ_uid55_fpSinPiTest_0_to_expHardCase_uid61_fpSinPiTest_1_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_r_uid181_lzcZ_uid55_fpSinPiTest_0_to_expHardCase_uid61_fpSinPiTest_1_q <= r_uid181_lzcZ_uid55_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--expHardCase_uid61_fpSinPiTest(SUB,60)@10
expHardCase_uid61_fpSinPiTest_a <= STD_LOGIC_VECTOR("0" & biasM1_uid31_fpSinPiTest_q);
expHardCase_uid61_fpSinPiTest_b <= STD_LOGIC_VECTOR("000" & reg_r_uid181_lzcZ_uid55_fpSinPiTest_0_to_expHardCase_uid61_fpSinPiTest_1_q);
expHardCase_uid61_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expHardCase_uid61_fpSinPiTest_a) - UNSIGNED(expHardCase_uid61_fpSinPiTest_b));
expHardCase_uid61_fpSinPiTest_q <= expHardCase_uid61_fpSinPiTest_o(8 downto 0);
--expHardCaseR_uid64_fpSinPiTest(BITSELECT,63)@10
expHardCaseR_uid64_fpSinPiTest_in <= expHardCase_uid61_fpSinPiTest_q(7 downto 0);
expHardCaseR_uid64_fpSinPiTest_b <= expHardCaseR_uid64_fpSinPiTest_in(7 downto 0);
--ld_sinXIsX_uid34_fpSinPiTest_c_to_expP_uid65_fpSinPiTest_b(DELAY,320)@0
ld_sinXIsX_uid34_fpSinPiTest_c_to_expP_uid65_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 10 )
PORT MAP ( xin => sinXIsX_uid34_fpSinPiTest_c, xout => ld_sinXIsX_uid34_fpSinPiTest_c_to_expP_uid65_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expP_uid65_fpSinPiTest(MUX,64)@10
expP_uid65_fpSinPiTest_s <= ld_sinXIsX_uid34_fpSinPiTest_c_to_expP_uid65_fpSinPiTest_b_q;
expP_uid65_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expP_uid65_fpSinPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expP_uid65_fpSinPiTest_s IS
WHEN "0" => expP_uid65_fpSinPiTest_q <= expHardCaseR_uid64_fpSinPiTest_b;
WHEN "1" => expP_uid65_fpSinPiTest_q <= expXP1R_uid63_fpSinPiTest_b;
WHEN OTHERS => expP_uid65_fpSinPiTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_inputreg(DELAY,578)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expP_uid65_fpSinPiTest_q, xout => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt(COUNTER,580)
-- every=1, low=0, high=5, step=1, init=1
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i = 4 THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_eq = '1') THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i - 5;
ELSE
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_i,3));
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg(REG,581)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux(MUX,582)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_s <= en;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux: PROCESS (ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_s, ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg_q, ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg_q;
WHEN "1" => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem(DUALMEM,579)
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_ia <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_inputreg_q;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_aa <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdreg_q;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_ab <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_rdmux_q;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 6,
width_b => 8,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_iq,
address_a => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_aa,
data_a => ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_ia
);
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_reset0 <= areset;
ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_iq(7 downto 0);
--highRes_uid75_fpSinPiTest(BITSELECT,74)@19
highRes_uid75_fpSinPiTest_in <= mul2xSinRes_uid73_fpSinPiTest_q(47 downto 0);
highRes_uid75_fpSinPiTest_b <= highRes_uid75_fpSinPiTest_in(47 downto 24);
--lowRes_uid76_fpSinPiTest(BITSELECT,75)@19
lowRes_uid76_fpSinPiTest_in <= mul2xSinRes_uid73_fpSinPiTest_q(46 downto 0);
lowRes_uid76_fpSinPiTest_b <= lowRes_uid76_fpSinPiTest_in(46 downto 23);
--fracRCompPreRnd_uid77_fpSinPiTest(MUX,76)@19
fracRCompPreRnd_uid77_fpSinPiTest_s <= normBit_uid74_fpSinPiTest_b;
fracRCompPreRnd_uid77_fpSinPiTest: PROCESS (fracRCompPreRnd_uid77_fpSinPiTest_s, en, lowRes_uid76_fpSinPiTest_b, highRes_uid75_fpSinPiTest_b)
BEGIN
CASE fracRCompPreRnd_uid77_fpSinPiTest_s IS
WHEN "0" => fracRCompPreRnd_uid77_fpSinPiTest_q <= lowRes_uid76_fpSinPiTest_b;
WHEN "1" => fracRCompPreRnd_uid77_fpSinPiTest_q <= highRes_uid75_fpSinPiTest_b;
WHEN OTHERS => fracRCompPreRnd_uid77_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--expFracPreRnd_uid78_uid78_fpSinPiTest(BITJOIN,77)@19
expFracPreRnd_uid78_uid78_fpSinPiTest_q <= ld_expP_uid65_fpSinPiTest_q_to_expFracPreRnd_uid78_uid78_fpSinPiTest_b_replace_mem_q & fracRCompPreRnd_uid77_fpSinPiTest_q;
--expFracComp_uid81_fpSinPiTest(ADD,80)@19
expFracComp_uid81_fpSinPiTest_a <= STD_LOGIC_VECTOR("0" & expFracPreRnd_uid78_uid78_fpSinPiTest_q);
expFracComp_uid81_fpSinPiTest_b <= STD_LOGIC_VECTOR("00000000" & rndExpUpdate_uid79_uid80_fpSinPiTest_q);
expFracComp_uid81_fpSinPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracComp_uid81_fpSinPiTest_a) + UNSIGNED(expFracComp_uid81_fpSinPiTest_b));
expFracComp_uid81_fpSinPiTest_q <= expFracComp_uid81_fpSinPiTest_o(32 downto 0);
--expRComp_uid83_fpSinPiTest(BITSELECT,82)@19
expRComp_uid83_fpSinPiTest_in <= expFracComp_uid81_fpSinPiTest_q(31 downto 0);
expRComp_uid83_fpSinPiTest_b <= expRComp_uid83_fpSinPiTest_in(31 downto 24);
--reg_expRComp_uid83_fpSinPiTest_0_to_expRPostExc1_uid101_fpSinPiTest_2(REG,271)@19
reg_expRComp_uid83_fpSinPiTest_0_to_expRPostExc1_uid101_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRComp_uid83_fpSinPiTest_0_to_expRPostExc1_uid101_fpSinPiTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRComp_uid83_fpSinPiTest_0_to_expRPostExc1_uid101_fpSinPiTest_2_q <= expRComp_uid83_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--reg_xIsInt_uid87_fpSinPiTest_0_to_rZOrXInt_uid98_fpSinPiTest_2(REG,270)@4
reg_xIsInt_uid87_fpSinPiTest_0_to_rZOrXInt_uid98_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xIsInt_uid87_fpSinPiTest_0_to_rZOrXInt_uid98_fpSinPiTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xIsInt_uid87_fpSinPiTest_0_to_rZOrXInt_uid98_fpSinPiTest_2_q <= xIsInt_uid87_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2(REG,244)@0
reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q <= expXIsZero_uid18_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q_to_excRZero_uid92_fpSinPiTest_b(DELAY,358)@1
ld_reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q_to_excRZero_uid92_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q, xout => ld_reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q_to_excRZero_uid92_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--regXAndInt_uid91_fpSinPiTest(LOGICAL,90)@4
regXAndInt_uid91_fpSinPiTest_a <= xIsInt_uid87_fpSinPiTest_q;
regXAndInt_uid91_fpSinPiTest_b <= ld_exc_R_uid29_fpSinPiTest_q_to_xIsInt_uid87_fpSinPiTest_a_q;
regXAndInt_uid91_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
regXAndInt_uid91_fpSinPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
regXAndInt_uid91_fpSinPiTest_q <= regXAndInt_uid91_fpSinPiTest_a and regXAndInt_uid91_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--excRZero_uid92_fpSinPiTest(LOGICAL,91)@5
excRZero_uid92_fpSinPiTest_a <= regXAndInt_uid91_fpSinPiTest_q;
excRZero_uid92_fpSinPiTest_b <= ld_reg_expXIsZero_uid18_fpSinPiTest_0_to_excRZero_uid92_fpSinPiTest_2_q_to_excRZero_uid92_fpSinPiTest_b_q;
excRZero_uid92_fpSinPiTest_q <= excRZero_uid92_fpSinPiTest_a or excRZero_uid92_fpSinPiTest_b;
--rZOrXInt_uid98_fpSinPiTest(LOGICAL,97)@5
rZOrXInt_uid98_fpSinPiTest_a <= excRZero_uid92_fpSinPiTest_q;
rZOrXInt_uid98_fpSinPiTest_b <= reg_xIsInt_uid87_fpSinPiTest_0_to_rZOrXInt_uid98_fpSinPiTest_2_q;
rZOrXInt_uid98_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
rZOrXInt_uid98_fpSinPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
rZOrXInt_uid98_fpSinPiTest_q <= rZOrXInt_uid98_fpSinPiTest_a or rZOrXInt_uid98_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_rZOrXInt_uid98_fpSinPiTest_q_to_expRPostExc1_uid101_fpSinPiTest_b(DELAY,369)@6
ld_rZOrXInt_uid98_fpSinPiTest_q_to_expRPostExc1_uid101_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 14 )
PORT MAP ( xin => rZOrXInt_uid98_fpSinPiTest_q, xout => ld_rZOrXInt_uid98_fpSinPiTest_q_to_expRPostExc1_uid101_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expRPostExc1_uid101_fpSinPiTest(MUX,100)@20
expRPostExc1_uid101_fpSinPiTest_s <= ld_rZOrXInt_uid98_fpSinPiTest_q_to_expRPostExc1_uid101_fpSinPiTest_b_q;
expRPostExc1_uid101_fpSinPiTest: PROCESS (expRPostExc1_uid101_fpSinPiTest_s, en, reg_expRComp_uid83_fpSinPiTest_0_to_expRPostExc1_uid101_fpSinPiTest_2_q, cstAllZWE_uid16_fpSinPiTest_q)
BEGIN
CASE expRPostExc1_uid101_fpSinPiTest_s IS
WHEN "0" => expRPostExc1_uid101_fpSinPiTest_q <= reg_expRComp_uid83_fpSinPiTest_0_to_expRPostExc1_uid101_fpSinPiTest_2_q;
WHEN "1" => expRPostExc1_uid101_fpSinPiTest_q <= cstAllZWE_uid16_fpSinPiTest_q;
WHEN OTHERS => expRPostExc1_uid101_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor(LOGICAL,649)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_a <= ld_oFracX_uid35_uid35_fpSinPiTest_q_to_p_uid59_fpSinPiTest_d_notEnable_q;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_b <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena_q;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_q <= not (ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_a or ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_b);
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_mem_top(CONSTANT,645)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_mem_top_q <= "01100";
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp(LOGICAL,646)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_a <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_mem_top_q;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_q);
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_q <= "1" when ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_a = ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_b else "0";
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmpReg(REG,647)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmpReg_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena(REG,650)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_nor_q = "1") THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd(LOGICAL,651)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_a <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_sticky_ena_q;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_b <= en;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_a and ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_b;
--InvXIntExp_uid88_fpSinPiTest(LOGICAL,87)@4
InvXIntExp_uid88_fpSinPiTest_a <= ld_xIntExp_uid30_fpSinPiTest_c_to_xIntYz_uid86_fpSinPiTest_a_q;
InvXIntExp_uid88_fpSinPiTest_q <= not InvXIntExp_uid88_fpSinPiTest_a;
--join_uid46_fpSinPiTest(BITJOIN,45)@4
join_uid46_fpSinPiTest_q <= VCC_q & ozz_uid45_fpSinPiTest_q;
--yIsZero_uid47_fpSinPiTest(LOGICAL,46)@4
yIsZero_uid47_fpSinPiTest_a <= reg_y_uid43_fpSinPiTest_0_to_yIsZero_uid47_fpSinPiTest_1_q;
yIsZero_uid47_fpSinPiTest_b <= join_uid46_fpSinPiTest_q;
yIsZero_uid47_fpSinPiTest_q <= "1" when yIsZero_uid47_fpSinPiTest_a = yIsZero_uid47_fpSinPiTest_b else "0";
--xRyHalf_uid90_fpSinPiTest(LOGICAL,89)@4
xRyHalf_uid90_fpSinPiTest_a <= ld_exc_R_uid29_fpSinPiTest_q_to_xIsInt_uid87_fpSinPiTest_a_q;
xRyHalf_uid90_fpSinPiTest_b <= yIsZero_uid47_fpSinPiTest_q;
xRyHalf_uid90_fpSinPiTest_c <= InvSinXIsX_uid84_fpSinPiTest_q;
xRyHalf_uid90_fpSinPiTest_d <= InvXIntExp_uid88_fpSinPiTest_q;
xRyHalf_uid90_fpSinPiTest_q <= xRyHalf_uid90_fpSinPiTest_a and xRyHalf_uid90_fpSinPiTest_b and xRyHalf_uid90_fpSinPiTest_c and xRyHalf_uid90_fpSinPiTest_d;
--excRNaN_uid93_fpSinPiTest(LOGICAL,92)@0
excRNaN_uid93_fpSinPiTest_a <= exc_N_uid25_fpSinPiTest_q;
excRNaN_uid93_fpSinPiTest_b <= exc_I_uid23_fpSinPiTest_q;
excRNaN_uid93_fpSinPiTest_q <= excRNaN_uid93_fpSinPiTest_a or excRNaN_uid93_fpSinPiTest_b;
--ld_excRNaN_uid93_fpSinPiTest_q_to_excRIoN_uid102_fpSinPiTest_b(DELAY,371)@0
ld_excRNaN_uid93_fpSinPiTest_q_to_excRIoN_uid102_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => excRNaN_uid93_fpSinPiTest_q, xout => ld_excRNaN_uid93_fpSinPiTest_q_to_excRIoN_uid102_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--excRIoN_uid102_fpSinPiTest(LOGICAL,101)@4
excRIoN_uid102_fpSinPiTest_a <= GND_q;
excRIoN_uid102_fpSinPiTest_b <= ld_excRNaN_uid93_fpSinPiTest_q_to_excRIoN_uid102_fpSinPiTest_b_q;
excRIoN_uid102_fpSinPiTest_q <= excRIoN_uid102_fpSinPiTest_a or excRIoN_uid102_fpSinPiTest_b;
--join_uid103_fpSinPiTest(BITJOIN,102)@4
join_uid103_fpSinPiTest_q <= xRyHalf_uid90_fpSinPiTest_q & excRIoN_uid102_fpSinPiTest_q;
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_inputreg(DELAY,639)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_inputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => join_uid103_fpSinPiTest_q, xout => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt(COUNTER,641)
-- every=1, low=0, high=12, step=1, init=1
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i = 11 THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_eq <= '1';
ELSE
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_eq = '1') THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i - 12;
ELSE
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_i,4));
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg(REG,642)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux(MUX,643)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_s <= en;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux: PROCESS (ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_s, ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg_q, ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_q)
BEGIN
CASE ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_s IS
WHEN "0" => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg_q;
WHEN "1" => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdcnt_q;
WHEN OTHERS => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem(DUALMEM,640)
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_ia <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_inputreg_q;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_aa <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdreg_q;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_ab <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_rdmux_q;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 4,
numwords_a => 13,
width_b => 2,
widthad_b => 4,
numwords_b => 13,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_iq,
address_a => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_aa,
data_a => ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_ia
);
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_reset0 <= areset;
ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_iq(1 downto 0);
--reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1(REG,272)@19
reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_q <= ld_join_uid103_fpSinPiTest_q_to_reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--expRPostExc_uid104_fpSinPiTest(MUX,103)@20
expRPostExc_uid104_fpSinPiTest_s <= reg_join_uid103_fpSinPiTest_0_to_expRPostExc_uid104_fpSinPiTest_1_q;
expRPostExc_uid104_fpSinPiTest: PROCESS (expRPostExc_uid104_fpSinPiTest_s, en, expRPostExc1_uid101_fpSinPiTest_q, cstAllOWE_uid9_fpSinPiTest_q, cstBias_uid11_fpSinPiTest_q, cstBias_uid11_fpSinPiTest_q)
BEGIN
CASE expRPostExc_uid104_fpSinPiTest_s IS
WHEN "00" => expRPostExc_uid104_fpSinPiTest_q <= expRPostExc1_uid101_fpSinPiTest_q;
WHEN "01" => expRPostExc_uid104_fpSinPiTest_q <= cstAllOWE_uid9_fpSinPiTest_q;
WHEN "10" => expRPostExc_uid104_fpSinPiTest_q <= cstBias_uid11_fpSinPiTest_q;
WHEN "11" => expRPostExc_uid104_fpSinPiTest_q <= cstBias_uid11_fpSinPiTest_q;
WHEN OTHERS => expRPostExc_uid104_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--oneFracRPostExc2_uid96_fpSinPiTest(CONSTANT,95)
oneFracRPostExc2_uid96_fpSinPiTest_q <= "00000000000000000000001";
--fracRComp_uid82_fpSinPiTest(BITSELECT,81)@19
fracRComp_uid82_fpSinPiTest_in <= expFracComp_uid81_fpSinPiTest_q(23 downto 0);
fracRComp_uid82_fpSinPiTest_b <= fracRComp_uid82_fpSinPiTest_in(23 downto 1);
--reg_fracRComp_uid82_fpSinPiTest_0_to_fracRPostExc1_uid95_fpSinPiTest_2(REG,268)@19
reg_fracRComp_uid82_fpSinPiTest_0_to_fracRPostExc1_uid95_fpSinPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRComp_uid82_fpSinPiTest_0_to_fracRPostExc1_uid95_fpSinPiTest_2_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRComp_uid82_fpSinPiTest_0_to_fracRPostExc1_uid95_fpSinPiTest_2_q <= fracRComp_uid82_fpSinPiTest_b;
END IF;
END IF;
END PROCESS;
--reg_xRyHalf_uid90_fpSinPiTest_0_to_xHalfRZI_uid94_fpSinPiTest_1(REG,245)@4
reg_xRyHalf_uid90_fpSinPiTest_0_to_xHalfRZI_uid94_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xRyHalf_uid90_fpSinPiTest_0_to_xHalfRZI_uid94_fpSinPiTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xRyHalf_uid90_fpSinPiTest_0_to_xHalfRZI_uid94_fpSinPiTest_1_q <= xRyHalf_uid90_fpSinPiTest_q;
END IF;
END IF;
END PROCESS;
--xHalfRZI_uid94_fpSinPiTest(LOGICAL,93)@5
xHalfRZI_uid94_fpSinPiTest_a <= reg_xRyHalf_uid90_fpSinPiTest_0_to_xHalfRZI_uid94_fpSinPiTest_1_q;
xHalfRZI_uid94_fpSinPiTest_b <= excRZero_uid92_fpSinPiTest_q;
xHalfRZI_uid94_fpSinPiTest_c <= GND_q;
xHalfRZI_uid94_fpSinPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
xHalfRZI_uid94_fpSinPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
xHalfRZI_uid94_fpSinPiTest_q <= xHalfRZI_uid94_fpSinPiTest_a or xHalfRZI_uid94_fpSinPiTest_b or xHalfRZI_uid94_fpSinPiTest_c;
END IF;
END IF;
END PROCESS;
--ld_xHalfRZI_uid94_fpSinPiTest_q_to_fracRPostExc1_uid95_fpSinPiTest_b(DELAY,363)@6
ld_xHalfRZI_uid94_fpSinPiTest_q_to_fracRPostExc1_uid95_fpSinPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 14 )
PORT MAP ( xin => xHalfRZI_uid94_fpSinPiTest_q, xout => ld_xHalfRZI_uid94_fpSinPiTest_q_to_fracRPostExc1_uid95_fpSinPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--fracRPostExc1_uid95_fpSinPiTest(MUX,94)@20
fracRPostExc1_uid95_fpSinPiTest_s <= ld_xHalfRZI_uid94_fpSinPiTest_q_to_fracRPostExc1_uid95_fpSinPiTest_b_q;
fracRPostExc1_uid95_fpSinPiTest: PROCESS (fracRPostExc1_uid95_fpSinPiTest_s, en, reg_fracRComp_uid82_fpSinPiTest_0_to_fracRPostExc1_uid95_fpSinPiTest_2_q, cstAllZWF_uid10_fpSinPiTest_q)
BEGIN
CASE fracRPostExc1_uid95_fpSinPiTest_s IS
WHEN "0" => fracRPostExc1_uid95_fpSinPiTest_q <= reg_fracRComp_uid82_fpSinPiTest_0_to_fracRPostExc1_uid95_fpSinPiTest_2_q;
WHEN "1" => fracRPostExc1_uid95_fpSinPiTest_q <= cstAllZWF_uid10_fpSinPiTest_q;
WHEN OTHERS => fracRPostExc1_uid95_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_excRNaN_uid93_fpSinPiTest_q_to_reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_a(DELAY,547)@0
ld_excRNaN_uid93_fpSinPiTest_q_to_reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_a : dspba_delay
GENERIC MAP ( width => 1, depth => 19 )
PORT MAP ( xin => excRNaN_uid93_fpSinPiTest_q, xout => ld_excRNaN_uid93_fpSinPiTest_q_to_reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1(REG,269)@19
reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_q <= ld_excRNaN_uid93_fpSinPiTest_q_to_reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--fracRPostExc_uid97_fpSinPiTest(MUX,96)@20
fracRPostExc_uid97_fpSinPiTest_s <= reg_excRNaN_uid93_fpSinPiTest_0_to_fracRPostExc_uid97_fpSinPiTest_1_q;
fracRPostExc_uid97_fpSinPiTest: PROCESS (fracRPostExc_uid97_fpSinPiTest_s, en, fracRPostExc1_uid95_fpSinPiTest_q, oneFracRPostExc2_uid96_fpSinPiTest_q)
BEGIN
CASE fracRPostExc_uid97_fpSinPiTest_s IS
WHEN "0" => fracRPostExc_uid97_fpSinPiTest_q <= fracRPostExc1_uid95_fpSinPiTest_q;
WHEN "1" => fracRPostExc_uid97_fpSinPiTest_q <= oneFracRPostExc2_uid96_fpSinPiTest_q;
WHEN OTHERS => fracRPostExc_uid97_fpSinPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--R_uid111_fpSinPiTest(BITJOIN,110)@20
R_uid111_fpSinPiTest_q <= ld_signR_uid110_fpSinPiTest_q_to_R_uid111_fpSinPiTest_c_q & expRPostExc_uid104_fpSinPiTest_q & fracRPostExc_uid97_fpSinPiTest_q;
--xOut(GPOUT,4)@20
q <= R_uid111_fpSinPiTest_q;
end normal;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_castltox.vhd | 10 | 3526 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTLTOX.VHD ***
--*** ***
--*** Function: Cast Long to Internal Single ***
--*** Format ***
--*** ***
--*** 13/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_castltox IS
GENERIC (
mantissa : integer := 36;
unsigned : integer := 0 -- 0 = signed, 1 = unsigned
);
PORT (
aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_castltox;
ARCHITECTURE rtl OF hcc_castltox IS
signal fit : STD_LOGIC;
signal exponentfit, exponentnofit : STD_LOGIC_VECTOR (10 DOWNTO 1);
BEGIN
gxa: IF (unsigned = 0) GENERATE
cc(mantissa+10 DOWNTO mantissa+5) <= aa(32) & aa(32) & aa(32) & aa(32) & aa(32);
END GENERATE;
gxb: IF (unsigned = 1) GENERATE
cc(mantissa+10 DOWNTO mantissa+5) <= "00000";
END GENERATE;
gmaa: IF (mantissa = 32) GENERATE
-- 27 significant bits can be fit directly
gmab: IF (unsigned = 0) GENERATE -- signed
fit <= NOT(aa(32) OR aa(31) OR aa(30) OR aa(29) OR aa(28)) OR
(aa(32) AND aa(31) AND aa(30) AND aa(29) AND aa(28));
END GENERATE;
gmac: IF (unsigned = 1) GENERATE -- unsigned
fit <= NOT(aa(32) OR aa(31) OR aa(30) OR aa(29) OR aa(28));
END GENERATE;
gmad: FOR k IN 1 TO 27 GENERATE
cc(k+10) <= (aa(k) AND fit) OR (aa(k+5) AND NOT(fit));
END GENERATE;
exponentfit <= "0010011010"; -- exponent = 154 due right shift by 27
exponentnofit <= "0010011111"; -- exponent = 159 due right shift by 32
gmae: FOR k IN 1 TO 10 GENERATE
cc(k) <= (exponentfit(k) AND fit) OR (exponentnofit(k) AND NOT(fit));
END GENERATE;
END GENERATE;
gmba: IF (mantissa = 36) GENERATE
-- 31 significant bits can be fit directly
gmbb: IF (unsigned = 0) GENERATE -- signed
fit <= NOT(aa(32) OR aa(31)) OR
(aa(32) AND aa(31));
END GENERATE;
gmbc: IF (unsigned = 1) GENERATE -- unsigned
fit <= NOT(aa(32));
END GENERATE;
gmbd: FOR k IN 1 TO 31 GENERATE
cc(k+10) <= (aa(k) AND fit) OR (aa(k+1) AND NOT(fit));
END GENERATE;
exponentfit <= "0010011110"; -- exponent = 158 due right shift by 31
exponentnofit <= "0010011111"; -- exponent = 159 due right shift by 32
gmbe: FOR k IN 1 TO 10 GENERATE
cc(k) <= (exponentfit(k) AND fit) OR (exponentnofit(k) AND NOT(fit));
END GENERATE;
END GENERATE;
ccsat <= '0';
cczip <= '0';
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_tanlut1.vhd | 10 | 94202 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_TANLUT1.VHD ***
--*** ***
--*** Function: Tangent Look Up Table ***
--*** (Generated by MATLAB Utility) ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_tanlut1 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
mantissa : OUT STD_LOGIC_VECTOR (36 DOWNTO 1);
exponent : OUT STD_LOGIC_VECTOR (5 DOWNTO 1)
);
END fp_tanlut1;
ARCHITECTURE rtl OF fp_tanlut1 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(0,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(0,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(0,5);
WHEN "000000001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131072,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(174764,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(0,5);
WHEN "000000010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131074,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(174780,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(1,5);
WHEN "000000011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(196617,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(130,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(1,5);
WHEN "000000100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131082,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(175036,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(2,5);
WHEN "000000101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(163860,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(219287,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(2,5);
WHEN "000000110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(196644,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(2074,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(2,5);
WHEN "000000111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(229433,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(48174,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(2,5);
WHEN "000001000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131114,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(179133,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000001001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147516,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(204485,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000001010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(163923,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(100723,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000001011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(180334,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(261788,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000001100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(196752,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(33207,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000001101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(213175,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(71403,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000001110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(229604,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(246559,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000001111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(246041,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(166927,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(3,5);
WHEN "000010000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131242,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(244778,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000010001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(139469,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(18368,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000010010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147699,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(126224,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000010011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(155934,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(110829,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000010100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(164174,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(39099,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000010101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(172418,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(240249,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000010110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(180668,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(257224,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000010111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(188924,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(157429,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(197186,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(8449,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(205453,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(140199,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(213727,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(96362,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(222007,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(207256,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(230295,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(16983,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(238589,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(118443,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(246891,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(56191,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000011111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(255200,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(161457,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(4,5);
WHEN "000100000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131758,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(251787,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000100001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(135921,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(182851,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000100010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(140088,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(170994,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000100011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(144259,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(251287,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000100100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(148435,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(196795,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000100101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(152616,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(42877,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000100110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(156801,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(87190,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000100111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(160991,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(103258,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(165186,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(126910,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(169386,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(194144,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(173592,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(78984,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(177803,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(79918,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(182019,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(233473,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(186242,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(52073,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(190470,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(96910,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000101111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(194704,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(142940,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(198944,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(227466,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(203191,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(125851,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(207444,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(137961,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(211704,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(39590,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(215970,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(131044,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(220243,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(188568,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(224523,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(250786,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000110111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(228811,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(94417,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(233106,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(20713,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(237408,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(69033,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(241718,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(16848,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(246035,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(166180,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(250361,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(32888,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(254694,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(181681,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(259036,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(128971,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(5,5);
WHEN "000111111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131693,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(88946,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(133872,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(184862,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(136056,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(110880,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(138244,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(150087,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(140437,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(61438,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(142634,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(128336,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(144836,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(110058,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147043,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(28193,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001000111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(149254,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(166641,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(151471,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(23046,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(153692,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(143802,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(155919,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(26909,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(158150,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(219127,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(160387,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(218828,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(162630,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(48862,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(164877,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(256563,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001001111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(167131,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(78745,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(169390,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(63145,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(171654,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(233418,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(173925,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(89145,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(176201,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(178694,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(178484,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(2082,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(180772,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(108121,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(183066,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(259423,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001010111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(185367,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(218831,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(187675,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(11570,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(189988,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(187394,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(192308,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(247729,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(194635,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(218538,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(196969,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(126042,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(199309,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(258866,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(201657,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(119470,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001011111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(204011,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(259160,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(206373,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(180942,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(208742,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(174537,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(211119,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(5664,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(213502,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(226767,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(215894,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(79866,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(218293,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(118006,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(220700,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(108111,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001100111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(223115,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(79560,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(225538,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(62056,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(227969,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(85630,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(230408,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(180644,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(232856,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(115658,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(235312,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(183865,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(237777,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(154523,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(240251,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(59395,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001101111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(242733,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(192751,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(245225,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(62806,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(247725,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(226729,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(250235,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(193502,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(252754,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(258933,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(255283,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(194946,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(257822,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(36018,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(260370,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(79189,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(6,5);
WHEN "001110111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131464,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(48818,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(132748,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(63557,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(134037,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(101912,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(135331,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(182207,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(136631,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(60853,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(137936,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(18783,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(139246,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(75024,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(140561,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(248849,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "001111111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(141883,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(35488,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(143209,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(241146,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(144542,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(99421,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(145880,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(154894,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147224,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(165983,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(148574,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(153522,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(149930,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(138624,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(151292,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(142687,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010000111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(152660,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(187397,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(154035,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(32592,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(155415,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(224845,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(156803,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(313,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(158196,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(168333,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(159596,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(227841,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(161003,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(202385,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(162417,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(115847,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010001111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(163837,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(254593,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(165265,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(118901,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(166699,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(257978,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(168141,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(172818,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(169590,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(151210,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(171046,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(219176,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(172510,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(140977,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(173981,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(205552,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010010111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(175460,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(177949,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(176947,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(85768,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(178441,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(219166,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(179944,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(82294,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(181454,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(228309,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(182973,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(162236,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(184500,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(175979,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(186036,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(37616,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010011111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(187580,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(39982,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(189132,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(214250,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(190694,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(67791,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(192264,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(157055,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(193843,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(252568,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(195432,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(125382,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(197030,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(71365,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(198637,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(124791,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010100111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(200254,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(58341,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(201880,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(169556,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(203516,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(232268,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(205163,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(20904,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(206819,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(96928,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(208485,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(235993,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(210162,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(214385,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(211850,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(71183,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010101111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(213548,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(108271,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(215257,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(103923,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(216977,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(99249,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(218708,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(136066,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(220450,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(256917,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(222204,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(242940,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(223970,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(138174,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(225747,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(249580,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010110111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(227537,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(98477,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(229338,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(255571,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(231152,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(243824,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(232979,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(111333,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(234818,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(169210,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(236670,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(205169,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(238536,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(7833,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(240414,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(153192,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "010111111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(242306,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(169612,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(244212,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(110728,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(246132,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(31174,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(248065,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(248754,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(250014,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(33745,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(251976,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(230368,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(253954,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(111078,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(255946,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(260182,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011000111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(257954,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(214570,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011001000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(259978,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(36603,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011001001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(262017,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(52004,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(7,5);
WHEN "011001010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(132036,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(31728,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011001011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(133071,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(199603,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011001100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(134115,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(170119,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011001101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(135167,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(239798,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011001110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(136228,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(181565,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011001111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(137298,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(31195,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(138376,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(87334,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(139463,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(125082,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(140559,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(182447,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(141665,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(36078,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(142779,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(249858,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(143904,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(77779,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(145038,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(85397,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011010111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(146182,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(52708,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147336,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(22748,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(148500,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(39467,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(149674,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(147762,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(150859,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(131351,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(152055,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(37096,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(153261,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(175023,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(154479,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(69779,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011011111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(155708,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(33524,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(156948,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(117385,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(158200,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(111488,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(159464,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(69279,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(160740,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(45413,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(162028,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(95789,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(163329,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(15442,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(164642,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(125012,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011100111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(165968,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(222206,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(167308,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(106129,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(168661,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(101608,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(170028,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(10664,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(171408,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(161129,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(172803,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(95974,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(174212,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(146219,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(175636,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(120264,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011101111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(177075,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(90370,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(178529,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(130571,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(179999,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(54581,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(181484,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(202287,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(182986,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(129087,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(184504,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(178815,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(186039,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(173083,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(187591,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(197786,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011110111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(189161,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(78873,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(190748,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(168858,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(192354,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(36174,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(193978,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(38109,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(195621,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(10173,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(197283,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(52606,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(198965,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(6187,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(200666,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(238745,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "011111111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(202389,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(72398,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(204132,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(142943,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(205897,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(40664,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(207683,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(145442,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(209492,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(54008,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(211323,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(152917,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(213178,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(45809,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(215056,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(126393,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100000111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(216959,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(5720,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(218886,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(85177,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(220838,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(245917,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(222817,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(111146,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(224822,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(94854,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(226854,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(91265,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(228913,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(261427,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(231001,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(246970,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100001111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(233118,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(218857,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(235265,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(91152,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(237442,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(45510,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(239650,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(7099,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(241889,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(169116,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(244161,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(206583,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(246467,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(63025,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(248806,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(212871,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100010111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(251181,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(88861,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100011000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(253591,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(179473,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100011001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(256038,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(194218,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100011010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(258523,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(112522,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100011011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(261046,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(184058,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(8,5);
WHEN "100011100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(131804,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(202396,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100011101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(133106,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(127604,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100011110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(134429,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(5700,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100011111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(135772,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(241668,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(137138,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(196914,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(138527,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(24498,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(139938,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(145076,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(141373,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(198575,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(142833,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(93021,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(144318,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(4818,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(145828,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(116886,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100100111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147365,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(94683,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(148929,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(135102,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(150521,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(180373,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(152142,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(180573,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(153793,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(94005,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(155474,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(149742,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(157187,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(61623,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(158932,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(77284,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100101111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(160710,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(192200,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(162523,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(150199,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(164371,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(230433,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(166256,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(199372,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(168179,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(97853,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(170140,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(241724,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(172142,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(173961,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(174185,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(238269,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100110111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(176272,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(6999,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(178402,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(116996,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(180578,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(173338,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(182802,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(61011,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(185074,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(208074,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(187398,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(13879,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(189773,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(209544,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(192203,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(237754,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "100111111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(194690,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(89118,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(197235,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(41457,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(199840,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(137068,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(202508,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(184378,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(205242,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(21881,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(208043,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(44347,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(210914,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(156319,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(213859,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(36498,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101000111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(216879,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(188732,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(219979,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(109619,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(223161,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(126346,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(226429,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(89021,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(229786,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(160435,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(233237,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(33224,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(236784,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(244509,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(240434,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(83006,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101001111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(244189,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(215105,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101010000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(248056,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(68494,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101010001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(252038,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(196984,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101010010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(256142,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(189406,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101010011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(260373,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(249093,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(9,5);
WHEN "101010100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(132369,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(76283,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101010101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(134621,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(153043,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101010110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(136947,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(90777,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101010111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(139350,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(80594,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(141834,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(118724,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(144404,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(12410,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147063,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(172855,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(149818,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(49588,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(152672,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(235640,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(155633,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(117182,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(158705,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(242792,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101011111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(161896,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(237423,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(165213,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(125600,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(168663,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(83253,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(172254,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(191313,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(175996,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(191297,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(179899,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(29637,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(183972,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(142533,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(188228,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(171026,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101100111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(192680,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(38953,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(197340,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(248662,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(202226,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(84469,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(207353,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(16170,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(212739,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(177173,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(218406,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(161382,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(224376,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(140334,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(230674,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(156722,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101101111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(237328,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(218000,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101110000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(244370,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(144312,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101110001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(251834,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(222783,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101110010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(259761,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(51093,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(10,5);
WHEN "101110011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(134097,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(16112,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101110100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(138592,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(11144,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101110101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(143394,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(60483,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101110110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(148536,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(104003,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101110111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(154056,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(37731,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(159996,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(218457,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(166408,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(191255,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(173350,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(113148,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(180890,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(176944,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(189110,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(163200,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(198106,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(211327,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(207994,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(247747,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "101111111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(218914,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(252397,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "110000000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(231037,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(174172,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "110000001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(244573,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(197553,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "110000010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(259786,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(53842,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(11,5);
WHEN "110000011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(138503,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(202780,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110000100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(148332,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(62994,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110000101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(159656,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(230897,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110000110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(172847,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(240508,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110000111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(188408,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(183509,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110001000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(207041,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(119228,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110001001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(229756,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(71260,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110001010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(258060,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(153597,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(12,5);
WHEN "110001011" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(147154,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(32322,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(13,5);
WHEN "110001100" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(171195,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(78299,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(13,5);
WHEN "110001101" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(204618,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(105365,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(13,5);
WHEN "110001110" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(254248,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(141622,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(13,5);
WHEN "110001111" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(167825,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(19278,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(14,5);
WHEN "110010000" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(246850,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(149873,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(14,5);
WHEN "110010001" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(233251,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(216105,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(15,5);
WHEN "110010010" =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(132278,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(191927,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(19,5);
WHEN others =>
mantissa(36 DOWNTO 19) <= conv_std_logic_vector(0,18);
mantissa(18 DOWNTO 1) <= conv_std_logic_vector(0,18);
exponent(5 DOWNTO 1) <= conv_std_logic_vector(0,5);
END CASE;
END PROCESS;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/dp_lnlut9.vhd | 10 | 142018 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fpc_library_cmd.vhd | 10 | 105191 | -- (C) 2010 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
--***************************************************
--***************************************************
--*** ***
--*** ALTERA ADSPB FLOATING POINT LIBRARY ***
--*** ***
--*** FPC_LIBRARY.VHD ***
--*** ***
--*** Function: Interfaces between ADSBP ***
--*** components and hcc components ***
--*** This solves a number of issues: ***
--*** 1. 0 or 1-based vectors ***
--*** 2. encapsulation of 'target' ***
--*** 3. Allows VHDL library to be ***
--*** isolated from tool ***
--*** 4. Grouping sat/zip with value***
--*** as one signal ***
--*** ***
--*** 25/07/09 SWP ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--***************************************************
--***************************************************
--*** SINGLE PRECISION ***
--***************************************************
--***************************************************
--***************************************************
--*** fp_addsub_sInternal_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_addsub_sInternal_2_sInternal IS
GENERIC (
addsub_resetval : STD_LOGIC
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_addsub_sInternal_2_sInternal;
ARCHITECTURE rtl OF fp_addsub_sInternal_2_sInternal IS
BEGIN
cmp: hcc_alufp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth, -- TODO: add support for 36-bit mantissa too
shiftspeed => m_fpShiftSpeed,
addsub_resetval => addsub_resetval
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
addsub => add_sub(0),
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
bb => datab(41 DOWNTO 0),
bbsat => datab(42),
bbzip => datab(43),
bbnan => dataa(44),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_addsub_sInternalSM_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_addsub_sInternalSM_2_sInternal IS
GENERIC (
addsub_resetval : STD_LOGIC
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_addsub_sInternalSM_2_sInternal;
ARCHITECTURE rtl OF fp_addsub_sInternalSM_2_sInternal IS
BEGIN
cmp: hcc_alufp1_dot
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
shiftspeed => m_fpShiftSpeed,
outputpipe => 1,
addsub_resetval => addsub_resetval
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
addsub => add_sub(0),
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
bb => datab(41 DOWNTO 0),
bbsat => datab(42),
bbzip => datab(43),
bbnan => dataa(44),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_addsub_sInternalSM_2_sInternal_v31 ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_addsub_sInternalSM_2_sInternal_v31 IS
GENERIC (
addsub_resetval : STD_LOGIC
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
dataa : IN STD_LOGIC_VECTOR (45 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (45 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_addsub_sInternalSM_2_sInternal_v31;
ARCHITECTURE rtl OF fp_addsub_sInternalSM_2_sInternal_v31 IS
BEGIN
cmp: hcc_aludot_v2
GENERIC MAP (
addsub_resetval => addsub_resetval
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
addsub => add_sub(0),
aasign => dataa(42),
aaexponent => dataa(41 DOWNTO 32),
aamantissa => dataa(31 DOWNTO 0),
aasat => dataa(43),
aazip => dataa(44),
aanan => dataa(45),
bbsign => datab(42),
bbexponent => datab(41 DOWNTO 32),
bbmantissa => datab(31 DOWNTO 0),
bbsat => datab(43),
bbzip => datab(44),
bbnan => dataa(45),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_mult_sNorm_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_sNorm_2_sInternal IS
GENERIC ( m_family : string );
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_mult_sNorm_2_sInternal ;
ARCHITECTURE rtl OF fp_mult_sNorm_2_sInternal IS
signal res : STD_LOGIC_VECTOR (44 DOWNTO 0);
BEGIN
cmp: hcc_mulfp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
outputscale => m_fpOutputScale,
device => deviceFamilyA5(m_family),
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
bb => datab(41 DOWNTO 0),
bbsat => datab(42),
bbzip => datab(43),
bbnan => datab(44),
cc => res(41 DOWNTO 0),
ccsat => res(42),
cczip => res(43),
ccnan => res(44)
);
result <= res;
END rtl;
--***************************************************
--*** fp_mult_sNorm_2_sNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_sNorm_2_sNorm IS
GENERIC ( m_family : string );
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_mult_sNorm_2_sNorm;
ARCHITECTURE rtl OF fp_mult_sNorm_2_sNorm IS
BEGIN
cmp: hcc_mulfp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
outputscale => m_fpOutputScale,
multoutput => 1,
xoutput => 0,
device => deviceFamilyA5(m_family),
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
bb => datab(41 DOWNTO 0),
bbsat => datab(42),
bbzip => datab(43),
bbnan => datab(44),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_mult_sNorm_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_sNorm_2_sIEEE IS
GENERIC ( m_family : string );
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_mult_sNorm_2_sIEEE;
ARCHITECTURE rtl OF fp_mult_sNorm_2_sIEEE IS
signal ccsat : std_logic;
signal cczip : std_logic;
signal ccnan : std_logic;
BEGIN
cmp: hcc_mulfp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
outputscale => m_fpOutputScale,
device => deviceFamilyA5(m_family),
synthesize => 1,
ieeeoutput => 1,
xoutput => 0
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
bb => datab(41 DOWNTO 0),
bbsat => datab(42),
bbzip => datab(43),
bbnan => datab(44),
cc => result(31 DOWNTO 0),
ccsat => ccsat,
cczip => cczip,
ccnan => ccnan
);
END rtl;
--***************************************************
--*** fp_mult_sIEEE_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_sIEEE_2_sInternal IS
GENERIC ( m_family : string );
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_mult_sIEEE_2_sInternal;
ARCHITECTURE rtl OF fp_mult_sIEEE_2_sInternal IS
BEGIN
cmp: hcc_mulfp1vec
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
device => deviceFamily(m_family),
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa,
bb => datab,
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_mult_sIEEE_2_sInternalSM ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_sIEEE_2_sInternalSM IS
GENERIC (
m_family : string;
m_dotopt : positive
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_mult_sIEEE_2_sInternalSM;
ARCHITECTURE rtl OF fp_mult_sIEEE_2_sInternalSM IS
BEGIN
cmp: hcc_mulfp1_dot
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
device => deviceFamily(m_family),
optimization => m_dotopt,
synthesize => 0
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa,
bb => datab,
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_mult_sIEEE_2_sInternalSM _v31 ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_sIEEE_2_sInternalSM_v31 IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (45 DOWNTO 0)
);
END fp_mult_sIEEE_2_sInternalSM_v31;
ARCHITECTURE rtl OF fp_mult_sIEEE_2_sInternalSM_v31 IS
BEGIN
cmp: hcc_muldot_v1
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa,
bb => datab,
ccsign => result(42),
ccexponent => result(41 DOWNTO 32),
ccmantissa => result(31 DOWNTO 0),
ccsat => result(43),
cczip => result(44),
ccnan => result(45)
);
END rtl;
--***************************************************
--*** fp_div_sNorm_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_div_sNorm_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_div_sNorm_2_sIEEE;
ARCHITECTURE rtl OF fp_div_sNorm_2_sIEEE IS
signal ccsat : std_logic;
signal cczip : std_logic;
signal ccnan : std_logic;
BEGIN
cmp: hcc_divfp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
roundconvert => m_fpRoundConvert,
synthesize => 1,
ieeeoutput => 1,
xoutput => 0
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
bb => datab(41 DOWNTO 0),
bbsat => datab(42),
bbzip => datab(43),
bbnan => datab(44),
cc => result(31 DOWNTO 0),
ccsat => ccsat,
cczip => cczip,
ccnan => ccnan
);
END rtl;
--***************************************************
--*** fp_div_sNorm_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_div_sNorm_2_sInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_div_sNorm_2_sInternal;
ARCHITECTURE rtl OF fp_div_sNorm_2_sInternal IS
BEGIN
cmp: hcc_divfp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
roundconvert => m_fpRoundConvert,
synthesize => 1,
ieeeoutput => 0,
xoutput => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
bb => datab(41 DOWNTO 0),
bbsat => datab(42),
bbzip => datab(43),
bbnan => datab(44),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_mult_dNorm_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_dNorm_2_dIEEE IS
GENERIC (
m_family : string
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_mult_dNorm_2_dIEEE;
ARCHITECTURE rtl OF fp_mult_dNorm_2_dIEEE IS
signal ccsat : std_logic;
signal cczip : std_logic;
signal ccnan : std_logic;
BEGIN
cmp: hcc_mulfp2x
GENERIC MAP (
synthesize => 1,
ieeeoutput => 1,
xoutput => 0,
device => deviceFamily(m_family)
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(66 DOWNTO 0),
aasat => dataa(67),
aazip => dataa(68),
aanan => dataa(69),
bb => datab(66 DOWNTO 0),
bbsat => datab(67),
bbzip => datab(68),
bbnan => datab(69),
cc => result(63 DOWNTO 0),
ccsat => ccsat,
cczip => cczip,
ccnan => ccnan
);
END rtl;
--***************************************************
--*** fp_div_dNorm_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_div_dNorm_2_dIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_div_dNorm_2_dIEEE;
ARCHITECTURE rtl OF fp_div_dNorm_2_dIEEE IS
signal ccsat : std_logic;
signal cczip : std_logic;
signal ccnan : std_logic;
BEGIN
cmp: hcc_divfp2x
GENERIC MAP (
synthesize => 1,
ieeeoutput => 1,
xoutput => 0,
divoutput => 0
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(66 DOWNTO 0),
aasat => dataa(67),
aazip => dataa(68),
aanan => dataa(69),
bb => datab(66 DOWNTO 0),
bbsat => datab(67),
bbzip => datab(68),
bbnan => datab(69),
cc => result(63 DOWNTO 0),
ccsat => ccsat,
cczip => cczip,
ccnan => ccnan
);
END rtl;
--***************************************************
--*** fp_div_dNorm_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_div_dNorm_2_dInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END fp_div_dNorm_2_dInternal;
ARCHITECTURE rtl OF fp_div_dNorm_2_dInternal IS
signal ccsat : std_logic;
signal cczip : std_logic;
signal ccnan : std_logic;
BEGIN
cmp: hcc_divfp2x
GENERIC MAP (
synthesize => 1,
ieeeoutput => 0,
xoutput => 1,
divoutput => 0
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(66 DOWNTO 0),
aasat => dataa(67),
aazip => dataa(68),
aanan => dataa(69),
bb => datab(66 DOWNTO 0),
bbsat => datab(67),
bbzip => datab(68),
bbnan => datab(69),
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccnan => result(79)
);
END rtl;
--***************************************************
--*** fp_exp_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_exp_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_exp_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_exp_sIEEE_2_sIEEE IS
signal nanOut : std_logic;
signal oneOut : std_logic;
signal overflowOut : std_logic;
signal underflowOut : std_logic;
signal resPreExcHandling: std_logic_vector(31 downto 0);
signal excBits : std_logic_vector(3 downto 0);
BEGIN
cmp: fp_exp
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => resPreExcHandling(31),
exponentout => resPreExcHandling(30 downto 23),
mantissaout => resPreExcHandling(22 downto 0),
nanOut => nanOut,
overflowOut => overflowOut,
underflowOut => underflowOut,
oneOut => oneOut
);
excBits <= nanOut & overflowOut & underflowOut & oneOut;
with excBits select
result <= "01111111100000000000000000000001" when "1000"|"1001"|"1100",
"01111111100000000000000000000000" when "0100",
"00000000000000000000000000000000" when "0010",
"00111111100000000000000000000000" when "0001"|"0011"|"0101",
resPreExcHandling when others;
END rtl;
--***************************************************
--*** fp_log_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_log_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_log_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_log_sIEEE_2_sIEEE IS
signal nanOut : std_logic;
signal overflowOut : std_logic;
signal zeroOut : std_logic;
BEGIN
cmp: fp_log
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0),
nanOut => nanOut,
overflowOut => overflowOut,
zeroOut => zeroOut
);
END rtl;
--***************************************************
--*** fp_recip_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_recip_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_recip_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_recip_sIEEE_2_sIEEE IS
signal nanOut : std_logic;
signal invalidOut : std_logic;
signal divideByZeroOut : std_logic;
BEGIN
cmp: fp_inv
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0),
nanOut => nanOut,
invalidOut => invalidOut,
divideByZeroOut => divideByZeroOut
);
END rtl;
--***************************************************
--*** fp_recipSqRt_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_recipSqRt_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_recipSqRt_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_recipSqRt_sIEEE_2_sIEEE IS
signal nanOut : std_logic;
signal invalidOut : std_logic;
BEGIN
cmp: fp_invsqr
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0),
nanOut => nanOut,
invalidOut => invalidOut
);
END rtl;
--***************************************************
--*** fp_sin_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_sin_sIEEE_2_sIEEE IS
GENERIC (m_family : string);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_sin_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_sin_sIEEE_2_sIEEE IS
BEGIN
cmp: fp_sin
GENERIC MAP(device => deviceFamily(m_Family))
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0)
);
END rtl;
--***************************************************
--*** fp_cos_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_cos_sIEEE_2_sIEEE IS
GENERIC (m_family : string);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_cos_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_cos_sIEEE_2_sIEEE IS
BEGIN
cmp: fp_cos
GENERIC MAP(device => deviceFamily(m_Family))
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0)
);
END rtl;
--***************************************************
--*** fp_tan_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_tan_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_tan_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_tan_sIEEE_2_sIEEE IS
BEGIN
cmp: fp_tan
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0)
);
END rtl;
--***************************************************
--*** fp_asin_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_asin_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_asin_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_asin_sIEEE_2_sIEEE IS
BEGIN
cmp: fp_asin
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0)
);
END rtl;
--***************************************************
--*** fp_acos_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_acos_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_acos_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_acos_sIEEE_2_sIEEE IS
BEGIN
cmp: fp_acos
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0)
);
END rtl;
--***************************************************
--*** fp_atan_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_atan_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_atan_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_atan_sIEEE_2_sIEEE IS
BEGIN
cmp: fp_atan
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0)
);
END rtl;
--***************************************************
--*** fp_ldexp_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_ldexp_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_ldexp_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_ldexp_sIEEE_2_sIEEE IS
SIGNAL sat : STD_LOGIC;
SIGNAL zip : STD_LOGIC;
SIGNAL nan : STD_LOGIC;
BEGIN
cmp: fp_ldexp
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
bb => datab,
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0),
satout => sat,
zeroout => zip,
nanout => nan
);
END rtl;
--***************************************************
--*** fp_ldexp_dIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_ldexp_dIEEE_2_dIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_ldexp_dIEEE_2_dIEEE;
ARCHITECTURE rtl OF fp_ldexp_dIEEE_2_dIEEE IS
SIGNAL sat : STD_LOGIC;
SIGNAL zip : STD_LOGIC;
SIGNAL nan : STD_LOGIC;
BEGIN
cmp: dp_ldexp
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(63),
exponentin => dataa(62 downto 52),
mantissain => dataa(51 downto 0),
bb => datab,
signout => result(63),
exponentout => result(62 downto 52),
mantissaout => result(51 downto 0),
satout => sat,
zeroout => zip,
nanout => nan
);
END rtl;
--***************************************************
--*** cast_sIEEE_2_sNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sIEEE_2_sNorm IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_sIEEE_2_sNorm;
ARCHITECTURE rtl OF cast_sIEEE_2_sNorm IS
signal res : std_logic_vector (44 downto 0);
signal as : std_logic;
signal ae : std_logic_vector (7 downto 0);
signal am : std_logic_vector (23 downto 0);
signal re : std_logic_vector (9 downto 0);
signal rm : std_logic_vector (31 downto 0);
signal exp : INTEGER;
BEGIN
cmp: hcc_castftox
GENERIC MAP (
target => 1,
roundconvert => m_fpRoundConvert,
mantissa => m_SingleMantissaWidth,
outputpipe => m_fpOutputPipe
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(31 DOWNTO 0),
cc => res(41 DOWNTO 0),
ccsat => res(42),
cczip => res(43),
ccnan => res(44)
);
result <= res;
as <= dataa(31);
ae <= dataa(30 downto 23);
am <= '1' & dataa(22 downto 0);
re <= res(9 downto 0);
rm <= res(41 downto 10);
END rtl;
--***************************************************
--*** cast_sIEEE_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sIEEE_2_sInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_sIEEE_2_sInternal;
ARCHITECTURE rtl OF cast_sIEEE_2_sInternal IS
BEGIN
cmp: hcc_castftox
GENERIC MAP (
target => 0,
roundconvert => m_fpRoundConvert,
mantissa => m_SingleMantissaWidth,
outputpipe => m_fpOutputPipe
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(31 DOWNTO 0),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** cast_sIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sIEEE_2_dIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END cast_sIEEE_2_dIEEE;
ARCHITECTURE rtl OF cast_sIEEE_2_dIEEE IS
component hcc_castftod IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
BEGIN
cmp: hcc_castftod
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(31 DOWNTO 0),
cc => result(63 DOWNTO 0));
END rtl;
--***************************************************
--*** cast_dInternal_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dInternal_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END cast_dInternal_2_sIEEE;
ARCHITECTURE rtl OF cast_dInternal_2_sIEEE IS
BEGIN
cmp: hcc_castytof
GENERIC MAP (
roundconvert => m_fpRoundConvert
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(76 DOWNTO 0),
aasat => dataa(77),
aazip => dataa(78),
aanan => dataa(79),
cc => result
);
END rtl;
--***************************************************
--*** cast_dIEEE_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dIEEE_2_sInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_dIEEE_2_sInternal;
ARCHITECTURE rtl OF cast_dIEEE_2_sInternal IS
signal mid : std_logic_vector (79 downto 0);
BEGIN
cmp1: hcc_castdtoy
GENERIC MAP (
target => 1,
roundconvert => m_fpRoundConvert,
outputpipe => m_fpOutputPipe,
doublespeed => m_fpDoubleSpeed,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(63 DOWNTO 0),
cc => mid(76 DOWNTO 0),
ccsat => mid(77),
cczip => mid(78),
ccNAN => mid(79)
);
cmp2: hcc_castytox
GENERIC MAP (
roundconvert=>m_fpRoundConvert,
mantissa=>m_SingleMantissaWidth)
PORT MAP (
sysclk=>clock,
reset=>reset,
enable=>clk_en,
aa=>mid(76 DOWNTO 0),
aasat=>mid(77),
aazip=>mid(78),
aanan=>mid(79),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
-- cmp: hcc_castdtox
-- GENERIC MAP (
-- target => 0,
-- roundconvert => m_fpRoundConvert,
-- mantissa => m_SingleMantissaWidth,
-- doublespeed => m_fpDoubleSpeed
-- )
-- PORT MAP (
-- sysclk => clock,
-- reset => reset,
-- enable => clk_en,
--
-- aa => dataa(63 DOWNTO 0),
-- cc => result(41 DOWNTO 0),
-- ccsat => result(42),
-- cczip => result(43),
-- ccnan => result(44)
-- );
END rtl;
--***************************************************
--*** cast_sIEEE_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sIEEE_2_dInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END cast_sIEEE_2_dInternal;
ARCHITECTURE rtl OF cast_sIEEE_2_dInternal IS
BEGIN
cmp: hcc_castftoy
GENERIC MAP (
target => 1,
roundconvert => m_fpRoundConvert,
mantissa => m_SingleMantissaWidth,
outputpipe => m_fpOutputPipe
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(31 DOWNTO 0),
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccnan => result(79)
);
END rtl;
--***************************************************
--*** cast_sInternal_2_sNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sInternal_2_sNorm IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_sInternal_2_sNorm;
ARCHITECTURE rtl OF cast_sInternal_2_sNorm IS
BEGIN
cmp: hcc_normfp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
inputnormalize => 1,
roundnormalize => 0,
normspeed => 2, --min(2, m_fpNormalisationSpeed), if 3 is used then zip pipeline is broken
target => 0
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** cast_sInternal_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sInternal_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END cast_sInternal_2_sIEEE;
ARCHITECTURE rtl OF cast_sInternal_2_sIEEE IS
BEGIN
cmp: hcc_castxtof
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
normspeed => 2 -- m_fpNormalisationSpeed
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
cc => result(31 DOWNTO 0)
);
END rtl;
--***************************************************
--*** cast_sNorm_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sNorm_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END cast_sNorm_2_sIEEE;
ARCHITECTURE rtl OF cast_sNorm_2_sIEEE IS
signal x : STD_LOGIC_VECTOR(41 DOWNTO 0);
BEGIN
-- truncation; no rounding
x <= dataa(41) & dataa(41) & dataa(41) & dataa(41) & dataa(41 DOWNTO 14) & dataa(9 downto 0);
cmp: hcc_castxtof
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
normspeed => 2 --maximum 2 m_fpNormalisationSpeed
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
-- truncation; no rounding
aa => x,
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
cc => result(31 DOWNTO 0)
);
END rtl;
--***************************************************
--*** cast_sInternal_2_fixed ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sInternal_2_fixed IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0)
);
END cast_sInternal_2_fixed;
ARCHITECTURE rtl OF cast_sInternal_2_fixed IS
signal mid : STD_LOGIC_VECTOR (31 DOWNTO 0);
BEGIN
cmp: hcc_castxtof
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
normspeed => 2 -- m_fpNormalisationSpeed
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
cc => mid(31 DOWNTO 0)
);
cmp1: dp_floatfix
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 0, -- single
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
sign => mid(31),
exponent => mid(30 downto 23),
mantissa => mid(22 downto 0),
fixed_number => result
);
END rtl;
--***************************************************
--*** cast_sNorm_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sNorm_2_sInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_sNorm_2_sInternal;
ARCHITECTURE rtl OF cast_sNorm_2_sInternal IS
BEGIN
-- truncation; no rounding
result <= dataa(44 DOWNTO 42) & dataa(41) & dataa(41) & dataa(41) & dataa(41) & dataa(41 DOWNTO 14) & dataa(9 downto 0);
END rtl;
--***************************************************
--*** cast_sInternal_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sInternal_2_dInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END cast_sInternal_2_dInternal;
ARCHITECTURE rtl OF cast_sInternal_2_dInternal IS
BEGIN
cmp: hcc_castxtoy
GENERIC MAP (
mantissa => m_SingleMantissaWidth
)
PORT MAP (
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccnan => result(79)
);
END rtl;
--***************************************************
--*** cast_sNorm_2_fixed ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sNorm_2_fixed IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0)
);
END cast_sNorm_2_fixed;
ARCHITECTURE rtl OF cast_sNorm_2_fixed IS
signal x : STD_LOGIC_VECTOR (41 DOWNTO 0);
signal mid : STD_LOGIC_VECTOR (31 DOWNTO 0);
BEGIN
-- truncation; no rounding
x <= dataa(41) & dataa(41) & dataa(41) & dataa(41) & dataa(41 DOWNTO 14) & dataa(9 downto 0);
cmp: hcc_castxtof
GENERIC MAP (
mantissa => m_SingleMantissaWidth,
normspeed => 2 -- m_fpNormalisationSpeed
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => x,
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
cc => mid(31 DOWNTO 0)
);
cmp1: dp_floatfix
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 0, -- single
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
sign => mid(31),
exponent => mid(30 downto 23),
mantissa => mid(22 downto 0),
fixed_number => result
);
END rtl;
--***************************************************
--***************************************************
--*** DOUBLE PRECISION ***
--***************************************************
--***************************************************
--***************************************************
--*** fp_addsub_dInternal_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_addsub_dInternal_2_dInternal IS
GENERIC (
addsub_resetval : STD_LOGIC
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END fp_addsub_dInternal_2_dInternal;
ARCHITECTURE rtl OF fp_addsub_dInternal_2_dInternal IS
BEGIN
cmp: hcc_alufp2x
GENERIC MAP (
shiftspeed => m_fpShiftSpeed,
doublespeed => m_fpDoubleSpeed,
synthesize => 1,
addsub_resetval => addsub_resetval
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
addsub => add_sub(0),
aa => dataa(76 DOWNTO 0),
aasat => dataa(77),
aazip => dataa(78),
aanan => dataa(79),
bb => datab(76 DOWNTO 0),
bbsat => datab(77),
bbzip => datab(78),
bbnan => datab(79),
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccnan => result(79));
END rtl;
--***************************************************
--*** fp_mult_dNorm_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_mult_dNorm_2_dInternal IS
GENERIC ( m_family : string );
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END fp_mult_dNorm_2_dInternal;
ARCHITECTURE rtl OF fp_mult_dNorm_2_dInternal IS
BEGIN
cmp: hcc_mulfp2x
GENERIC MAP (
ieeeoutput => 0,
xoutput => 1,
multoutput => 0,
device => deviceFamily(m_family),
roundconvert => m_fpRoundConvert,
roundnormalize => 0,
doublespeed => m_fpDoubleSpeed,
outputpipe => m_fpOutputPipe,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(66 DOWNTO 0),
aasat => dataa(67),
aazip => dataa(68),
aanan => dataa(69),
bb => datab(66 DOWNTO 0),
bbsat => datab(67),
bbzip => datab(68),
bbnan => datab(69),
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccnan => result(79)
);
END rtl;
--***************************************************
--*** fp_exp_dIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_exp_dIEEE_2_dIEEE IS
GENERIC (
m_family : string
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_exp_dIEEE_2_dIEEE;
ARCHITECTURE rtl OF fp_exp_dIEEE_2_dIEEE IS
signal nanOut : std_logic;
signal overflowOut : std_logic;
signal underflowOut : std_logic;
BEGIN
cmp: dp_exp
GENERIC MAP (
roundconvert => m_fpRoundConvert,
doubleaccuracy => 0, -- 0 = pruned multiplier, 1 = normal multiplier
doublespeed => m_fpDoubleSpeed,
device => deviceFamilyS3(m_family) -- (0 = Stratix II, 1 = Stratix III/IV)
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(63),
exponentin => dataa(62 downto 52),
mantissain => dataa(51 downto 0),
signout => result(63),
exponentout => result(62 downto 52),
mantissaout => result(51 downto 0),
nanOut => nanOut,
overflowOut => overflowOut,
underflowOut => underflowOut
);
END rtl;
--***************************************************
--*** fp_log_dIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_log_dIEEE_2_dIEEE IS
GENERIC (
m_family : string
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_log_dIEEE_2_dIEEE;
ARCHITECTURE rtl OF fp_log_dIEEE_2_dIEEE IS
signal nanOut : std_logic;
signal overflowOut : std_logic;
signal zeroOut : std_logic;
BEGIN
cmp: dp_log
GENERIC MAP (
roundconvert => m_fpRoundConvert,
doublespeed => m_fpDoubleSpeed,
device => deviceFamilyS3(m_family) -- (0 = Stratix II, 1 = Stratix III/IV)
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(63),
exponentin => dataa(62 downto 52),
mantissain => dataa(51 downto 0),
signout => result(63),
exponentout => result(62 downto 52),
mantissaout => result(51 downto 0),
nanOut => nanOut,
overflowOut => overflowOut,
zeroOut => zeroOut
);
END rtl;
--***************************************************
--*** fp_recip_dIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_recip_dIEEE_2_dIEEE IS
GENERIC (
m_family : string
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_recip_dIEEE_2_dIEEE;
ARCHITECTURE rtl OF fp_recip_dIEEE_2_dIEEE IS
signal nanOut : std_logic;
signal invalidOut : std_logic;
signal divideByZeroOut : std_logic;
BEGIN
cmp: dp_inv
GENERIC MAP (
roundconvert => m_fpRoundConvert,
doubleaccuracy => 0, -- 0 = pruned multiplier, 1 = normal multiplier
doublespeed => m_fpDoubleSpeed,
device => deviceFamilyS3(m_family) -- (0 = Stratix II, 1 = Stratix III/IV)
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(63),
exponentin => dataa(62 downto 52),
mantissain => dataa(51 downto 0),
signout => result(63),
exponentout => result(62 downto 52),
mantissaout => result(51 downto 0),
nanOut => nanOut,
invalidOut => invalidOut,
divideByZeroOut => divideByZeroOut
);
END rtl;
--***************************************************
--*** fp_recipSqRt_dIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_recipSqRt_dIEEE_2_dIEEE IS
GENERIC (
m_family : string
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_recipSqRt_dIEEE_2_dIEEE;
ARCHITECTURE rtl OF fp_recipSqRt_dIEEE_2_dIEEE IS
signal nanOut : std_logic;
signal invalidOut : std_logic;
BEGIN
cmp: dp_invsqr
GENERIC MAP (
doubleaccuracy => 0, -- 0 = pruned multiplier, 1 = normal multiplier
doublespeed => m_fpDoubleSpeed,
device => deviceFamilyS3(m_family) -- (0 = Stratix II, 1 = Stratix III/IV)
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(63),
exponentin => dataa(62 downto 52),
mantissain => dataa(51 downto 0),
signout => result(63),
exponentout => result(62 downto 52),
mantissaout => result(51 downto 0),
nanOut => nanOut,
invalidOut => invalidOut
);
END rtl;
--***************************************************
--*** cast_dIEEE_2_dNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dIEEE_2_dNorm IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (69 DOWNTO 0)
);
END cast_dIEEE_2_dNorm;
ARCHITECTURE rtl OF cast_dIEEE_2_dNorm IS
BEGIN
cmp: hcc_castdtoy
GENERIC MAP (
target => 0,
roundconvert => m_fpRoundConvert,
outputpipe => m_fpOutputPipe,
doublespeed => m_fpDoubleSpeed,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(63 DOWNTO 0),
cc => result(66 DOWNTO 0),
ccsat => result(67),
cczip => result(68)
);
result(69) <= '0'; -- no nan
END rtl;
--***************************************************
--*** cast_dIEEE_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dIEEE_2_dInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END cast_dIEEE_2_dInternal;
ARCHITECTURE rtl OF cast_dIEEE_2_dInternal IS
BEGIN
cmp: hcc_castdtoy
GENERIC MAP (
target => 1,
roundconvert => m_fpRoundConvert,
outputpipe => m_fpOutputPipe,
doublespeed => m_fpDoubleSpeed,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(63 DOWNTO 0),
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccNAN => result(79)
);
END rtl;
--***************************************************
--*** cast_dInternal_2_dNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dInternal_2_dNorm IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (69 DOWNTO 0)
);
END cast_dInternal_2_dNorm;
ARCHITECTURE rtl OF cast_dInternal_2_dNorm IS
BEGIN
cmp: hcc_normfp2x
GENERIC MAP (
roundconvert => m_fpRoundConvert,
roundnormalize => 0,
normspeed => m_fpNormalisationSpeed,
doublespeed => m_fpDoubleSpeed,
target => 0,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(76 DOWNTO 0),
aasat => dataa(77),
aazip => dataa(78),
aanan => dataa(79),
cc => result(66 DOWNTO 0),
ccsat => result(67),
cczip => result(68),
ccnan => result(69)
);
END rtl;
--***************************************************
--*** cast_dInternal_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dInternal_2_dIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END cast_dInternal_2_dIEEE;
ARCHITECTURE rtl OF cast_dInternal_2_dIEEE IS
BEGIN
cmp: hcc_castytod
GENERIC MAP (
roundconvert => m_fpRoundConvert,
normspeed => m_fpNormalisationSpeed,
doublespeed => m_fpDoubleSpeed,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(76 DOWNTO 0),
aasat => dataa(77),
aazip => dataa(78),
aanan => dataa(79),
cc => result(63 DOWNTO 0)
);
END rtl;
--***************************************************
--*** cast_fixed_2_sNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_fixed_2_sNorm IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_fixed_2_sNorm;
ARCHITECTURE rtl OF cast_fixed_2_sNorm IS
signal ccsign : STD_LOGIC;
signal ccexponent : STD_LOGIC_VECTOR (7 DOWNTO 0);
signal ccmantissa : STD_LOGIC_VECTOR (22 DOWNTO 0);
signal res : STD_LOGIC_VECTOR (44 DOWNTO 0);
signal ccIEEE : STD_LOGIC_VECTOR (31 DOWNTO 0);
BEGIN
-- Firstly, convert integer to SIEEE
cmp1: dp_fixfloat
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 0, -- single
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
fixed_number => dataa,
sign => ccsign,
exponent => ccexponent,
mantissa => ccmantissa
);
ccIEEE <= ccsign & ccexponent & ccmantissa;
-- then convert that to sNorm
cmp2: hcc_castftox
GENERIC MAP (
target => 1,
roundconvert => m_fpRoundConvert,
mantissa => m_SingleMantissaWidth,
outputpipe => m_fpOutputPipe
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => ccIEEE,
cc => res(41 DOWNTO 0),
ccsat => res(42),
cczip => res(43),
ccnan => res(44)
);
result <= res;
END rtl;
--***************************************************
--*** cast_fixed_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_fixed_2_sInternal IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_fixed_2_sInternal;
ARCHITECTURE rtl OF cast_fixed_2_sInternal IS
signal ccsign : STD_LOGIC;
signal ccexponent : STD_LOGIC_VECTOR (7 DOWNTO 0);
signal ccmantissa : STD_LOGIC_VECTOR (22 DOWNTO 0);
signal res : STD_LOGIC_VECTOR (44 DOWNTO 0);
signal ccIEEE : STD_LOGIC_VECTOR (31 DOWNTO 0);
BEGIN
-- Firstly, convert integer to SIEEE
cmp1: dp_fixfloat
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 0, -- single
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
fixed_number => dataa,
sign => ccsign,
exponent => ccexponent,
mantissa => ccmantissa
);
ccIEEE <= ccsign & ccexponent & ccmantissa;
-- then convert that to sInternal
cmp2: hcc_castftox
GENERIC MAP (
target => 0,
roundconvert => m_fpRoundConvert,
mantissa => m_SingleMantissaWidth,
outputpipe => m_fpOutputPipe
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => ccIEEE,
cc => res(41 DOWNTO 0),
ccsat => res(42),
cczip => res(43),
ccnan => res(44)
);
result <= res;
END rtl;
--***************************************************
--*** cast_fixed_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_fixed_2_sIEEE IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END cast_fixed_2_sIEEE;
ARCHITECTURE rtl OF cast_fixed_2_sIEEE IS
signal ccsign : STD_LOGIC;
signal ccexponent : STD_LOGIC_VECTOR (7 DOWNTO 0);
signal ccmantissa : STD_LOGIC_VECTOR (22 DOWNTO 0);
BEGIN
cmp1: dp_fixfloat
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 0, -- single
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
fixed_number => dataa,
sign => ccsign,
exponent => ccexponent,
mantissa => ccmantissa
);
result <= ccsign & ccexponent & ccmantissa;
END rtl;
--***************************************************
--*** cast_fixed_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_fixed_2_dIEEE IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END cast_fixed_2_dIEEE;
ARCHITECTURE rtl OF cast_fixed_2_dIEEE IS
signal ccsign : STD_LOGIC;
signal ccexponent : STD_LOGIC_VECTOR (10 DOWNTO 0);
signal ccmantissa : STD_LOGIC_VECTOR (51 DOWNTO 0);
BEGIN
cmp1: dp_fixfloat
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 1, -- double
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
fixed_number => dataa,
sign => ccsign,
exponent => ccexponent,
mantissa => ccmantissa
);
result <= ccsign & ccexponent & ccmantissa;
END rtl;
--***************************************************
--*** cast_sIEEE_2_fixed ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_sIEEE_2_fixed IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0)
);
END cast_sIEEE_2_fixed;
ARCHITECTURE rtl OF cast_sIEEE_2_fixed IS
BEGIN
cmp1: dp_floatfix
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 0, -- single
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
sign => dataa(31),
exponent => dataa(30 downto 23),
mantissa => dataa(22 downto 0),
fixed_number => result
);
END rtl;
--***************************************************
--*** cast_dIEEE_2_fixed ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dIEEE_2_fixed IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0)
);
END cast_dIEEE_2_fixed;
ARCHITECTURE rtl OF cast_dIEEE_2_fixed IS
BEGIN
cmp1: dp_floatfix
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 1, -- double
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
sign => dataa(63),
exponent => dataa(62 downto 52),
mantissa => dataa(51 downto 0),
fixed_number => result
);
END rtl;
--***************************************************
--*** cast_dInternal_2_fixed ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dInternal_2_fixed IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0)
);
END cast_dInternal_2_fixed;
ARCHITECTURE rtl OF cast_dInternal_2_fixed IS
signal mid : STD_LOGIC_VECTOR (63 DOWNTO 0);
BEGIN
cmp: hcc_castytod
GENERIC MAP (
roundconvert => m_fpRoundConvert,
normspeed => m_fpNormalisationSpeed,
doublespeed => m_fpDoubleSpeed,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(76 DOWNTO 0),
aasat => dataa(77),
aazip => dataa(78),
aanan => dataa(79),
cc => mid(63 DOWNTO 0)
);
cmp1: dp_floatfix
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 1, -- double
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
sign => mid(63),
exponent => mid(62 downto 52),
mantissa => mid(51 downto 0),
fixed_number => result
);
END rtl;
--***************************************************
--*** cast_fixed_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_fixed_2_dInternal IS
GENERIC (
unsigned : integer;
iWidth : integer;
fWidth : integer
);
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END cast_fixed_2_dInternal;
ARCHITECTURE rtl OF cast_fixed_2_dInternal IS
signal ccsign : STD_LOGIC;
signal ccexponent : STD_LOGIC_VECTOR (10 DOWNTO 0);
signal ccmantissa : STD_LOGIC_VECTOR (51 DOWNTO 0);
signal res : STD_LOGIC_VECTOR (79 DOWNTO 0);
signal ccIEEE : STD_LOGIC_VECTOR (63 DOWNTO 0);
BEGIN
-- Firstly, convert integer to dIEEE
cmp1: dp_fixfloat
GENERIC MAP (
unsigned => unsigned,
decimal => iWidth,
fractional => fWidth,
precision => 1, -- double
speed => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
fixed_number => dataa,
sign => ccsign,
exponent => ccexponent,
mantissa => ccmantissa
);
ccIEEE <= ccsign & ccexponent & ccmantissa;
-- then convert that to dInternal
cmp: hcc_castdtoy
GENERIC MAP (
target => 1,
roundconvert => m_fpRoundConvert,
outputpipe => m_fpOutputPipe,
doublespeed => m_fpDoubleSpeed,
synthesize => 1
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => ccIEEE,
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccNAN => result(79)
);
END rtl;
--***************************************************
--*** cast_dInternal_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY cast_dInternal_2_sInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END cast_dInternal_2_sInternal;
ARCHITECTURE rtl OF cast_dInternal_2_sInternal IS
BEGIN
cmp: hcc_castytox
GENERIC MAP (
mantissa => m_SingleMantissaWidth
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(76 DOWNTO 0),
aasat => dataa(77),
aazip => dataa(78),
aanan => dataa(79),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_abs_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_abs_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_abs_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_abs_sIEEE_2_sIEEE IS
signal nanOut : STD_LOGIC;
signal satOut : STD_LOGIC;
signal zeroOut : STD_LOGIC;
BEGIN
cmp: fp_fabs
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(31),
exponentin => dataa(30 downto 23),
mantissain => dataa(22 downto 0),
signout => result(31),
exponentout => result(30 downto 23),
mantissaout => result(22 downto 0),
nanOut => nanOut,
satOut => satOut,
zeroOut => zeroOut
);
END rtl;
--***************************************************
--*** fp_abs_dIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
USE STD.TEXTIO.ALL;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_abs_dIEEE_2_dIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_abs_dIEEE_2_dIEEE;
ARCHITECTURE rtl OF fp_abs_dIEEE_2_dIEEE IS
signal nanOut : STD_LOGIC;
signal satOut : STD_LOGIC;
signal zeroOut : STD_LOGIC;
BEGIN
cmp: dp_fabs
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
signin => dataa(63),
exponentin => dataa(62 downto 52),
mantissain => dataa(51 downto 0),
signout => result(63),
exponentout => result(62 downto 52),
mantissaout => result(51 downto 0),
nanOut => nanOut,
satOut => satOut,
zeroOut => zeroOut
);
END rtl;
--***************************************************
--*** fp_norm_sInternal_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_norm_sInternal_2_sInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_norm_sInternal_2_sInternal;
ARCHITECTURE rtl OF fp_norm_sInternal_2_sInternal IS
BEGIN
cmp: hcc_normfp1x
GENERIC MAP (
mantissa => m_SingleMantissaWidth, -- TODO: add support for 36-bit mantissa too
target => 2 -- adder
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(41 DOWNTO 0),
aasat => dataa(42),
aazip => dataa(43),
aanan => dataa(44),
cc => result(41 DOWNTO 0),
ccsat => result(42),
cczip => result(43),
ccnan => result(44)
);
END rtl;
--***************************************************
--*** fp_norm_dInternal_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
USE ieee.math_real.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_norm_dInternal_2_dInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END fp_norm_dInternal_2_dInternal;
ARCHITECTURE rtl OF fp_norm_dInternal_2_dInternal IS
BEGIN
cmp: hcc_normfp2x
GENERIC MAP (
doublespeed => m_fpDoubleSpeed,
target => 1 -- internal
)
PORT MAP (
sysclk => clock,
reset => reset,
enable => clk_en,
aa => dataa(76 DOWNTO 0),
aasat => dataa(77),
aazip => dataa(78),
aanan => dataa(79),
cc => result(76 DOWNTO 0),
ccsat => result(77),
cczip => result(78),
ccnan => result(79)
);
END rtl;
--***************************************************
--*** fp_negate_sIEEE_2_sIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_negate_sIEEE_2_sIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END fp_negate_sIEEE_2_sIEEE;
ARCHITECTURE rtl OF fp_negate_sIEEE_2_sIEEE IS
BEGIN
result <= (not dataa(31)) & dataa(30 downto 0); -- flip sign
END rtl;
--***************************************************
--*** fp_negate_sNorm_2_sNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
--USE ieee.std_logic_arith.all;
use IEEE.NUMERIC_STD.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_negate_sNorm_2_sNorm IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_negate_sNorm_2_sNorm;
ARCHITECTURE rtl OF fp_negate_sNorm_2_sNorm IS
signal oMant : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal oExp : STD_LOGIC_VECTOR ( 9 DOWNTO 0);
signal oFlags: STD_LOGIC_VECTOR ( 2 DOWNTO 0);
BEGIN
oMant <= not(dataa(41 DOWNTO 10));-- 1's complement
oExp <= dataa(9 DOWNTO 0);
oFlags <= dataa(44 downto 42);
result <= oFlags & oMant & oExp;
END rtl;
--***************************************************
--*** fp_negate_sInternal_2_sInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
--USE ieee.std_logic_arith.all;
use IEEE.NUMERIC_STD.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_negate_sInternal_2_sInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0)
);
END fp_negate_sInternal_2_sInternal;
ARCHITECTURE rtl OF fp_negate_sInternal_2_sInternal IS
signal oMant : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal oExp : STD_LOGIC_VECTOR ( 9 DOWNTO 0);
signal oFlags: STD_LOGIC_VECTOR ( 2 DOWNTO 0);
BEGIN
oMant <= not(dataa(41 DOWNTO 10));-- 1's complement
oExp <= dataa(9 DOWNTO 0);
oFlags <= dataa(44 downto 42);
result <= oFlags & oMant & oExp;
END rtl;
--***************************************************
--*** fp_negate_dIEEE_2_dIEEE ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_negate_dIEEE_2_dIEEE IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0)
);
END fp_negate_dIEEE_2_dIEEE;
ARCHITECTURE rtl OF fp_negate_dIEEE_2_dIEEE IS
BEGIN
result <= (not dataa(63)) & dataa(62 downto 0); -- flip sign
END rtl;
--***************************************************
--*** fp_negate_dNorm_2_dNorm ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
--USE ieee.std_logic_arith.all;
use IEEE.NUMERIC_STD.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_negate_dNorm_2_dNorm IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END fp_negate_dNorm_2_dNorm;
ARCHITECTURE rtl OF fp_negate_dNorm_2_dNorm IS
signal oMant : STD_LOGIC_VECTOR (63 DOWNTO 0);
signal oExp : STD_LOGIC_VECTOR (12 DOWNTO 0);
signal oFlags: STD_LOGIC_VECTOR ( 2 DOWNTO 0);
BEGIN
oMant <= not(dataa(76 DOWNTO 13));-- 1's complement
oExp <= dataa(12 DOWNTO 0);
oFlags <= dataa(79 downto 77);
result <= oFlags & oMant & oExp;
END rtl;
--***************************************************
--*** fp_negate_dInternal_2_dInternal ***
--***************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
--USE ieee.std_logic_arith.all;
use IEEE.NUMERIC_STD.all;
LIBRARY lpm;
USE lpm.all;
USE work.hcc_package_cmd.all;
USE work.math_package_cmd.all;
USE work.fpc_library_package_cmd.all;
ENTITY fp_negate_dInternal_2_dInternal IS
PORT (
clock : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
);
END fp_negate_dInternal_2_dInternal;
ARCHITECTURE rtl OF fp_negate_dInternal_2_dInternal IS
signal oMant : STD_LOGIC_VECTOR (63 DOWNTO 0);
signal oExp : STD_LOGIC_VECTOR (12 DOWNTO 0);
signal oFlags: STD_LOGIC_VECTOR ( 2 DOWNTO 0);
BEGIN
oMant <= not(dataa(76 DOWNTO 13));-- 1's complement
oExp <= dataa(12 DOWNTO 0);
oFlags <= dataa(79 downto 77);
result <= oFlags & oMant & oExp;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_castxtod.vhd | 10 | 3498 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTXTOD.VHD ***
--*** ***
--*** Function: Cast Internal Single to IEEE754 ***
--*** Double ***
--*** ***
--*** 13/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_castxtod IS
GENERIC (
target : integer := 1; -- 1(internal), 0 (multiplier, divider)
mantissa : positive := 32;
roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1'
normspeed : positive := 3; -- 1,2, or 3 pipes for norm core
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
END hcc_castxtod;
ARCHITECTURE rtl OF hcc_castxtod IS
signal yvector : STD_LOGIC_VECTOR (77 DOWNTO 1);
signal yvectorsat, yvectorzip : STD_LOGIC;
component hcc_castxtoy IS
GENERIC (
target : integer := 1; -- 1(internal), 0 (multiplier, divider)
mantissa : positive := 32
);
PORT (
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_castytod
GENERIC (
roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1'
normspeed : positive := 3; -- 1,2, or 3 pipes for norm core
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
BEGIN
corein: hcc_castxtoy
GENERIC MAP (target=>1,mantissa=>mantissa)
PORT MAP (aa=>aa,aasat=>aasat,aazip=>aazip,
cc=>yvector,ccsat=>yvectorsat,cczip=>yvectorzip);
coreout: hcc_castytod
GENERIC MAP (roundconvert=>roundconvert,normspeed=>normspeed,
doublespeed=>doublespeed,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>yvector,aasat=>yvectorsat,aazip=>yvectorzip,
cc=>cc);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/math_package.vhd | 20 | 16832 | -- (C) 2010 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_LIBRARY_PACKAGE.VHD ***
--*** ***
--*** Function: Component Declarations of ***
--*** compiler instantiated library functions ***
--*** ***
--*** 06/02/08 ML ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
PACKAGE math_package IS
--***********************************
--*** SINGLE PRECISION COMPONENTS ***
--***********************************
component fp_inv
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC;
dividebyzeroout : OUT STD_LOGIC
);
end component;
component fp_invsqr
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC
);
end component;
component fp_sqr
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC
);
end component;
component fp_exp
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
underflowout : OUT STD_LOGIC
);
end component;
component fp_log
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
end component;
component fp_ldexp
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
end component;
component fp_fabs
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
end component;
component fp_neg
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
end component;
component fp_sin
GENERIC (
device : integer := 0;
width : positive := 30;
depth : positive := 18;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
end component;
component fp_cos
GENERIC (
device : integer := 0;
width : positive := 30;
depth : positive := 18;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
end component;
component fp_tan
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
end component;
component fp_asin
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
end component;
component fp_acos
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
end component;
component fp_atan
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
end component;
--***********************************
--*** DOUBLE PRECISION COMPONENTS ***
--***********************************
component dp_inv
GENERIC (
roundconvert : integer := 0; -- 0 = no round, 1 = round
doubleaccuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
doublespeed : integer := 0; -- 0/1
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC;
dividebyzeroout : OUT STD_LOGIC
);
end component;
component dp_invsqr
GENERIC (
doubleaccuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
doublespeed : integer := 0; -- 0/1
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC
);
end component;
component dp_sqr
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC
);
end component;
component dp_exp
GENERIC (
roundconvert : integer := 0; -- 0 = no round, 1 = round
doubleaccuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
doublespeed : integer := 0; -- 0/1
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
underflowout : OUT STD_LOGIC
);
end component;
component dp_log
GENERIC (
roundconvert : integer := 0; -- 0 = no round, 1 = round
doublespeed : integer := 0; -- 0/1
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
end component;
component dp_ldexp
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
end component;
component dp_fabs
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
end component;
component dp_neg
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
end component;
component dp_fixfloat IS
GENERIC (
unsigned : integer := 0; -- unsigned = 0, signed = 1
decimal : integer := 18;
fractional : integer := 14;
precision : integer := 0; -- single = 0, double = 1
speed : integer := 0 -- low speed = 0, high speed = 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
fixed_number : IN STD_LOGIC_VECTOR (decimal+fractional DOWNTO 1);
sign : OUT STD_LOGIC;
exponent : OUT STD_LOGIC_VECTOR (8+3*precision DOWNTO 1);
mantissa : OUT STD_LOGIC_VECTOR (23+29*precision DOWNTO 1)
);
END component;
component dp_floatfix IS
GENERIC (
unsigned : integer := 1; -- unsigned = 0, signed = 1
decimal : integer := 14;
fractional : integer := 6;
precision : integer := 0; -- single = 0, double = 1
speed : integer := 0 -- low speed = 0, high speed = 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
sign : IN STD_LOGIC;
exponent : IN STD_LOGIC_VECTOR (8+3*precision DOWNTO 1);
mantissa : IN STD_LOGIC_VECTOR (23+29*precision DOWNTO 1);
fixed_number : OUT STD_LOGIC_VECTOR (decimal+fractional DOWNTO 1)
);
END component;
END math_package;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_sincos_s5.vhd | 10 | 671358 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_sincos_s5
-- VHDL created on Wed Mar 27 09:55:14 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_sincos_s5 is
port (
a : in std_logic_vector(31 downto 0);
en : in std_logic_vector(0 downto 0);
s : out std_logic_vector(31 downto 0);
c : out std_logic_vector(31 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_sincos_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllOWE_uid6_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cstAllZWF_uid7_fpSinCosXTest_q : std_logic_vector (22 downto 0);
signal cstAllZWE_uid8_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cstBias_uid22_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cstBiasM1_uid23_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cstBiasMwShift_uid24_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cstBiasMwShiftM2_uid25_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cstBiasMwShiftM2_uid26_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cstZwShiftP1_uid27_fpSinCosXTest_q : std_logic_vector (13 downto 0);
signal cstNaNwF_uid32_fpSinCosXTest_q : std_logic_vector (22 downto 0);
signal cstZmwFRRPwSM1_uid52_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal cPi_uid71_fpSinCosXTest_q : std_logic_vector (25 downto 0);
signal p_uid73_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal p_uid73_fpSinCosXTest_q : std_logic_vector (25 downto 0);
signal expPSin_uid76_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal expPSin_uid76_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal multSinOp2_uid91_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal multSinOp2_uid91_fpSinCosXTest_q : std_logic_vector (25 downto 0);
signal mulSin_uid92_fpSinCosXTest_a : std_logic_vector (25 downto 0);
signal mulSin_uid92_fpSinCosXTest_b : std_logic_vector (25 downto 0);
signal mulSin_uid92_fpSinCosXTest_s1 : std_logic_vector (51 downto 0);
signal mulSin_uid92_fpSinCosXTest_pr : UNSIGNED (51 downto 0);
signal mulSin_uid92_fpSinCosXTest_q : std_logic_vector (51 downto 0);
signal mulCos_uid105_fpSinCosXTest_a : std_logic_vector (25 downto 0);
signal mulCos_uid105_fpSinCosXTest_b : std_logic_vector (25 downto 0);
signal mulCos_uid105_fpSinCosXTest_s1 : std_logic_vector (51 downto 0);
signal mulCos_uid105_fpSinCosXTest_pr : UNSIGNED (51 downto 0);
signal mulCos_uid105_fpSinCosXTest_q : std_logic_vector (51 downto 0);
signal excSelSin_uid119_fpSinCosXTest_q : std_logic_vector(1 downto 0);
signal signRSinFull_uid133_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal signRSinFull_uid133_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal signRSinFull_uid133_fpSinCosXTest_c : std_logic_vector(0 downto 0);
signal signRSinFull_uid133_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal signRSinFull_uid133_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal expSelectorCos_uid145_fpSinCosXTest_q : std_logic_vector(1 downto 0);
signal signRCond2_uid152_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal signRCond2_uid152_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal signRCond2_uid152_fpSinCosXTest_c : std_logic_vector(0 downto 0);
signal signRCond2_uid152_fpSinCosXTest_d : std_logic_vector(0 downto 0);
signal signRCond2_uid152_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal signRCond2_uid152_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal signRCond1_uid157_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal signRCond1_uid157_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal signRCond1_uid157_fpSinCosXTest_c : std_logic_vector(0 downto 0);
signal signRCond1_uid157_fpSinCosXTest_d : std_logic_vector(0 downto 0);
signal signRCond1_uid157_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal signRCond1_uid157_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal ZerosGB_uid206_rrx_uid34_fpSinCosXTest_q : std_logic_vector (29 downto 0);
signal leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage0Idx3Pad12_uid219_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (11 downto 0);
signal leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1Idx3Pad3_uid230_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (2 downto 0);
signal zs_uid236_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (63 downto 0);
signal vCount_uid238_lzcZSin_uid66_fpSinCosXTest_a : std_logic_vector(63 downto 0);
signal vCount_uid238_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector(63 downto 0);
signal vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal mO_uid239_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (62 downto 0);
signal zs_uid244_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal vCount_uid246_lzcZSin_uid66_fpSinCosXTest_a : std_logic_vector(31 downto 0);
signal vCount_uid246_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector(31 downto 0);
signal vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal zs_uid250_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (15 downto 0);
signal vCount_uid264_lzcZSin_uid66_fpSinCosXTest_a : std_logic_vector(3 downto 0);
signal vCount_uid264_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector(3 downto 0);
signal vCount_uid264_lzcZSin_uid66_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid264_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage1Idx3Pad24_uid293_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (23 downto 0);
signal leftShiftStage2Idx3Pad6_uid304_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (5 downto 0);
signal vCount_uid317_lzcZCos_uid69_fpSinCosXTest_a : std_logic_vector(63 downto 0);
signal vCount_uid317_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector(63 downto 0);
signal vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vCount_uid325_lzcZCos_uid69_fpSinCosXTest_a : std_logic_vector(31 downto 0);
signal vCount_uid325_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector(31 downto 0);
signal vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vCount_uid343_lzcZCos_uid69_fpSinCosXTest_a : std_logic_vector(3 downto 0);
signal vCount_uid343_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector(3 downto 0);
signal vCount_uid343_lzcZCos_uid69_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid343_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal mO_uid435_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_a : std_logic_vector(7 downto 0);
signal vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector(7 downto 0);
signal vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_a : std_logic_vector(1 downto 0);
signal vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector(1 downto 0);
signal vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx3Pad48_uid474_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (47 downto 0);
signal prodXY_uid502_pT1_uid407_polyEvalsinPiZ_a : std_logic_vector (12 downto 0);
signal prodXY_uid502_pT1_uid407_polyEvalsinPiZ_b : std_logic_vector (12 downto 0);
signal prodXY_uid502_pT1_uid407_polyEvalsinPiZ_s1 : std_logic_vector (25 downto 0);
signal prodXY_uid502_pT1_uid407_polyEvalsinPiZ_pr : SIGNED (26 downto 0);
signal prodXY_uid502_pT1_uid407_polyEvalsinPiZ_q : std_logic_vector (25 downto 0);
signal prodXY_uid505_pT2_uid413_polyEvalsinPiZ_a : std_logic_vector (14 downto 0);
signal prodXY_uid505_pT2_uid413_polyEvalsinPiZ_b : std_logic_vector (22 downto 0);
signal prodXY_uid505_pT2_uid413_polyEvalsinPiZ_s1 : std_logic_vector (37 downto 0);
signal prodXY_uid505_pT2_uid413_polyEvalsinPiZ_pr : SIGNED (38 downto 0);
signal prodXY_uid505_pT2_uid413_polyEvalsinPiZ_q : std_logic_vector (37 downto 0);
signal prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a : std_logic_vector (12 downto 0);
signal prodXY_uid508_pT1_uid420_polyEvalcosPiZ_b : std_logic_vector (12 downto 0);
signal prodXY_uid508_pT1_uid420_polyEvalcosPiZ_s1 : std_logic_vector (25 downto 0);
signal prodXY_uid508_pT1_uid420_polyEvalcosPiZ_pr : SIGNED (26 downto 0);
signal prodXY_uid508_pT1_uid420_polyEvalcosPiZ_q : std_logic_vector (25 downto 0);
signal prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a : std_logic_vector (14 downto 0);
signal prodXY_uid511_pT2_uid426_polyEvalcosPiZ_b : std_logic_vector (22 downto 0);
signal prodXY_uid511_pT2_uid426_polyEvalcosPiZ_s1 : std_logic_vector (37 downto 0);
signal prodXY_uid511_pT2_uid426_polyEvalcosPiZ_pr : SIGNED (38 downto 0);
signal prodXY_uid511_pT2_uid426_polyEvalcosPiZ_q : std_logic_vector (37 downto 0);
signal rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_reset0 : std_logic;
signal rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_ia : std_logic_vector (39 downto 0);
signal rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_aa : std_logic_vector (7 downto 0);
signal rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_ab : std_logic_vector (7 downto 0);
signal rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_iq : std_logic_vector (39 downto 0);
signal rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_q : std_logic_vector (39 downto 0);
signal rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_reset0 : std_logic;
signal rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_ia : std_logic_vector (39 downto 0);
signal rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_aa : std_logic_vector (7 downto 0);
signal rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_ab : std_logic_vector (7 downto 0);
signal rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_iq : std_logic_vector (39 downto 0);
signal rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_q : std_logic_vector (39 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_a : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_b : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_s1 : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_pr : UNSIGNED (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_q : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_a : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_b : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_s1 : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_pr : UNSIGNED (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_q : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_a : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_b : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_s1 : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_pr : UNSIGNED (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_a : std_logic_vector(81 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_b : std_logic_vector(81 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_o : std_logic_vector (81 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_q : std_logic_vector (81 downto 0);
signal memoryC0_uid394_tableGensinPiZ_lutmem_reset0 : std_logic;
signal memoryC0_uid394_tableGensinPiZ_lutmem_ia : std_logic_vector (29 downto 0);
signal memoryC0_uid394_tableGensinPiZ_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid394_tableGensinPiZ_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid394_tableGensinPiZ_lutmem_iq : std_logic_vector (29 downto 0);
signal memoryC0_uid394_tableGensinPiZ_lutmem_q : std_logic_vector (29 downto 0);
signal memoryC1_uid396_tableGensinPiZ_lutmem_reset0 : std_logic;
signal memoryC1_uid396_tableGensinPiZ_lutmem_ia : std_logic_vector (20 downto 0);
signal memoryC1_uid396_tableGensinPiZ_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid396_tableGensinPiZ_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid396_tableGensinPiZ_lutmem_iq : std_logic_vector (20 downto 0);
signal memoryC1_uid396_tableGensinPiZ_lutmem_q : std_logic_vector (20 downto 0);
signal memoryC2_uid398_tableGensinPiZ_lutmem_reset0 : std_logic;
signal memoryC2_uid398_tableGensinPiZ_lutmem_ia : std_logic_vector (12 downto 0);
signal memoryC2_uid398_tableGensinPiZ_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC2_uid398_tableGensinPiZ_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC2_uid398_tableGensinPiZ_lutmem_iq : std_logic_vector (12 downto 0);
signal memoryC2_uid398_tableGensinPiZ_lutmem_q : std_logic_vector (12 downto 0);
signal memoryC0_uid400_tableGencosPiZ_lutmem_reset0 : std_logic;
signal memoryC0_uid400_tableGencosPiZ_lutmem_ia : std_logic_vector (29 downto 0);
signal memoryC0_uid400_tableGencosPiZ_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid400_tableGencosPiZ_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid400_tableGencosPiZ_lutmem_iq : std_logic_vector (29 downto 0);
signal memoryC0_uid400_tableGencosPiZ_lutmem_q : std_logic_vector (29 downto 0);
signal memoryC1_uid402_tableGencosPiZ_lutmem_reset0 : std_logic;
signal memoryC1_uid402_tableGencosPiZ_lutmem_ia : std_logic_vector (20 downto 0);
signal memoryC1_uid402_tableGencosPiZ_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid402_tableGencosPiZ_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid402_tableGencosPiZ_lutmem_iq : std_logic_vector (20 downto 0);
signal memoryC1_uid402_tableGencosPiZ_lutmem_q : std_logic_vector (20 downto 0);
signal memoryC2_uid404_tableGencosPiZ_lutmem_reset0 : std_logic;
signal memoryC2_uid404_tableGencosPiZ_lutmem_ia : std_logic_vector (12 downto 0);
signal memoryC2_uid404_tableGencosPiZ_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC2_uid404_tableGencosPiZ_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC2_uid404_tableGencosPiZ_lutmem_iq : std_logic_vector (12 downto 0);
signal memoryC2_uid404_tableGencosPiZ_lutmem_q : std_logic_vector (12 downto 0);
signal reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_q : std_logic_vector (2 downto 0);
signal reg_expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_0_to_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_0_q : std_logic_vector (39 downto 0);
signal reg_rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_1_q : std_logic_vector (39 downto 0);
signal reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_0_q : std_logic_vector (26 downto 0);
signal reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1_q : std_logic_vector (26 downto 0);
signal reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_1_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_0_q : std_logic_vector (26 downto 0);
signal reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_2_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_0_q : std_logic_vector (26 downto 0);
signal reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q : std_logic_vector (31 downto 0);
signal reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q : std_logic_vector (15 downto 0);
signal reg_vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q : std_logic_vector (15 downto 0);
signal reg_rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q : std_logic_vector (1 downto 0);
signal reg_vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q : std_logic_vector (1 downto 0);
signal reg_vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_q : std_logic_vector (0 downto 0);
signal reg_leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q : std_logic_vector (77 downto 0);
signal reg_leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_3_q : std_logic_vector (77 downto 0);
signal reg_leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_4_q : std_logic_vector (77 downto 0);
signal reg_leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_5_q : std_logic_vector (77 downto 0);
signal reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q : std_logic_vector (77 downto 0);
signal reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_expCompOut_uid205_rrx_uid34_fpSinCosXTest_0_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_2_q : std_logic_vector (7 downto 0);
signal reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q : std_logic_vector (7 downto 0);
signal reg_leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_extendedFracX_uid47_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_2_q : std_logic_vector (67 downto 0);
signal reg_leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_3_q : std_logic_vector (67 downto 0);
signal reg_leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_4_q : std_logic_vector (67 downto 0);
signal reg_leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_5_q : std_logic_vector (67 downto 0);
signal reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_2_q : std_logic_vector (67 downto 0);
signal reg_pad_one_uid55_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_0_q : std_logic_vector (66 downto 0);
signal reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1_q : std_logic_vector (65 downto 0);
signal reg_oneMinusY_uid55_fpSinCosXTest_0_to_cmpYToOneMinusY_uid57_fpSinCosXTest_0_q : std_logic_vector (67 downto 0);
signal reg_cmpYToOneMinusY_uid57_fpSinCosXTest_1_to_zSin_uid60_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2_q : std_logic_vector (64 downto 0);
signal reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3_q : std_logic_vector (64 downto 0);
signal reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid259_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_2_q : std_logic_vector (3 downto 0);
signal reg_vStage_uid265_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_3_q : std_logic_vector (3 downto 0);
signal reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q : std_logic_vector (0 downto 0);
signal reg_leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_2_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_3_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_4_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_5_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_2_q : std_logic_vector (64 downto 0);
signal reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_pHigh_uid72_fpSinCosXTest_0_to_p_uid73_fpSinCosXTest_2_q : std_logic_vector (25 downto 0);
signal reg_addr_uid81_fpSinCosXTest_0_to_memoryC2_uid398_tableGensinPiZ_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_q : std_logic_vector (12 downto 0);
signal reg_memoryC2_uid398_tableGensinPiZ_lutmem_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_1_q : std_logic_vector (12 downto 0);
signal reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC1_uid396_tableGensinPiZ_lutmem_0_to_sumAHighB_uid410_polyEvalsinPiZ_0_q : std_logic_vector (20 downto 0);
signal reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_q : std_logic_vector (14 downto 0);
signal reg_s1_uid408_uid411_polyEvalsinPiZ_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_1_q : std_logic_vector (22 downto 0);
signal reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC0_uid394_tableGensinPiZ_lutmem_0_to_sumAHighB_uid416_polyEvalsinPiZ_0_q : std_logic_vector (29 downto 0);
signal reg_r_uid277_lzcZSin_uid66_fpSinCosXTest_0_to_expSinHC_uid74_fpSinCosXTest_1_q : std_logic_vector (6 downto 0);
signal reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_fracRCompSin_uid103_fpSinCosXTest_0_to_fracRPostExcSin_uid122_fpSinCosXTest_2_q : std_logic_vector (22 downto 0);
signal reg_expRCompSin_uid104_fpSinCosXTest_0_to_expRPostExcSin_uid126_fpSinCosXTest_2_q : std_logic_vector (7 downto 0);
signal reg_yHalfCosXNotOne_uid138_fpSinCosXTest_0_to_rZOrOne_uid140_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_sinXIsX_uid40_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_2_q : std_logic_vector (0 downto 0);
signal reg_cosXIsOneXRR_uid42_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_3_q : std_logic_vector (0 downto 0);
signal reg_excRNaN_uid117_fpSinCosXTest_0_to_join_uid141_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_InvCmpYToOneMinusY_uid61_fpSinCosXTest_0_to_zCos_uid64_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid338_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_2_q : std_logic_vector (3 downto 0);
signal reg_vStage_uid344_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_3_q : std_logic_vector (3 downto 0);
signal reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q : std_logic_vector (0 downto 0);
signal reg_leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_2_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_3_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_4_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_5_q : std_logic_vector (64 downto 0);
signal reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_2_q : std_logic_vector (64 downto 0);
signal reg_addr_uid83_fpSinCosXTest_0_to_memoryC2_uid404_tableGencosPiZ_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q : std_logic_vector (12 downto 0);
signal reg_memoryC2_uid404_tableGencosPiZ_lutmem_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_1_q : std_logic_vector (12 downto 0);
signal reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC1_uid402_tableGencosPiZ_lutmem_0_to_sumAHighB_uid423_polyEvalcosPiZ_0_q : std_logic_vector (20 downto 0);
signal reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q : std_logic_vector (14 downto 0);
signal reg_s1_uid421_uid424_polyEvalcosPiZ_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_1_q : std_logic_vector (22 downto 0);
signal reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC0_uid400_tableGencosPiZ_lutmem_0_to_sumAHighB_uid429_polyEvalcosPiZ_0_q : std_logic_vector (29 downto 0);
signal reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_q : std_logic_vector (25 downto 0);
signal reg_polyEvalSigcosPiZ_uid89_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_1_q : std_logic_vector (25 downto 0);
signal reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q : std_logic_vector (6 downto 0);
signal reg_expPCos_uid79_fpSinCosXTest_0_to_expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_1_q : std_logic_vector (7 downto 0);
signal reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q : std_logic_vector (1 downto 0);
signal reg_fracRCompCos_uid115_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_2_q : std_logic_vector (22 downto 0);
signal reg_expSelBitsCos_uid144_fpSinCosXTest_0_to_expSelectorCos_uid145_fpSinCosXTest_0_q : std_logic_vector (3 downto 0);
signal reg_expRCompSin_uid116_fpSinCosXTest_0_to_expRPostExcCos_uid147_fpSinCosXTest_2_q : std_logic_vector (7 downto 0);
signal reg_InvExc_N_uid132_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_1_q : std_logic_vector (0 downto 0);
signal reg_InvExc_I_uid131_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_2_q : std_logic_vector (0 downto 0);
signal ld_fracXRR_uid39_fpSinCosXTest_b_to_oFracXRR_uid43_uid43_fpSinCosXTest_a_q : std_logic_vector (52 downto 0);
signal ld_y_uid50_fpSinCosXTest_b_to_cmpYToOneMinusY_uid57_fpSinCosXTest_b_q : std_logic_vector (65 downto 0);
signal ld_oneMinusY_uid55_fpSinCosXTest_q_to_zSinOMyBottom_uid58_fpSinCosXTest_a_q : std_logic_vector (67 downto 0);
signal ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_expPSin_uid76_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_multSinOp2_uid91_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_exc_N_uid17_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid15_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_sinXIsX_uid40_fpSinCosXTest_n_to_excSelBitsSin_uid118_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid10_fpSinCosXTest_q_to_excSelBitsSin_uid118_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_sinXIsX_uid40_fpSinCosXTest_n_to_InvSinXIsX_uid127_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_InvSinXIsXRR_uid128_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_signX_uid37_fpSinCosXTest_b_to_signR_uid130_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid15_fpSinCosXTest_q_to_InvExc_I_uid131_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_exc_N_uid17_fpSinCosXTest_q_to_InvExc_N_uid132_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_signR_uid130_fpSinCosXTest_q_to_signRSinFull_uid133_fpSinCosXTest_c_q : std_logic_vector (0 downto 0);
signal ld_signRSinFull_uid133_fpSinCosXTest_q_to_fpSin_uid134_fpSinCosXTest_c_q : std_logic_vector (0 downto 0);
signal ld_InvSinXIsX_uid127_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_InvCosXIsOneXRR_uid136_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_c_q : std_logic_vector (0 downto 0);
signal ld_cosXIsOneXRR_uid42_fpSinCosXTest_n_to_join_uid143_fpSinCosXTest_c_q : std_logic_vector (0 downto 0);
signal ld_sinXIsX_uid40_fpSinCosXTest_n_to_cosXONe_uid148_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_cosXONe_uid148_fpSinCosXTest_q_to_InvCosXONe_uid149_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_yIsZero_uid51_fpSinCosXTest_q_to_InvYIsZero_uid151_fpSinCosXTest_a_q : std_logic_vector (0 downto 0);
signal ld_intXParity_uid49_fpSinCosXTest_b_to_signRCond2_uid152_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_signRCosFull_uid161_fpSinCosXTest_q_to_fpCos_uid162_fpSinCosXTest_c_q : std_logic_vector (0 downto 0);
signal ld_xBranch_uid191_rrx_uid34_fpSinCosXTest_n_to_finalFrac_uid208_rrx_uid34_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_finalExp_uid209_rrx_uid34_fpSinCosXTest_q_to_RRangeRed_uid210_rrx_uid34_fpSinCosXTest_b_q : std_logic_vector (7 downto 0);
signal ld_LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_b_q : std_logic_vector (66 downto 0);
signal ld_LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_b_q : std_logic_vector (65 downto 0);
signal ld_LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_b_q : std_logic_vector (64 downto 0);
signal ld_vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b_to_cStage_uid241_lzcZSin_uid66_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_c_q : std_logic_vector (63 downto 0);
signal ld_rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_c_q : std_logic_vector (31 downto 0);
signal ld_vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_d_q : std_logic_vector (31 downto 0);
signal ld_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_d_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_e_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_f_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_g_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_b_q : std_logic_vector (62 downto 0);
signal ld_LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_b_q : std_logic_vector (60 downto 0);
signal ld_LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_b_q : std_logic_vector (58 downto 0);
signal ld_leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b_to_cStage_uid320_lzcZCos_uid69_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_c_q : std_logic_vector (63 downto 0);
signal ld_rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_c_q : std_logic_vector (31 downto 0);
signal ld_vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_d_q : std_logic_vector (31 downto 0);
signal ld_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_d_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_e_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_f_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_g_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_b_q : std_logic_vector (62 downto 0);
signal ld_LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_b_q : std_logic_vector (60 downto 0);
signal ld_LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_b_q : std_logic_vector (58 downto 0);
signal ld_reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_b_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_b_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_d_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_e_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q : std_logic_vector (76 downto 0);
signal ld_LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q : std_logic_vector (75 downto 0);
signal ld_LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q : std_logic_vector (74 downto 0);
signal ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_q : std_logic_vector (12 downto 0);
signal ld_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q_to_prod_uid198_rrx_uid34_fpSinCosXTest_align_2_a_q : std_logic_vector (53 downto 0);
signal ld_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_a_q : std_logic_vector (0 downto 0);
signal ld_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b_to_reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_a_q : std_logic_vector (0 downto 0);
signal ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_q : std_logic_vector (12 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_a_q : std_logic_vector (7 downto 0);
signal ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_a_q : std_logic_vector (0 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_a_q : std_logic_vector (7 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_reset0 : std_logic;
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_eq : std_logic;
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_mem_top_q : std_logic_vector (4 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve : boolean;
attribute preserve of ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena_q : signal is true;
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_inputreg_q : std_logic_vector (6 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_eq : std_logic;
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_inputreg_q : std_logic_vector (25 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_reset0 : std_logic;
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_ia : std_logic_vector (25 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_iq : std_logic_vector (25 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_q : std_logic_vector (25 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_eq : std_logic;
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_mem_top_q : std_logic_vector (4 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena_q : signal is true;
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_inputreg_q : std_logic_vector (25 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_reset0 : std_logic;
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_ia : std_logic_vector (25 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_iq : std_logic_vector (25 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_q : std_logic_vector (25 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena_q : signal is true;
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_inputreg_q : std_logic_vector (22 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_reset0 : std_logic;
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_ia : std_logic_vector (22 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_iq : std_logic_vector (22 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_q : std_logic_vector (22 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_eq : std_logic;
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_mem_top_q : std_logic_vector (6 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena_q : signal is true;
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_inputreg_q : std_logic_vector (7 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_reset0 : std_logic;
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena_q : signal is true;
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_inputreg_q : std_logic_vector (1 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_inputreg_q : std_logic_vector (1 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_inputreg_q : std_logic_vector (31 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_reset0 : std_logic;
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_ia : std_logic_vector (31 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_iq : std_logic_vector (31 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_q : std_logic_vector (31 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena_q : signal is true;
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_inputreg_q : std_logic_vector (22 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (22 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (22 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_q : std_logic_vector (22 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_reset0 : std_logic;
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_eq : std_logic;
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_mem_top_q : std_logic_vector (4 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena_q : signal is true;
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_inputreg_q : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_q : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_inputreg_q : std_logic_vector (64 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_reset0 : std_logic;
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_ia : std_logic_vector (64 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_iq : std_logic_vector (64 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_q : std_logic_vector (64 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena_q : signal is true;
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_inputreg_q : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_q : std_logic_vector (32 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_inputreg_q : std_logic_vector (64 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_reset0 : std_logic;
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_ia : std_logic_vector (64 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_iq : std_logic_vector (64 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_q : std_logic_vector (64 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena_q : signal is true;
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q : std_logic_vector (61 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (61 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (61 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q : std_logic_vector (61 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q : std_logic_vector (29 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 : std_logic;
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia : std_logic_vector (29 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq : std_logic_vector (29 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q : std_logic_vector (29 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q : signal is true;
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_inputreg_q : std_logic_vector (77 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_reset0 : std_logic;
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_ia : std_logic_vector (77 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_iq : std_logic_vector (77 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_q : std_logic_vector (77 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena_q : signal is true;
signal ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_inputreg_q : std_logic_vector (12 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_inputreg_q : std_logic_vector (14 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_reset0 : std_logic;
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_ia : std_logic_vector (14 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_iq : std_logic_vector (14 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_q : std_logic_vector (14 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_eq : std_logic;
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena_q : signal is true;
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_inputreg_q : std_logic_vector (2 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_reset0 : std_logic;
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_ia : std_logic_vector (2 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_iq : std_logic_vector (2 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_q : std_logic_vector (2 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena_q : signal is true;
signal ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_inputreg_q : std_logic_vector (12 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_inputreg_q : std_logic_vector (14 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_reset0 : std_logic;
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_ia : std_logic_vector (14 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_iq : std_logic_vector (14 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_q : std_logic_vector (14 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena_q : signal is true;
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena_q : signal is true;
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena_q : signal is true;
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_inputreg_q : std_logic_vector (25 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_reset0 : std_logic;
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_ia : std_logic_vector (25 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_aa : std_logic_vector (1 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_ab : std_logic_vector (1 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_iq : std_logic_vector (25 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_q : std_logic_vector (25 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_q : std_logic_vector(1 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i : unsigned(1 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_eq : std_logic;
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg_q : std_logic_vector (1 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_mem_top_q : std_logic_vector (2 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena_q : signal is true;
signal sinXIsXRR_uid41_fpSinCosXTest_a : std_logic_vector(11 downto 0);
signal sinXIsXRR_uid41_fpSinCosXTest_b : std_logic_vector(11 downto 0);
signal sinXIsXRR_uid41_fpSinCosXTest_o : std_logic_vector (11 downto 0);
signal sinXIsXRR_uid41_fpSinCosXTest_cin : std_logic_vector (0 downto 0);
signal sinXIsXRR_uid41_fpSinCosXTest_n : std_logic_vector (0 downto 0);
signal cosXIsOneXRR_uid42_fpSinCosXTest_a : std_logic_vector(11 downto 0);
signal cosXIsOneXRR_uid42_fpSinCosXTest_b : std_logic_vector(11 downto 0);
signal cosXIsOneXRR_uid42_fpSinCosXTest_o : std_logic_vector (11 downto 0);
signal cosXIsOneXRR_uid42_fpSinCosXTest_cin : std_logic_vector (0 downto 0);
signal cosXIsOneXRR_uid42_fpSinCosXTest_n : std_logic_vector (0 downto 0);
signal yIsZero_uid51_fpSinCosXTest_a : std_logic_vector(65 downto 0);
signal yIsZero_uid51_fpSinCosXTest_b : std_logic_vector(65 downto 0);
signal yIsZero_uid51_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal pad_one_uid55_fpSinCosXTest_q : std_logic_vector (66 downto 0);
signal cmpYToOneMinusY_uid57_fpSinCosXTest_a : std_logic_vector(70 downto 0);
signal cmpYToOneMinusY_uid57_fpSinCosXTest_b : std_logic_vector(70 downto 0);
signal cmpYToOneMinusY_uid57_fpSinCosXTest_o : std_logic_vector (70 downto 0);
signal cmpYToOneMinusY_uid57_fpSinCosXTest_cin : std_logic_vector (0 downto 0);
signal cmpYToOneMinusY_uid57_fpSinCosXTest_c : std_logic_vector (0 downto 0);
signal leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal InvCmpYToOneMinusY_uid61_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvCmpYToOneMinusY_uid61_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvSinXIsX_uid127_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvSinXIsX_uid127_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvSinXIsXRR_uid128_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvSinXIsXRR_uid128_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid131_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid131_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvExc_N_uid132_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid132_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvCosXIsOneXRR_uid136_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvCosXIsOneXRR_uid136_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvCosXONe_uid149_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvCosXONe_uid149_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvYIsZero_uid151_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvYIsZero_uid151_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal InvIntXParity_uid155_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvIntXParity_uid155_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal oFracXRR_uid43_uid43_fpSinCosXTest_q : std_logic_vector (53 downto 0);
signal half_uid53_fpSinCosXTest_q : std_logic_vector (65 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_a : std_logic_vector(0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_q : std_logic_vector (1 downto 0);
signal exp_uid9_fpSinCosXTest_in : std_logic_vector (30 downto 0);
signal exp_uid9_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal frac_uid13_fpSinCosXTest_in : std_logic_vector (22 downto 0);
signal frac_uid13_fpSinCosXTest_b : std_logic_vector (22 downto 0);
signal signX_uid37_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal signX_uid37_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal expFracX_uid166_px_uid33_fpSinCosXTest_in : std_logic_vector (30 downto 0);
signal expFracX_uid166_px_uid33_fpSinCosXTest_b : std_logic_vector (30 downto 0);
signal expXIsZero_uid10_fpSinCosXTest_a : std_logic_vector(7 downto 0);
signal expXIsZero_uid10_fpSinCosXTest_b : std_logic_vector(7 downto 0);
signal expXIsZero_uid10_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid12_fpSinCosXTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid12_fpSinCosXTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid12_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid14_fpSinCosXTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid14_fpSinCosXTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid14_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid15_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid15_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid15_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal sinXIsX_uid40_fpSinCosXTest_a : std_logic_vector(10 downto 0);
signal sinXIsX_uid40_fpSinCosXTest_b : std_logic_vector(10 downto 0);
signal sinXIsX_uid40_fpSinCosXTest_o : std_logic_vector (10 downto 0);
signal sinXIsX_uid40_fpSinCosXTest_cin : std_logic_vector (0 downto 0);
signal sinXIsX_uid40_fpSinCosXTest_n : std_logic_vector (0 downto 0);
signal fxpXShiftValExt_uid45_fpSinCosXTest_a : std_logic_vector(10 downto 0);
signal fxpXShiftValExt_uid45_fpSinCosXTest_b : std_logic_vector(10 downto 0);
signal fxpXShiftValExt_uid45_fpSinCosXTest_o : std_logic_vector (10 downto 0);
signal fxpXShiftValExt_uid45_fpSinCosXTest_q : std_logic_vector (9 downto 0);
signal yIsHalf_uid54_fpSinCosXTest_a : std_logic_vector(65 downto 0);
signal yIsHalf_uid54_fpSinCosXTest_b : std_logic_vector(65 downto 0);
signal yIsHalf_uid54_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal oneMinusY_uid55_fpSinCosXTest_a : std_logic_vector(67 downto 0);
signal oneMinusY_uid55_fpSinCosXTest_b : std_logic_vector(67 downto 0);
signal oneMinusY_uid55_fpSinCosXTest_o : std_logic_vector (67 downto 0);
signal oneMinusY_uid55_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal zSin_uid60_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal zSin_uid60_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal zCos_uid64_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal zCos_uid64_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal expSinHC_uid74_fpSinCosXTest_a : std_logic_vector(8 downto 0);
signal expSinHC_uid74_fpSinCosXTest_b : std_logic_vector(8 downto 0);
signal expSinHC_uid74_fpSinCosXTest_o : std_logic_vector (8 downto 0);
signal expSinHC_uid74_fpSinCosXTest_q : std_logic_vector (8 downto 0);
signal expHardCase_uid78_fpSinCosXTest_a : std_logic_vector(8 downto 0);
signal expHardCase_uid78_fpSinCosXTest_b : std_logic_vector(8 downto 0);
signal expHardCase_uid78_fpSinCosXTest_o : std_logic_vector (8 downto 0);
signal expHardCase_uid78_fpSinCosXTest_q : std_logic_vector (8 downto 0);
signal excRNaN_uid117_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid117_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid117_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal fracRPostExcSin_uid122_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal fracRPostExcSin_uid122_fpSinCosXTest_q : std_logic_vector (22 downto 0);
signal expRPostExcSin_uid126_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal expRPostExcSin_uid126_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal yHalfCosXNotOne_uid138_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal yHalfCosXNotOne_uid138_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal yHalfCosXNotOne_uid138_fpSinCosXTest_c : std_logic_vector(0 downto 0);
signal yHalfCosXNotOne_uid138_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal rZOrOne_uid140_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal rZOrOne_uid140_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal rZOrOne_uid140_fpSinCosXTest_c : std_logic_vector(0 downto 0);
signal rZOrOne_uid140_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal fracRPostExcCos_uid142_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal fracRPostExcCos_uid142_fpSinCosXTest_q : std_logic_vector (22 downto 0);
signal expRPostExcCos_uid147_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal expRPostExcCos_uid147_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal cosXONe_uid148_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal cosXONe_uid148_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal cosXONe_uid148_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal signRCos_uid158_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal signRCos_uid158_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal signRCos_uid158_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal signRCosFull_uid161_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal signRCosFull_uid161_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal signRCosFull_uid161_fpSinCosXTest_c : std_logic_vector(0 downto 0);
signal signRCosFull_uid161_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal finalExp_uid209_rrx_uid34_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal finalExp_uid209_rrx_uid34_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal vCount_uid258_lzcZSin_uid66_fpSinCosXTest_a : std_logic_vector(7 downto 0);
signal vCount_uid258_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector(7 downto 0);
signal vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal vCount_uid337_lzcZCos_uid69_fpSinCosXTest_a : std_logic_vector(7 downto 0);
signal vCount_uid337_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector(7 downto 0);
signal vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_a : std_logic_vector(31 downto 0);
signal vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector(31 downto 0);
signal vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_a : std_logic_vector(15 downto 0);
signal vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector(15 downto 0);
signal vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector (15 downto 0);
signal vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal extendedFracX_uid47_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal normBitSin_uid93_fpSinCosXTest_in : std_logic_vector (51 downto 0);
signal normBitSin_uid93_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal fracRSinPreRndHigh_uid95_fpSinCosXTest_in : std_logic_vector (50 downto 0);
signal fracRSinPreRndHigh_uid95_fpSinCosXTest_b : std_logic_vector (23 downto 0);
signal fracRSinPreRndLow_uid96_fpSinCosXTest_in : std_logic_vector (49 downto 0);
signal fracRSinPreRndLow_uid96_fpSinCosXTest_b : std_logic_vector (23 downto 0);
signal normBitCos_uid106_fpSinCosXTest_in : std_logic_vector (51 downto 0);
signal normBitCos_uid106_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal fracRCosPreRndHigh_uid108_fpSinCosXTest_in : std_logic_vector (50 downto 0);
signal fracRCosPreRndHigh_uid108_fpSinCosXTest_b : std_logic_vector (23 downto 0);
signal fracRCosPreRndLow_uid109_fpSinCosXTest_in : std_logic_vector (49 downto 0);
signal fracRCosPreRndLow_uid109_fpSinCosXTest_b : std_logic_vector (23 downto 0);
signal fracXRExt_uid207_rrx_uid34_fpSinCosXTest_q : std_logic_vector (52 downto 0);
signal leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal cStage_uid241_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (63 downto 0);
signal cStage_uid320_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (63 downto 0);
signal leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (63 downto 0);
signal leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ_in : std_logic_vector (25 downto 0);
signal prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ_b : std_logic_vector (13 downto 0);
signal prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ_in : std_logic_vector (37 downto 0);
signal prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ_b : std_logic_vector (23 downto 0);
signal prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ_in : std_logic_vector (25 downto 0);
signal prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ_b : std_logic_vector (13 downto 0);
signal prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ_in : std_logic_vector (37 downto 0);
signal prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ_b : std_logic_vector (23 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_align_0_q_int : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_align_0_q : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_align_1_q_int : std_logic_vector (80 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_align_1_q : std_logic_vector (80 downto 0);
signal os_uid196_rrx_uid34_fpSinCosXTest_q : std_logic_vector (79 downto 0);
signal leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal join_uid99_fpSinCosXTest_q : std_logic_vector (1 downto 0);
signal join_uid141_fpSinCosXTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal zSinYBottom_uid59_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal zSinYBottom_uid59_fpSinCosXTest_b : std_logic_vector (64 downto 0);
signal zSinOMyBottom_uid58_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal zSinOMyBottom_uid58_fpSinCosXTest_b : std_logic_vector (64 downto 0);
signal excSelBitsSin_uid118_fpSinCosXTest_q : std_logic_vector (2 downto 0);
signal fpSin_uid134_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal join_uid143_fpSinCosXTest_q : std_logic_vector (2 downto 0);
signal fpCos_uid162_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (63 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_align_2_q_int : std_logic_vector (107 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_align_2_q : std_logic_vector (107 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_a : std_logic_vector(4 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_b : std_logic_vector(4 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_a : std_logic_vector(4 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_b : std_logic_vector(4 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_a : std_logic_vector(6 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_b : std_logic_vector(6 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal fracX_uid187_rrx_uid34_fpSinCosXTest_in : std_logic_vector (22 downto 0);
signal fracX_uid187_rrx_uid34_fpSinCosXTest_b : std_logic_vector (22 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_a : std_logic_vector(4 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_b : std_logic_vector(4 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_q : std_logic_vector(0 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_a : std_logic_vector(2 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_b : std_logic_vector(2 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_q : std_logic_vector(0 downto 0);
signal oFracXRRSmallXRR_uid90_fpSinCosXTest_in : std_logic_vector (53 downto 0);
signal oFracXRRSmallXRR_uid90_fpSinCosXTest_b : std_logic_vector (25 downto 0);
signal R_uid167_px_uid33_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal InvFracXIsZero_uid16_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid16_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal fxpXShiftVal_uid46_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal fxpXShiftVal_uid46_fpSinCosXTest_b : std_logic_vector (3 downto 0);
signal addr_uid81_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal addr_uid81_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal zPsinPiZ_uid84_fpSinCosXTest_in : std_logic_vector (56 downto 0);
signal zPsinPiZ_uid84_fpSinCosXTest_b : std_logic_vector (14 downto 0);
signal rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (63 downto 0);
signal vStage_uid240_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (0 downto 0);
signal vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (32 downto 0);
signal X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (32 downto 0);
signal addr_uid83_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal addr_uid83_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal zPcosPiZ_uid87_fpSinCosXTest_in : std_logic_vector (56 downto 0);
signal zPcosPiZ_uid87_fpSinCosXTest_b : std_logic_vector (14 downto 0);
signal rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (63 downto 0);
signal vStage_uid319_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (0 downto 0);
signal vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (32 downto 0);
signal X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (32 downto 0);
signal expSinHCR_uid75_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal expSinHCR_uid75_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal expPCos_uid79_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal expPCos_uid79_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (66 downto 0);
signal LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (66 downto 0);
signal LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (65 downto 0);
signal LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (65 downto 0);
signal LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (64 downto 0);
signal rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (15 downto 0);
signal vStage_uid253_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (15 downto 0);
signal vStage_uid253_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (15 downto 0);
signal rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (3 downto 0);
signal vStage_uid265_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal vStage_uid265_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal vStage_uid271_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal vStage_uid271_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (62 downto 0);
signal LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (62 downto 0);
signal LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (60 downto 0);
signal LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (60 downto 0);
signal LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (58 downto 0);
signal LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (58 downto 0);
signal rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (15 downto 0);
signal vStage_uid332_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (15 downto 0);
signal vStage_uid332_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (15 downto 0);
signal rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (3 downto 0);
signal vStage_uid344_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal vStage_uid344_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal vStage_uid350_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal vStage_uid350_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (62 downto 0);
signal LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (62 downto 0);
signal LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (60 downto 0);
signal LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (60 downto 0);
signal LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (58 downto 0);
signal LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (58 downto 0);
signal rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (15 downto 0);
signal vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (15 downto 0);
signal vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (15 downto 0);
signal rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (3 downto 0);
signal vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid463_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid463_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (76 downto 0);
signal LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (76 downto 0);
signal LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (75 downto 0);
signal LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (75 downto 0);
signal LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (74 downto 0);
signal LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (74 downto 0);
signal X63dto0_uid214_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (63 downto 0);
signal X63dto0_uid214_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (63 downto 0);
signal X59dto0_uid217_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (59 downto 0);
signal X59dto0_uid217_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (59 downto 0);
signal X55dto0_uid220_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (55 downto 0);
signal X55dto0_uid220_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (55 downto 0);
signal fracRSinPreRnd_uid97_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal fracRSinPreRnd_uid97_fpSinCosXTest_q : std_logic_vector (23 downto 0);
signal fracRCosPreRnd_uid110_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal fracRCosPreRnd_uid110_fpSinCosXTest_q : std_logic_vector (23 downto 0);
signal cosRndOp_uid112_uid113_fpSinCosXTest_q : std_logic_vector (24 downto 0);
signal rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (63 downto 0);
signal rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (31 downto 0);
signal vStage_uid326_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (31 downto 0);
signal lowRangeB_uid408_polyEvalsinPiZ_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid408_polyEvalsinPiZ_b : std_logic_vector (0 downto 0);
signal highBBits_uid409_polyEvalsinPiZ_in : std_logic_vector (13 downto 0);
signal highBBits_uid409_polyEvalsinPiZ_b : std_logic_vector (12 downto 0);
signal lowRangeB_uid414_polyEvalsinPiZ_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid414_polyEvalsinPiZ_b : std_logic_vector (1 downto 0);
signal highBBits_uid415_polyEvalsinPiZ_in : std_logic_vector (23 downto 0);
signal highBBits_uid415_polyEvalsinPiZ_b : std_logic_vector (21 downto 0);
signal lowRangeB_uid421_polyEvalcosPiZ_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid421_polyEvalcosPiZ_b : std_logic_vector (0 downto 0);
signal highBBits_uid422_polyEvalcosPiZ_in : std_logic_vector (13 downto 0);
signal highBBits_uid422_polyEvalcosPiZ_b : std_logic_vector (12 downto 0);
signal lowRangeB_uid427_polyEvalcosPiZ_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid427_polyEvalcosPiZ_b : std_logic_vector (1 downto 0);
signal highBBits_uid428_polyEvalcosPiZ_in : std_logic_vector (23 downto 0);
signal highBBits_uid428_polyEvalcosPiZ_b : std_logic_vector (21 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a_0_in : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a_0_b : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a_1_in : std_logic_vector (53 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a_1_b : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a_2_in : std_logic_vector (80 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_a_2_b : std_logic_vector (26 downto 0);
signal fracCompOut_uid203_rrx_uid34_fpSinCosXTest_in : std_logic_vector (76 downto 0);
signal fracCompOut_uid203_rrx_uid34_fpSinCosXTest_b : std_logic_vector (52 downto 0);
signal intXParity_uid49_fpSinCosXTest_in : std_logic_vector (67 downto 0);
signal intXParity_uid49_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal y_uid50_fpSinCosXTest_in : std_logic_vector (66 downto 0);
signal y_uid50_fpSinCosXTest_b : std_logic_vector (65 downto 0);
signal LeftShiftStage263dto0_uid310_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (63 downto 0);
signal LeftShiftStage263dto0_uid310_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (63 downto 0);
signal sinRndOp_uid100_uid101_fpSinCosXTest_q : std_logic_vector (25 downto 0);
signal LeftShiftStage263dto0_uid389_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (63 downto 0);
signal LeftShiftStage263dto0_uid389_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (63 downto 0);
signal expSelBitsCos_uid144_fpSinCosXTest_q : std_logic_vector (3 downto 0);
signal rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (63 downto 0);
signal rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (31 downto 0);
signal vStage_uid247_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (31 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_a : std_logic_vector(108 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_b : std_logic_vector(108 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_o : std_logic_vector (108 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_q : std_logic_vector (108 downto 0);
signal oFracX_uid197_uid197_rrx_uid34_fpSinCosXTest_q : std_logic_vector (23 downto 0);
signal expX_uid186_rrx_uid34_fpSinCosXTest_in : std_logic_vector (30 downto 0);
signal expX_uid186_rrx_uid34_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal exc_N_uid17_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid17_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid17_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal yT1_uid406_polyEvalsinPiZ_in : std_logic_vector (14 downto 0);
signal yT1_uid406_polyEvalsinPiZ_b : std_logic_vector (12 downto 0);
signal yT1_uid419_polyEvalcosPiZ_in : std_logic_vector (14 downto 0);
signal yT1_uid419_polyEvalcosPiZ_b : std_logic_vector (12 downto 0);
signal vCount_uid252_lzcZSin_uid66_fpSinCosXTest_a : std_logic_vector(15 downto 0);
signal vCount_uid252_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector(15 downto 0);
signal vCount_uid252_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (15 downto 0);
signal vCount_uid270_lzcZSin_uid66_fpSinCosXTest_a : std_logic_vector(1 downto 0);
signal vCount_uid270_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector(1 downto 0);
signal vCount_uid270_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (1 downto 0);
signal vCount_uid331_lzcZCos_uid69_fpSinCosXTest_a : std_logic_vector(15 downto 0);
signal vCount_uid331_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector(15 downto 0);
signal vCount_uid331_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (15 downto 0);
signal vCount_uid349_lzcZCos_uid69_fpSinCosXTest_a : std_logic_vector(1 downto 0);
signal vCount_uid349_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector(1 downto 0);
signal vCount_uid349_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (1 downto 0);
signal vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_a : std_logic_vector(3 downto 0);
signal vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector(3 downto 0);
signal vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector (3 downto 0);
signal vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_q : std_logic_vector (67 downto 0);
signal expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_q : std_logic_vector (31 downto 0);
signal expFracRCos_uid114_fpSinCosXTest_a : std_logic_vector(32 downto 0);
signal expFracRCos_uid114_fpSinCosXTest_b : std_logic_vector(32 downto 0);
signal expFracRCos_uid114_fpSinCosXTest_o : std_logic_vector (32 downto 0);
signal expFracRCos_uid114_fpSinCosXTest_q : std_logic_vector (32 downto 0);
signal sumAHighB_uid410_polyEvalsinPiZ_a : std_logic_vector(21 downto 0);
signal sumAHighB_uid410_polyEvalsinPiZ_b : std_logic_vector(21 downto 0);
signal sumAHighB_uid410_polyEvalsinPiZ_o : std_logic_vector (21 downto 0);
signal sumAHighB_uid410_polyEvalsinPiZ_q : std_logic_vector (21 downto 0);
signal sumAHighB_uid416_polyEvalsinPiZ_a : std_logic_vector(30 downto 0);
signal sumAHighB_uid416_polyEvalsinPiZ_b : std_logic_vector(30 downto 0);
signal sumAHighB_uid416_polyEvalsinPiZ_o : std_logic_vector (30 downto 0);
signal sumAHighB_uid416_polyEvalsinPiZ_q : std_logic_vector (30 downto 0);
signal sumAHighB_uid423_polyEvalcosPiZ_a : std_logic_vector(21 downto 0);
signal sumAHighB_uid423_polyEvalcosPiZ_b : std_logic_vector(21 downto 0);
signal sumAHighB_uid423_polyEvalcosPiZ_o : std_logic_vector (21 downto 0);
signal sumAHighB_uid423_polyEvalcosPiZ_q : std_logic_vector (21 downto 0);
signal sumAHighB_uid429_polyEvalcosPiZ_a : std_logic_vector(30 downto 0);
signal sumAHighB_uid429_polyEvalcosPiZ_b : std_logic_vector(30 downto 0);
signal sumAHighB_uid429_polyEvalcosPiZ_o : std_logic_vector (30 downto 0);
signal sumAHighB_uid429_polyEvalcosPiZ_q : std_logic_vector (30 downto 0);
signal finalFrac_uid208_rrx_uid34_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal finalFrac_uid208_rrx_uid34_fpSinCosXTest_q : std_logic_vector (52 downto 0);
signal signComp_uid129_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal signComp_uid129_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal signComp_uid129_fpSinCosXTest_c : std_logic_vector(0 downto 0);
signal signComp_uid129_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage3Idx1_uid311_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal expFracRSin_uid102_fpSinCosXTest_a : std_logic_vector(32 downto 0);
signal expFracRSin_uid102_fpSinCosXTest_b : std_logic_vector(32 downto 0);
signal expFracRSin_uid102_fpSinCosXTest_o : std_logic_vector (32 downto 0);
signal expFracRSin_uid102_fpSinCosXTest_q : std_logic_vector (32 downto 0);
signal leftShiftStage3Idx1_uid390_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal multFracBits_uid199_rrx_uid34_fpSinCosXTest_in : std_logic_vector (77 downto 0);
signal multFracBits_uid199_rrx_uid34_fpSinCosXTest_b : std_logic_vector (77 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_b_0_in : std_logic_vector (26 downto 0);
signal prod_uid198_rrx_uid34_fpSinCosXTest_b_0_b : std_logic_vector (26 downto 0);
signal xBranch_uid191_rrx_uid34_fpSinCosXTest_a : std_logic_vector(10 downto 0);
signal xBranch_uid191_rrx_uid34_fpSinCosXTest_b : std_logic_vector(10 downto 0);
signal xBranch_uid191_rrx_uid34_fpSinCosXTest_o : std_logic_vector (10 downto 0);
signal xBranch_uid191_rrx_uid34_fpSinCosXTest_cin : std_logic_vector (0 downto 0);
signal xBranch_uid191_rrx_uid34_fpSinCosXTest_n : std_logic_vector (0 downto 0);
signal expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_a : std_logic_vector(8 downto 0);
signal expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_b : std_logic_vector(8 downto 0);
signal expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_o : std_logic_vector (8 downto 0);
signal expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_q : std_logic_vector (8 downto 0);
signal rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal vStage_uid259_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal vStage_uid259_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal rVStage_uid275_lzcZSin_uid66_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid275_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal vStage_uid338_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal vStage_uid338_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal rVStage_uid354_lzcZCos_uid69_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid354_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_q : std_logic_vector (5 downto 0);
signal fracRCompCos_uid115_fpSinCosXTest_in : std_logic_vector (23 downto 0);
signal fracRCompCos_uid115_fpSinCosXTest_b : std_logic_vector (22 downto 0);
signal expRCompSin_uid116_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal expRCompSin_uid116_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal s1_uid408_uid411_polyEvalsinPiZ_q : std_logic_vector (22 downto 0);
signal s2_uid414_uid417_polyEvalsinPiZ_q : std_logic_vector (32 downto 0);
signal s1_uid421_uid424_polyEvalcosPiZ_q : std_logic_vector (22 downto 0);
signal s2_uid427_uid430_polyEvalcosPiZ_q : std_logic_vector (32 downto 0);
signal RRangeRed_uid210_rrx_uid34_fpSinCosXTest_q : std_logic_vector (61 downto 0);
signal signR_uid130_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal signR_uid130_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal signR_uid130_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal fracRCompSin_uid103_fpSinCosXTest_in : std_logic_vector (23 downto 0);
signal fracRCompSin_uid103_fpSinCosXTest_b : std_logic_vector (22 downto 0);
signal expRCompSin_uid104_fpSinCosXTest_in : std_logic_vector (31 downto 0);
signal expRCompSin_uid104_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_s : std_logic_vector (0 downto 0);
signal leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_in : std_logic_vector (77 downto 0);
signal multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_b : std_logic_vector (31 downto 0);
signal X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (61 downto 0);
signal X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (61 downto 0);
signal X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (45 downto 0);
signal X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (45 downto 0);
signal X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (29 downto 0);
signal X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (29 downto 0);
signal expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal vCount_uid276_lzcZSin_uid66_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal vCount_uid276_lzcZSin_uid66_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal vCount_uid276_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal vCount_uid355_lzcZCos_uid69_fpSinCosXTest_a : std_logic_vector(0 downto 0);
signal vCount_uid355_lzcZCos_uid69_fpSinCosXTest_b : std_logic_vector(0 downto 0);
signal vCount_uid355_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector(0 downto 0);
signal expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_a : std_logic_vector(8 downto 0);
signal expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_b : std_logic_vector(8 downto 0);
signal expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_o : std_logic_vector (8 downto 0);
signal expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_q : std_logic_vector (8 downto 0);
signal leftShiftStageSel5Dto4_uid477_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (5 downto 0);
signal leftShiftStageSel5Dto4_uid477_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal polyEvalSigsinPiZ_uid86_fpSinCosXTest_in : std_logic_vector (30 downto 0);
signal polyEvalSigsinPiZ_uid86_fpSinCosXTest_b : std_logic_vector (25 downto 0);
signal polyEvalSigcosPiZ_uid89_fpSinCosXTest_in : std_logic_vector (30 downto 0);
signal polyEvalSigcosPiZ_uid89_fpSinCosXTest_b : std_logic_vector (25 downto 0);
signal expXRR_uid38_fpSinCosXTest_in : std_logic_vector (60 downto 0);
signal expXRR_uid38_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal fracXRR_uid39_fpSinCosXTest_in : std_logic_vector (52 downto 0);
signal fracXRR_uid39_fpSinCosXTest_b : std_logic_vector (52 downto 0);
signal pHigh_uid72_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal pHigh_uid72_fpSinCosXTest_b : std_logic_vector (25 downto 0);
signal pCos_uid77_fpSinCosXTest_in : std_logic_vector (64 downto 0);
signal pCos_uid77_fpSinCosXTest_b : std_logic_vector (25 downto 0);
signal r_uid277_lzcZSin_uid66_fpSinCosXTest_q : std_logic_vector (6 downto 0);
signal r_uid356_lzcZCos_uid69_fpSinCosXTest_q : std_logic_vector (6 downto 0);
signal expCompOut_uid205_rrx_uid34_fpSinCosXTest_in : std_logic_vector (7 downto 0);
signal expCompOut_uid205_rrx_uid34_fpSinCosXTest_b : std_logic_vector (7 downto 0);
signal leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal leftShiftStageSel6Dto5_uid285_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (6 downto 0);
signal leftShiftStageSel6Dto5_uid285_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (4 downto 0);
signal leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (2 downto 0);
signal leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (0 downto 0);
signal leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal leftShiftStageSel6Dto5_uid364_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (6 downto 0);
signal leftShiftStageSel6Dto5_uid364_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (4 downto 0);
signal leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (2 downto 0);
signal leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (0 downto 0);
signal leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage073dto0_uid480_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (73 downto 0);
signal LeftShiftStage073dto0_uid480_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (73 downto 0);
signal LeftShiftStage069dto0_uid483_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (69 downto 0);
signal LeftShiftStage069dto0_uid483_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (69 downto 0);
signal LeftShiftStage065dto0_uid486_normMult_uid202_rrx_uid34_fpSinCosXTest_in : std_logic_vector (65 downto 0);
signal LeftShiftStage065dto0_uid486_normMult_uid202_rrx_uid34_fpSinCosXTest_b : std_logic_vector (65 downto 0);
signal leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_q : std_logic_vector (77 downto 0);
signal LeftShiftStage056dto0_uid288_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (56 downto 0);
signal LeftShiftStage056dto0_uid288_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (56 downto 0);
signal LeftShiftStage048dto0_uid291_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (48 downto 0);
signal LeftShiftStage048dto0_uid291_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (48 downto 0);
signal LeftShiftStage040dto0_uid294_alignedZSin_uid67_fpSinCosXTest_in : std_logic_vector (40 downto 0);
signal LeftShiftStage040dto0_uid294_alignedZSin_uid67_fpSinCosXTest_b : std_logic_vector (40 downto 0);
signal LeftShiftStage056dto0_uid367_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (56 downto 0);
signal LeftShiftStage056dto0_uid367_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (56 downto 0);
signal LeftShiftStage048dto0_uid370_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (48 downto 0);
signal LeftShiftStage048dto0_uid370_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (48 downto 0);
signal LeftShiftStage040dto0_uid373_alignedZCos_uid70_fpSinCosXTest_in : std_logic_vector (40 downto 0);
signal LeftShiftStage040dto0_uid373_alignedZCos_uid70_fpSinCosXTest_b : std_logic_vector (40 downto 0);
signal leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_q : std_logic_vector (64 downto 0);
begin
--xIn(GPIN,3)@0
--GND(CONSTANT,0)
GND_q <= "0";
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable(LOGICAL,1282)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_a <= en;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q <= not ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_a;
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor(LOGICAL,1422)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_b <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena_q;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_q <= not (ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_a or ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_b);
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_mem_top(CONSTANT,1418)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_mem_top_q <= "01011";
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp(LOGICAL,1419)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_a <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_mem_top_q;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_q);
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_q <= "1" when ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_a = ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_b else "0";
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmpReg(REG,1420)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmpReg_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena(REG,1423)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_nor_q = "1") THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd(LOGICAL,1424)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_a <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_sticky_ena_q;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_b <= en;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_a and ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_b;
--expFracX_uid166_px_uid33_fpSinCosXTest(BITSELECT,165)@0
expFracX_uid166_px_uid33_fpSinCosXTest_in <= a(30 downto 0);
expFracX_uid166_px_uid33_fpSinCosXTest_b <= expFracX_uid166_px_uid33_fpSinCosXTest_in(30 downto 0);
--R_uid167_px_uid33_fpSinCosXTest(BITJOIN,166)@0
R_uid167_px_uid33_fpSinCosXTest_q <= GND_q & expFracX_uid166_px_uid33_fpSinCosXTest_b;
--expX_uid186_rrx_uid34_fpSinCosXTest(BITSELECT,185)@0
expX_uid186_rrx_uid34_fpSinCosXTest_in <= R_uid167_px_uid33_fpSinCosXTest_q(30 downto 0);
expX_uid186_rrx_uid34_fpSinCosXTest_b <= expX_uid186_rrx_uid34_fpSinCosXTest_in(30 downto 23);
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_inputreg(DELAY,1412)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expX_uid186_rrx_uid34_fpSinCosXTest_b, xout => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt(COUNTER,1414)
-- every=1, low=0, high=11, step=1, init=1
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i = 10 THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_eq = '1') THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i - 11;
ELSE
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_i,4));
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg(REG,1415)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux(MUX,1416)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_s <= en;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux: PROCESS (ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_s, ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg_q, ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_q)
BEGIN
CASE ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_s IS
WHEN "0" => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg_q;
WHEN "1" => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem(DUALMEM,1413)
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_ia <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_inputreg_q;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_aa <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdreg_q;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_ab <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_rdmux_q;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 4,
numwords_a => 12,
width_b => 8,
widthad_b => 4,
numwords_b => 12,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_iq,
address_a => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_aa,
data_a => ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_ia
);
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_reset0 <= areset;
ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_iq(7 downto 0);
--zs_uid244_lzcZSin_uid66_fpSinCosXTest(CONSTANT,243)
zs_uid244_lzcZSin_uid66_fpSinCosXTest_q <= "00000000000000000000000000000000";
--ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor(LOGICAL,1396)
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_b <= ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena_q;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_q <= not (ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_a or ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_b);
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg(REG,1318)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena(REG,1397)
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_nor_q = "1") THEN
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd(LOGICAL,1398)
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_a <= ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_sticky_ena_q;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_b <= en;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_q <= ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_a and ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_b;
--ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_inputreg(DELAY,1388)
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => R_uid167_px_uid33_fpSinCosXTest_q, xout => ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt(COUNTER,1314)
-- every=1, low=0, high=1, step=1, init=1
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_i <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_i,1));
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg(REG,1315)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux(MUX,1316)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_s <= en;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux: PROCESS (ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_s, ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q, ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_q)
BEGIN
CASE ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_s IS
WHEN "0" => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
WHEN "1" => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem(DUALMEM,1389)
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_ia <= ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_inputreg_q;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 32,
widthad_a => 1,
numwords_a => 2,
width_b => 32,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_iq,
address_a => ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_aa,
data_a => ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_ia
);
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_reset0 <= areset;
ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_q <= ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_iq(31 downto 0);
--fracX_uid187_rrx_uid34_fpSinCosXTest(BITSELECT,186)@4
fracX_uid187_rrx_uid34_fpSinCosXTest_in <= ld_R_uid167_px_uid33_fpSinCosXTest_q_to_fracX_uid187_rrx_uid34_fpSinCosXTest_a_replace_mem_q(22 downto 0);
fracX_uid187_rrx_uid34_fpSinCosXTest_b <= fracX_uid187_rrx_uid34_fpSinCosXTest_in(22 downto 0);
--oFracX_uid197_uid197_rrx_uid34_fpSinCosXTest(BITJOIN,196)@4
oFracX_uid197_uid197_rrx_uid34_fpSinCosXTest_q <= VCC_q & fracX_uid187_rrx_uid34_fpSinCosXTest_b;
--prod_uid198_rrx_uid34_fpSinCosXTest_b_0(BITSELECT,518)@4
prod_uid198_rrx_uid34_fpSinCosXTest_b_0_in <= STD_LOGIC_VECTOR("000" & oFracX_uid197_uid197_rrx_uid34_fpSinCosXTest_q);
prod_uid198_rrx_uid34_fpSinCosXTest_b_0_b <= prod_uid198_rrx_uid34_fpSinCosXTest_b_0_in(26 downto 0);
--reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1(REG,539)@4
reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1_q <= prod_uid198_rrx_uid34_fpSinCosXTest_b_0_b;
END IF;
END IF;
END PROCESS;
--cstBiasMwShift_uid24_fpSinCosXTest(CONSTANT,23)
cstBiasMwShift_uid24_fpSinCosXTest_q <= "01110011";
--expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest(SUB,191)@0
expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_a <= STD_LOGIC_VECTOR("0" & expX_uid186_rrx_uid34_fpSinCosXTest_b);
expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_b <= STD_LOGIC_VECTOR("0" & cstBiasMwShift_uid24_fpSinCosXTest_q);
expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_a) - UNSIGNED(expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_b));
expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_q <= expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_o(8 downto 0);
--expXTableAddr_uid193_rrx_uid34_fpSinCosXTest(BITSELECT,192)@0
expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_in <= expXTableAddrExt_uid192_rrx_uid34_fpSinCosXTest_q(7 downto 0);
expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_b <= expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_in(7 downto 0);
--reg_expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_0_to_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0(REG,534)@0
reg_expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_0_to_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_0_to_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_0_to_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_q <= expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem(DUALMEM,514)@1
rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_ia <= (others => '0');
rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_aa <= (others => '0');
rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_ab <= reg_expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_0_to_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_q;
rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 40,
widthad_a => 8,
numwords_a => 140,
width_b => 40,
widthad_b => 8,
numwords_b => 140,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_reset0,
clock0 => clk,
address_b => rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_ab,
-- data_b => (others => '0'),
q_b => rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_iq,
address_a => rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_aa,
data_a => rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_ia
);
rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_reset0 <= areset;
rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_q <= rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_iq(39 downto 0);
--reg_rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_1(REG,537)@3
reg_rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_1_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_1_q <= rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_q;
END IF;
END IF;
END PROCESS;
--rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem(DUALMEM,513)@1
rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_ia <= (others => '0');
rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_aa <= (others => '0');
rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_ab <= reg_expXTableAddr_uid193_rrx_uid34_fpSinCosXTest_0_to_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_q;
rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 40,
widthad_a => 8,
numwords_a => 140,
width_b => 40,
widthad_b => 8,
numwords_b => 140,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_reset0,
clock0 => clk,
address_b => rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_ab,
-- data_b => (others => '0'),
q_b => rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_iq,
address_a => rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_aa,
data_a => rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_ia
);
rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_reset0 <= areset;
rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_q <= rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_iq(39 downto 0);
--reg_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_0(REG,536)@3
reg_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_0_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_0_q <= rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid196_rrx_uid34_fpSinCosXTest(BITJOIN,195)@4
os_uid196_rrx_uid34_fpSinCosXTest_q <= reg_rrTable_uid195_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_1_q & reg_rrTable_uid194_rrx_uid34_fpSinCosXTest_lutmem_0_to_os_uid196_rrx_uid34_fpSinCosXTest_0_q;
--prod_uid198_rrx_uid34_fpSinCosXTest_a_2(BITSELECT,517)@4
prod_uid198_rrx_uid34_fpSinCosXTest_a_2_in <= STD_LOGIC_VECTOR("0" & os_uid196_rrx_uid34_fpSinCosXTest_q);
prod_uid198_rrx_uid34_fpSinCosXTest_a_2_b <= prod_uid198_rrx_uid34_fpSinCosXTest_a_2_in(80 downto 54);
--reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_2_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_0(REG,542)@4
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_2_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_2_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_2_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_a_2_b;
END IF;
END IF;
END PROCESS;
--prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0(MULT,521)@5
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_pr <= UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_a) * UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_b);
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_a <= (others => '0');
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_b <= (others => '0');
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_a <= reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_2_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_0_q;
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_b <= reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1_q;
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_s1 <= STD_LOGIC_VECTOR(prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_pr);
END IF;
END IF;
END PROCESS;
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_s1;
END IF;
END IF;
END PROCESS;
--ld_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q_to_prod_uid198_rrx_uid34_fpSinCosXTest_align_2_a(DELAY,1145)@8
ld_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q_to_prod_uid198_rrx_uid34_fpSinCosXTest_align_2_a : dspba_delay
GENERIC MAP ( width => 54, depth => 1 )
PORT MAP ( xin => prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q, xout => ld_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q_to_prod_uid198_rrx_uid34_fpSinCosXTest_align_2_a_q, ena => en(0), clk => clk, aclr => areset );
--prod_uid198_rrx_uid34_fpSinCosXTest_align_2(BITSHIFT,524)@9
prod_uid198_rrx_uid34_fpSinCosXTest_align_2_q_int <= ld_prod_uid198_rrx_uid34_fpSinCosXTest_a2_b0_q_to_prod_uid198_rrx_uid34_fpSinCosXTest_align_2_a_q & "000000000000000000000000000000000000000000000000000000";
prod_uid198_rrx_uid34_fpSinCosXTest_align_2_q <= prod_uid198_rrx_uid34_fpSinCosXTest_align_2_q_int(107 downto 0);
--prod_uid198_rrx_uid34_fpSinCosXTest_a_1(BITSELECT,516)@4
prod_uid198_rrx_uid34_fpSinCosXTest_a_1_in <= os_uid196_rrx_uid34_fpSinCosXTest_q(53 downto 0);
prod_uid198_rrx_uid34_fpSinCosXTest_a_1_b <= prod_uid198_rrx_uid34_fpSinCosXTest_a_1_in(53 downto 27);
--reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_1_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_0(REG,540)@4
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_1_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_1_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_1_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_a_1_b;
END IF;
END IF;
END PROCESS;
--prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0(MULT,520)@5
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_pr <= UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_a) * UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_b);
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_a <= (others => '0');
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_b <= (others => '0');
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_a <= reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_1_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_0_q;
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_b <= reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1_q;
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_s1 <= STD_LOGIC_VECTOR(prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_pr);
END IF;
END IF;
END PROCESS;
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_s1;
END IF;
END IF;
END PROCESS;
--prod_uid198_rrx_uid34_fpSinCosXTest_align_1(BITSHIFT,523)@8
prod_uid198_rrx_uid34_fpSinCosXTest_align_1_q_int <= prod_uid198_rrx_uid34_fpSinCosXTest_a1_b0_q & "000000000000000000000000000";
prod_uid198_rrx_uid34_fpSinCosXTest_align_1_q <= prod_uid198_rrx_uid34_fpSinCosXTest_align_1_q_int(80 downto 0);
--prod_uid198_rrx_uid34_fpSinCosXTest_a_0(BITSELECT,515)@4
prod_uid198_rrx_uid34_fpSinCosXTest_a_0_in <= os_uid196_rrx_uid34_fpSinCosXTest_q(26 downto 0);
prod_uid198_rrx_uid34_fpSinCosXTest_a_0_b <= prod_uid198_rrx_uid34_fpSinCosXTest_a_0_in(26 downto 0);
--reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_0(REG,538)@4
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_a_0_b;
END IF;
END IF;
END PROCESS;
--prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0(MULT,519)@5
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_pr <= UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_a) * UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_b);
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_a <= (others => '0');
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_b <= (others => '0');
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_a <= reg_prod_uid198_rrx_uid34_fpSinCosXTest_a_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_0_q;
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_b <= reg_prod_uid198_rrx_uid34_fpSinCosXTest_b_0_0_to_prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_1_q;
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_s1 <= STD_LOGIC_VECTOR(prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_pr);
END IF;
END IF;
END PROCESS;
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_s1;
END IF;
END IF;
END PROCESS;
--prod_uid198_rrx_uid34_fpSinCosXTest_align_0(BITSHIFT,522)@8
prod_uid198_rrx_uid34_fpSinCosXTest_align_0_q_int <= prod_uid198_rrx_uid34_fpSinCosXTest_a0_b0_q;
prod_uid198_rrx_uid34_fpSinCosXTest_align_0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_align_0_q_int(53 downto 0);
--prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0(ADD,525)@8
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_a <= STD_LOGIC_VECTOR("0000000000000000000000000000" & prod_uid198_rrx_uid34_fpSinCosXTest_align_0_q);
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_b <= STD_LOGIC_VECTOR("0" & prod_uid198_rrx_uid34_fpSinCosXTest_align_1_q);
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_o <= STD_LOGIC_VECTOR(UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_a) + UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_b));
END IF;
END PROCESS;
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_o(81 downto 0);
--prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0(ADD,526)@9
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_a <= STD_LOGIC_VECTOR("000000000000000000000000000" & prod_uid198_rrx_uid34_fpSinCosXTest_result_add_0_0_q);
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_b <= STD_LOGIC_VECTOR("0" & prod_uid198_rrx_uid34_fpSinCosXTest_align_2_q);
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_o <= STD_LOGIC_VECTOR(UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_a) + UNSIGNED(prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_b));
prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_q <= prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_o(108 downto 0);
--multFracBits_uid199_rrx_uid34_fpSinCosXTest(BITSELECT,198)@9
multFracBits_uid199_rrx_uid34_fpSinCosXTest_in <= prod_uid198_rrx_uid34_fpSinCosXTest_result_add_1_0_q(77 downto 0);
multFracBits_uid199_rrx_uid34_fpSinCosXTest_b <= multFracBits_uid199_rrx_uid34_fpSinCosXTest_in(77 downto 0);
--multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest(BITSELECT,199)@9
multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_in <= multFracBits_uid199_rrx_uid34_fpSinCosXTest_b;
multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_b <= multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_in(77 downto 46);
--reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1(REG,544)@9
reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q <= multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest(LOGICAL,433)@10
vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_a <= reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q;
vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= zs_uid244_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= "1" when vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_a = vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_b else "0";
--ld_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_a(DELAY,1178)@10
ld_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q, xout => ld_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5(REG,555)@12
reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_q <= ld_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_a_q;
END IF;
END IF;
END PROCESS;
--zs_uid250_lzcZSin_uid66_fpSinCosXTest(CONSTANT,249)
zs_uid250_lzcZSin_uid66_fpSinCosXTest_q <= "0000000000000000";
--mO_uid435_zCount_uid201_rrx_uid34_fpSinCosXTest(CONSTANT,434)
mO_uid435_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= "11111111111111111111111111111111";
--vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest(MUX,436)@10
vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_s <= vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest: PROCESS (vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_s, en, reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q, mO_uid435_zCount_uid201_rrx_uid34_fpSinCosXTest_q)
BEGIN
CASE vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_multFracBitsTop_uid200_rrx_uid34_fpSinCosXTest_0_to_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q;
WHEN "1" => vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= mO_uid435_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
WHEN OTHERS => vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,438)@10
rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_in(31 downto 16);
--reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1(REG,546)@10
reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q <= rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest(LOGICAL,439)@11
vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_a <= reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q;
vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= zs_uid250_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= "1" when vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_a = vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_b else "0";
--reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4(REG,554)@11
reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q <= vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_e(DELAY,1081)@12
ld_reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_e : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q, xout => ld_reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_e_q, ena => en(0), clk => clk, aclr => areset );
--cstAllZWE_uid8_fpSinCosXTest(CONSTANT,7)
cstAllZWE_uid8_fpSinCosXTest_q <= "00000000";
--vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,440)@10
vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid437_zCount_uid201_rrx_uid34_fpSinCosXTest_q(15 downto 0);
vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_in(15 downto 0);
--reg_vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_3(REG,548)@10
reg_vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q <= vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest(MUX,442)@11
vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_s <= vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest: PROCESS (vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_s, en, reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q, reg_vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q)
BEGIN
CASE vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_rVStage_uid439_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_1_q;
WHEN "1" => vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_vStage_uid441_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q;
WHEN OTHERS => vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,444)@11
rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_in(15 downto 8);
--vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest(LOGICAL,445)@11
vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_a <= rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= cstAllZWE_uid8_fpSinCosXTest_q;
vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_i <= "1" when vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_a = vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_b else "0";
vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q, xin => vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_d(DELAY,1080)@12
ld_vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q, xout => ld_vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_d_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest(CONSTANT,212)
leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q <= "0000";
--vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,446)@11
vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid443_zCount_uid201_rrx_uid34_fpSinCosXTest_q(7 downto 0);
vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_in(7 downto 0);
--reg_vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_3(REG,550)@11
reg_vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q <= vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_2(REG,549)@11
reg_rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q <= rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest(MUX,448)@12
vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_s <= vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest: PROCESS (vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_s, en, reg_rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q, reg_vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q)
BEGIN
CASE vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_rVStage_uid445_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q;
WHEN "1" => vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_vStage_uid447_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q;
WHEN OTHERS => vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,450)@12
rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_in(7 downto 4);
--vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest(LOGICAL,451)@12
vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_a <= rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q;
vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= "1" when vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_a = vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_b else "0";
--reg_vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_2(REG,553)@12
reg_vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q <= vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest(CONSTANT,226)
leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q <= "00";
--vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,452)@12
vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid449_zCount_uid201_rrx_uid34_fpSinCosXTest_q(3 downto 0);
vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest_in(3 downto 0);
--vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest(MUX,454)@12
vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_s <= vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest: PROCESS (vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_s, en, rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_b, vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest_b)
BEGIN
CASE vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= rVStage_uid451_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
WHEN "1" => vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= vStage_uid453_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
WHEN OTHERS => vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,456)@12
rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_in(3 downto 2);
--vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest(LOGICAL,457)@12
vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_a <= rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q;
vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_q_i <= "1" when vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_a = vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_b else "0";
vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_q, xin => vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,458)@12
vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid455_zCount_uid201_rrx_uid34_fpSinCosXTest_q(1 downto 0);
vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_in(1 downto 0);
--reg_vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_3(REG,552)@12
reg_vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q <= vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_2(REG,551)@12
reg_rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q <= rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest(MUX,460)@13
vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_s <= vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest: PROCESS (vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_s, en, reg_rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q, reg_vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q)
BEGIN
CASE vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_rVStage_uid457_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q;
WHEN "1" => vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_vStage_uid459_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_3_q;
WHEN OTHERS => vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid463_zCount_uid201_rrx_uid34_fpSinCosXTest(BITSELECT,462)@13
rVStage_uid463_zCount_uid201_rrx_uid34_fpSinCosXTest_in <= vStagei_uid461_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
rVStage_uid463_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= rVStage_uid463_zCount_uid201_rrx_uid34_fpSinCosXTest_in(1 downto 1);
--vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest(LOGICAL,463)@13
vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_a <= rVStage_uid463_zCount_uid201_rrx_uid34_fpSinCosXTest_b;
vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_b <= GND_q;
vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= "1" when vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_a = vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_b else "0";
--r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest(BITJOIN,464)@13
r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_q <= reg_vCount_uid434_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_5_q & ld_reg_vCount_uid440_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_4_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_e_q & ld_vCount_uid446_zCount_uid201_rrx_uid34_fpSinCosXTest_q_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_d_q & reg_vCount_uid452_zCount_uid201_rrx_uid34_fpSinCosXTest_0_to_r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_2_q & vCount_uid458_zCount_uid201_rrx_uid34_fpSinCosXTest_q & vCount_uid464_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
--cstBiasM1_uid23_fpSinCosXTest(CONSTANT,22)
cstBiasM1_uid23_fpSinCosXTest_q <= "01111110";
--expCompOutExt_uid204_rrx_uid34_fpSinCosXTest(SUB,203)@13
expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_a <= STD_LOGIC_VECTOR("0" & cstBiasM1_uid23_fpSinCosXTest_q);
expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_b <= STD_LOGIC_VECTOR("000" & r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_q);
expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_a) - UNSIGNED(expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_b));
expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_q <= expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_o(8 downto 0);
--expCompOut_uid205_rrx_uid34_fpSinCosXTest(BITSELECT,204)@13
expCompOut_uid205_rrx_uid34_fpSinCosXTest_in <= expCompOutExt_uid204_rrx_uid34_fpSinCosXTest_q(7 downto 0);
expCompOut_uid205_rrx_uid34_fpSinCosXTest_b <= expCompOut_uid205_rrx_uid34_fpSinCosXTest_in(7 downto 0);
--reg_expCompOut_uid205_rrx_uid34_fpSinCosXTest_0_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_2(REG,564)@13
reg_expCompOut_uid205_rrx_uid34_fpSinCosXTest_0_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expCompOut_uid205_rrx_uid34_fpSinCosXTest_0_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expCompOut_uid205_rrx_uid34_fpSinCosXTest_0_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_2_q <= expCompOut_uid205_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--xBranch_uid191_rrx_uid34_fpSinCosXTest(COMPARE,190)@0
xBranch_uid191_rrx_uid34_fpSinCosXTest_cin <= GND_q;
xBranch_uid191_rrx_uid34_fpSinCosXTest_a <= STD_LOGIC_VECTOR("00" & cstBiasMwShift_uid24_fpSinCosXTest_q) & '0';
xBranch_uid191_rrx_uid34_fpSinCosXTest_b <= STD_LOGIC_VECTOR("00" & expX_uid186_rrx_uid34_fpSinCosXTest_b) & xBranch_uid191_rrx_uid34_fpSinCosXTest_cin(0);
xBranch_uid191_rrx_uid34_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xBranch_uid191_rrx_uid34_fpSinCosXTest_a) - UNSIGNED(xBranch_uid191_rrx_uid34_fpSinCosXTest_b));
xBranch_uid191_rrx_uid34_fpSinCosXTest_n(0) <= not xBranch_uid191_rrx_uid34_fpSinCosXTest_o(10);
--reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1(REG,563)@0
reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q <= xBranch_uid191_rrx_uid34_fpSinCosXTest_n;
END IF;
END IF;
END PROCESS;
--ld_reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_b(DELAY,829)@1
ld_reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 13 )
PORT MAP ( xin => reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q, xout => ld_reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--finalExp_uid209_rrx_uid34_fpSinCosXTest(MUX,208)@14
finalExp_uid209_rrx_uid34_fpSinCosXTest_s <= ld_reg_xBranch_uid191_rrx_uid34_fpSinCosXTest_2_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_1_q_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_b_q;
finalExp_uid209_rrx_uid34_fpSinCosXTest: PROCESS (finalExp_uid209_rrx_uid34_fpSinCosXTest_s, en, reg_expCompOut_uid205_rrx_uid34_fpSinCosXTest_0_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_2_q, ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_q)
BEGIN
CASE finalExp_uid209_rrx_uid34_fpSinCosXTest_s IS
WHEN "0" => finalExp_uid209_rrx_uid34_fpSinCosXTest_q <= reg_expCompOut_uid205_rrx_uid34_fpSinCosXTest_0_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_2_q;
WHEN "1" => finalExp_uid209_rrx_uid34_fpSinCosXTest_q <= ld_expX_uid186_rrx_uid34_fpSinCosXTest_b_to_finalExp_uid209_rrx_uid34_fpSinCosXTest_d_replace_mem_q;
WHEN OTHERS => finalExp_uid209_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_finalExp_uid209_rrx_uid34_fpSinCosXTest_q_to_RRangeRed_uid210_rrx_uid34_fpSinCosXTest_b(DELAY,833)@14
ld_finalExp_uid209_rrx_uid34_fpSinCosXTest_q_to_RRangeRed_uid210_rrx_uid34_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => finalExp_uid209_rrx_uid34_fpSinCosXTest_q, xout => ld_finalExp_uid209_rrx_uid34_fpSinCosXTest_q_to_RRangeRed_uid210_rrx_uid34_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor(LOGICAL,1409)
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_b <= ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_q <= not (ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_a or ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_b);
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_mem_top(CONSTANT,1279)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_mem_top_q <= "01000";
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp(LOGICAL,1280)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_mem_top_q;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_q);
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_q <= "1" when ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_a = ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_b else "0";
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmpReg(REG,1281)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmpReg_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena(REG,1410)
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_nor_q = "1") THEN
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd(LOGICAL,1411)
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_a <= ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_b <= en;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_q <= ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_a and ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_b;
--ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_inputreg(DELAY,1399)
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => fracX_uid187_rrx_uid34_fpSinCosXTest_b, xout => ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt(COUNTER,1275)
-- every=1, low=0, high=8, step=1, init=1
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i = 7 THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_eq = '1') THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i - 8;
ELSE
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_i,4));
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg(REG,1276)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux(MUX,1277)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_s <= en;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux: PROCESS (ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_s, ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg_q, ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_q)
BEGIN
CASE ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_s IS
WHEN "0" => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg_q;
WHEN "1" => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem(DUALMEM,1400)
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_ia <= ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_inputreg_q;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_aa <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg_q;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_ab <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_q;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 23,
widthad_a => 4,
numwords_a => 9,
width_b => 23,
widthad_b => 4,
numwords_b => 9,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_ia
);
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_q <= ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_iq(22 downto 0);
--ZerosGB_uid206_rrx_uid34_fpSinCosXTest(CONSTANT,205)
ZerosGB_uid206_rrx_uid34_fpSinCosXTest_q <= "000000000000000000000000000000";
--fracXRExt_uid207_rrx_uid34_fpSinCosXTest(BITJOIN,206)@15
fracXRExt_uid207_rrx_uid34_fpSinCosXTest_q <= ld_fracX_uid187_rrx_uid34_fpSinCosXTest_b_to_fracXRExt_uid207_rrx_uid34_fpSinCosXTest_b_replace_mem_q & ZerosGB_uid206_rrx_uid34_fpSinCosXTest_q;
--ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor(LOGICAL,1499)
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b <= ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q <= not (ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a or ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b);
--ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena(REG,1500)
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q = "1") THEN
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd(LOGICAL,1501)
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a <= ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b <= en;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q <= ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a and ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b;
--X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,474)@9
X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= multFracBits_uid199_rrx_uid34_fpSinCosXTest_b(29 downto 0);
X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_in(29 downto 0);
--ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg(DELAY,1491)
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 30, depth => 1 )
PORT MAP ( xin => X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b, xout => ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem(DUALMEM,1492)
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia <= ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 30,
widthad_a => 1,
numwords_a => 2,
width_b => 30,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia
);
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q <= ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq(29 downto 0);
--leftShiftStage0Idx3Pad48_uid474_normMult_uid202_rrx_uid34_fpSinCosXTest(CONSTANT,473)
leftShiftStage0Idx3Pad48_uid474_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= "000000000000000000000000000000000000000000000000";
--leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,475)@13
leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= ld_X29dto0_uid475_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q & leftShiftStage0Idx3Pad48_uid474_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
--ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor(LOGICAL,1488)
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b <= ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q <= not (ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a or ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b);
--ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena(REG,1489)
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q = "1") THEN
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd(LOGICAL,1490)
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a <= ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b <= en;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q <= ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a and ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b;
--X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,471)@9
X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= multFracBits_uid199_rrx_uid34_fpSinCosXTest_b(45 downto 0);
X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_in(45 downto 0);
--ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg(DELAY,1480)
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 46, depth => 1 )
PORT MAP ( xin => X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b, xout => ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem(DUALMEM,1481)
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia <= ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 46,
widthad_a => 1,
numwords_a => 2,
width_b => 46,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia
);
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q <= ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq(45 downto 0);
--leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,472)@13
leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= ld_X45dto0_uid472_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q & zs_uid244_lzcZSin_uid66_fpSinCosXTest_q;
--ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor(LOGICAL,1477)
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b <= ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q <= not (ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_a or ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_b);
--ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena(REG,1478)
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_nor_q = "1") THEN
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd(LOGICAL,1479)
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a <= ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_sticky_ena_q;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b <= en;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q <= ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_a and ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_b;
--X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,468)@9
X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= multFracBits_uid199_rrx_uid34_fpSinCosXTest_b(61 downto 0);
X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_in(61 downto 0);
--ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg(DELAY,1469)
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 62, depth => 1 )
PORT MAP ( xin => X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b, xout => ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem(DUALMEM,1470)
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia <= ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_inputreg_q;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 62,
widthad_a => 1,
numwords_a => 2,
width_b => 62,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_ia
);
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q <= ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_iq(61 downto 0);
--leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,469)@13
leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= ld_X61dto0_uid469_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_b_replace_mem_q & zs_uid250_lzcZSin_uid66_fpSinCosXTest_q;
--ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor(LOGICAL,1510)
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_b <= ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena_q;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_q <= not (ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_a or ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_b);
--ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena(REG,1511)
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_nor_q = "1") THEN
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd(LOGICAL,1512)
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_a <= ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_sticky_ena_q;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_b <= en;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_q <= ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_a and ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_b;
--ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_inputreg(DELAY,1502)
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 78, depth => 1 )
PORT MAP ( xin => multFracBits_uid199_rrx_uid34_fpSinCosXTest_b, xout => ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem(DUALMEM,1503)
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_ia <= ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_inputreg_q;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 78,
widthad_a => 1,
numwords_a => 2,
width_b => 78,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_iq,
address_a => ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_aa,
data_a => ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_ia
);
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_reset0 <= areset;
ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_q <= ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_iq(77 downto 0);
--leftShiftStageSel5Dto4_uid477_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,476)@13
leftShiftStageSel5Dto4_uid477_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_q;
leftShiftStageSel5Dto4_uid477_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= leftShiftStageSel5Dto4_uid477_normMult_uid202_rrx_uid34_fpSinCosXTest_in(5 downto 4);
--leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest(MUX,477)@13
leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_s <= leftShiftStageSel5Dto4_uid477_normMult_uid202_rrx_uid34_fpSinCosXTest_b;
leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest: PROCESS (leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_s, en, ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_q, leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_q, leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_q, leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= ld_multFracBits_uid199_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_c_replace_mem_q;
WHEN "01" => leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= leftShiftStage0Idx1_uid470_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
WHEN "10" => leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= leftShiftStage0Idx2_uid473_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
WHEN "11" => leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= leftShiftStage0Idx3_uid476_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage065dto0_uid486_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,485)@13
LeftShiftStage065dto0_uid486_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q(65 downto 0);
LeftShiftStage065dto0_uid486_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= LeftShiftStage065dto0_uid486_normMult_uid202_rrx_uid34_fpSinCosXTest_in(65 downto 0);
--leftShiftStage0Idx3Pad12_uid219_fxpX_uid48_fpSinCosXTest(CONSTANT,218)
leftShiftStage0Idx3Pad12_uid219_fxpX_uid48_fpSinCosXTest_q <= "000000000000";
--leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,486)@13
leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= LeftShiftStage065dto0_uid486_normMult_uid202_rrx_uid34_fpSinCosXTest_b & leftShiftStage0Idx3Pad12_uid219_fxpX_uid48_fpSinCosXTest_q;
--reg_leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_5(REG,560)@13
reg_leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_5_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_5_q <= leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage069dto0_uid483_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,482)@13
LeftShiftStage069dto0_uid483_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q(69 downto 0);
LeftShiftStage069dto0_uid483_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= LeftShiftStage069dto0_uid483_normMult_uid202_rrx_uid34_fpSinCosXTest_in(69 downto 0);
--leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,483)@13
leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= LeftShiftStage069dto0_uid483_normMult_uid202_rrx_uid34_fpSinCosXTest_b & cstAllZWE_uid8_fpSinCosXTest_q;
--reg_leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_4(REG,559)@13
reg_leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_4_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_4_q <= leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage073dto0_uid480_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,479)@13
LeftShiftStage073dto0_uid480_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q(73 downto 0);
LeftShiftStage073dto0_uid480_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= LeftShiftStage073dto0_uid480_normMult_uid202_rrx_uid34_fpSinCosXTest_in(73 downto 0);
--leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,480)@13
leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= LeftShiftStage073dto0_uid480_normMult_uid202_rrx_uid34_fpSinCosXTest_b & leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q;
--reg_leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_3(REG,558)@13
reg_leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_3_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_3_q <= leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--reg_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_2(REG,557)@13
reg_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q <= leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,487)@13
leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_q(3 downto 0);
leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_in(3 downto 2);
--reg_leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_1(REG,556)@13
reg_leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q <= leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest(MUX,488)@14
leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_s <= reg_leftShiftStageSel3Dto2_uid488_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q;
leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest: PROCESS (leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_s, en, reg_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q, reg_leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_3_q, reg_leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_4_q, reg_leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_5_q)
BEGIN
CASE leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= reg_leftShiftStage0_uid478_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= reg_leftShiftStage1Idx1_uid481_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_3_q;
WHEN "10" => leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= reg_leftShiftStage1Idx2_uid484_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_4_q;
WHEN "11" => leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= reg_leftShiftStage1Idx3_uid487_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_5_q;
WHEN OTHERS => leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,496)@14
LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q(74 downto 0);
LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_in(74 downto 0);
--ld_LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_b(DELAY,1112)@14
ld_LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 75, depth => 1 )
PORT MAP ( xin => LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b, xout => ld_LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx3Pad3_uid230_fxpX_uid48_fpSinCosXTest(CONSTANT,229)
leftShiftStage1Idx3Pad3_uid230_fxpX_uid48_fpSinCosXTest_q <= "000";
--leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,497)@15
leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= ld_LeftShiftStage174dto0_uid497_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q & leftShiftStage1Idx3Pad3_uid230_fxpX_uid48_fpSinCosXTest_q;
--LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,493)@14
LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q(75 downto 0);
LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_in(75 downto 0);
--ld_LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_b(DELAY,1110)@14
ld_LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 76, depth => 1 )
PORT MAP ( xin => LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b, xout => ld_LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,494)@15
leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= ld_LeftShiftStage175dto0_uid494_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q & leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q;
--LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,490)@14
LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q(76 downto 0);
LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_in(76 downto 0);
--ld_LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_b(DELAY,1108)@14
ld_LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 77, depth => 1 )
PORT MAP ( xin => LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b, xout => ld_LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest(BITJOIN,491)@15
leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= ld_LeftShiftStage176dto0_uid491_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_b_q & GND_q;
--reg_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_2(REG,562)@14
reg_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q <= leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest(BITSELECT,498)@13
leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_in <= r_uid465_zCount_uid201_rrx_uid34_fpSinCosXTest_q(1 downto 0);
leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b <= leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_in(1 downto 0);
--ld_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_a(DELAY,1184)@13
ld_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b, xout => ld_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1(REG,561)@14
reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q <= ld_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest(MUX,499)@15
leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_s <= reg_leftShiftStageSel1Dto0_uid499_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_1_q;
leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest: PROCESS (leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_s, en, reg_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q, leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_q, leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_q, leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= reg_leftShiftStage1_uid489_normMult_uid202_rrx_uid34_fpSinCosXTest_0_to_leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= leftShiftStage2Idx1_uid492_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
WHEN "10" => leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= leftShiftStage2Idx2_uid495_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
WHEN "11" => leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= leftShiftStage2Idx3_uid498_normMult_uid202_rrx_uid34_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracCompOut_uid203_rrx_uid34_fpSinCosXTest(BITSELECT,202)@15
fracCompOut_uid203_rrx_uid34_fpSinCosXTest_in <= leftShiftStage2_uid500_normMult_uid202_rrx_uid34_fpSinCosXTest_q(76 downto 0);
fracCompOut_uid203_rrx_uid34_fpSinCosXTest_b <= fracCompOut_uid203_rrx_uid34_fpSinCosXTest_in(76 downto 24);
--ld_xBranch_uid191_rrx_uid34_fpSinCosXTest_n_to_finalFrac_uid208_rrx_uid34_fpSinCosXTest_b(DELAY,826)@0
ld_xBranch_uid191_rrx_uid34_fpSinCosXTest_n_to_finalFrac_uid208_rrx_uid34_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 15 )
PORT MAP ( xin => xBranch_uid191_rrx_uid34_fpSinCosXTest_n, xout => ld_xBranch_uid191_rrx_uid34_fpSinCosXTest_n_to_finalFrac_uid208_rrx_uid34_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--finalFrac_uid208_rrx_uid34_fpSinCosXTest(MUX,207)@15
finalFrac_uid208_rrx_uid34_fpSinCosXTest_s <= ld_xBranch_uid191_rrx_uid34_fpSinCosXTest_n_to_finalFrac_uid208_rrx_uid34_fpSinCosXTest_b_q;
finalFrac_uid208_rrx_uid34_fpSinCosXTest: PROCESS (finalFrac_uid208_rrx_uid34_fpSinCosXTest_s, en, fracCompOut_uid203_rrx_uid34_fpSinCosXTest_b, fracXRExt_uid207_rrx_uid34_fpSinCosXTest_q)
BEGIN
CASE finalFrac_uid208_rrx_uid34_fpSinCosXTest_s IS
WHEN "0" => finalFrac_uid208_rrx_uid34_fpSinCosXTest_q <= fracCompOut_uid203_rrx_uid34_fpSinCosXTest_b;
WHEN "1" => finalFrac_uid208_rrx_uid34_fpSinCosXTest_q <= fracXRExt_uid207_rrx_uid34_fpSinCosXTest_q;
WHEN OTHERS => finalFrac_uid208_rrx_uid34_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--RRangeRed_uid210_rrx_uid34_fpSinCosXTest(BITJOIN,209)@15
RRangeRed_uid210_rrx_uid34_fpSinCosXTest_q <= GND_q & ld_finalExp_uid209_rrx_uid34_fpSinCosXTest_q_to_RRangeRed_uid210_rrx_uid34_fpSinCosXTest_b_q & finalFrac_uid208_rrx_uid34_fpSinCosXTest_q;
--expXRR_uid38_fpSinCosXTest(BITSELECT,37)@15
expXRR_uid38_fpSinCosXTest_in <= RRangeRed_uid210_rrx_uid34_fpSinCosXTest_q(60 downto 0);
expXRR_uid38_fpSinCosXTest_b <= expXRR_uid38_fpSinCosXTest_in(60 downto 53);
--reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1(REG,565)@15
reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q <= expXRR_uid38_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--cstBiasMwShiftM2_uid26_fpSinCosXTest(CONSTANT,25)
cstBiasMwShiftM2_uid26_fpSinCosXTest_q <= "01110000";
--cosXIsOneXRR_uid42_fpSinCosXTest(COMPARE,41)@16
cosXIsOneXRR_uid42_fpSinCosXTest_cin <= GND_q;
cosXIsOneXRR_uid42_fpSinCosXTest_a <= STD_LOGIC_VECTOR('0' & "00" & cstBiasMwShiftM2_uid26_fpSinCosXTest_q) & '0';
cosXIsOneXRR_uid42_fpSinCosXTest_b <= STD_LOGIC_VECTOR((10 downto 8 => reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q(7)) & reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q) & cosXIsOneXRR_uid42_fpSinCosXTest_cin(0);
cosXIsOneXRR_uid42_fpSinCosXTest_o <= STD_LOGIC_VECTOR(SIGNED(cosXIsOneXRR_uid42_fpSinCosXTest_a) - SIGNED(cosXIsOneXRR_uid42_fpSinCosXTest_b));
cosXIsOneXRR_uid42_fpSinCosXTest_n(0) <= not cosXIsOneXRR_uid42_fpSinCosXTest_o(11);
--exp_uid9_fpSinCosXTest(BITSELECT,8)@0
exp_uid9_fpSinCosXTest_in <= a(30 downto 0);
exp_uid9_fpSinCosXTest_b <= exp_uid9_fpSinCosXTest_in(30 downto 23);
--sinXIsX_uid40_fpSinCosXTest(COMPARE,39)@0
sinXIsX_uid40_fpSinCosXTest_cin <= GND_q;
sinXIsX_uid40_fpSinCosXTest_a <= STD_LOGIC_VECTOR("00" & cstBiasMwShift_uid24_fpSinCosXTest_q) & '0';
sinXIsX_uid40_fpSinCosXTest_b <= STD_LOGIC_VECTOR("00" & exp_uid9_fpSinCosXTest_b) & sinXIsX_uid40_fpSinCosXTest_cin(0);
sinXIsX_uid40_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(sinXIsX_uid40_fpSinCosXTest_a) - UNSIGNED(sinXIsX_uid40_fpSinCosXTest_b));
sinXIsX_uid40_fpSinCosXTest_n(0) <= not sinXIsX_uid40_fpSinCosXTest_o(10);
--ld_sinXIsX_uid40_fpSinCosXTest_n_to_cosXONe_uid148_fpSinCosXTest_a(DELAY,789)@0
ld_sinXIsX_uid40_fpSinCosXTest_n_to_cosXONe_uid148_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 16 )
PORT MAP ( xin => sinXIsX_uid40_fpSinCosXTest_n, xout => ld_sinXIsX_uid40_fpSinCosXTest_n_to_cosXONe_uid148_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--cosXONe_uid148_fpSinCosXTest(LOGICAL,147)@16
cosXONe_uid148_fpSinCosXTest_a <= ld_sinXIsX_uid40_fpSinCosXTest_n_to_cosXONe_uid148_fpSinCosXTest_a_q;
cosXONe_uid148_fpSinCosXTest_b <= cosXIsOneXRR_uid42_fpSinCosXTest_n;
cosXONe_uid148_fpSinCosXTest_q <= cosXONe_uid148_fpSinCosXTest_a or cosXONe_uid148_fpSinCosXTest_b;
--ld_cosXONe_uid148_fpSinCosXTest_q_to_InvCosXONe_uid149_fpSinCosXTest_a(DELAY,791)@16
ld_cosXONe_uid148_fpSinCosXTest_q_to_InvCosXONe_uid149_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => cosXONe_uid148_fpSinCosXTest_q, xout => ld_cosXONe_uid148_fpSinCosXTest_q_to_InvCosXONe_uid149_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--VCC(CONSTANT,1)
VCC_q <= "1";
--InvCosXONe_uid149_fpSinCosXTest(LOGICAL,148)@20
InvCosXONe_uid149_fpSinCosXTest_a <= ld_cosXONe_uid148_fpSinCosXTest_q_to_InvCosXONe_uid149_fpSinCosXTest_a_q;
InvCosXONe_uid149_fpSinCosXTest_q <= not InvCosXONe_uid149_fpSinCosXTest_a;
--cstZwShiftP1_uid27_fpSinCosXTest(CONSTANT,26)
cstZwShiftP1_uid27_fpSinCosXTest_q <= "00000000000000";
--fracXRR_uid39_fpSinCosXTest(BITSELECT,38)@15
fracXRR_uid39_fpSinCosXTest_in <= RRangeRed_uid210_rrx_uid34_fpSinCosXTest_q(52 downto 0);
fracXRR_uid39_fpSinCosXTest_b <= fracXRR_uid39_fpSinCosXTest_in(52 downto 0);
--ld_fracXRR_uid39_fpSinCosXTest_b_to_oFracXRR_uid43_uid43_fpSinCosXTest_a(DELAY,668)@15
ld_fracXRR_uid39_fpSinCosXTest_b_to_oFracXRR_uid43_uid43_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 53, depth => 1 )
PORT MAP ( xin => fracXRR_uid39_fpSinCosXTest_b, xout => ld_fracXRR_uid39_fpSinCosXTest_b_to_oFracXRR_uid43_uid43_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--oFracXRR_uid43_uid43_fpSinCosXTest(BITJOIN,42)@16
oFracXRR_uid43_uid43_fpSinCosXTest_q <= VCC_q & ld_fracXRR_uid39_fpSinCosXTest_b_to_oFracXRR_uid43_uid43_fpSinCosXTest_a_q;
--extendedFracX_uid47_fpSinCosXTest(BITJOIN,46)@16
extendedFracX_uid47_fpSinCosXTest_q <= cstZwShiftP1_uid27_fpSinCosXTest_q & oFracXRR_uid43_uid43_fpSinCosXTest_q;
--X55dto0_uid220_fxpX_uid48_fpSinCosXTest(BITSELECT,219)@16
X55dto0_uid220_fxpX_uid48_fpSinCosXTest_in <= extendedFracX_uid47_fpSinCosXTest_q(55 downto 0);
X55dto0_uid220_fxpX_uid48_fpSinCosXTest_b <= X55dto0_uid220_fxpX_uid48_fpSinCosXTest_in(55 downto 0);
--leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest(BITJOIN,220)@16
leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_q <= X55dto0_uid220_fxpX_uid48_fpSinCosXTest_b & leftShiftStage0Idx3Pad12_uid219_fxpX_uid48_fpSinCosXTest_q;
--reg_leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_5(REG,571)@16
reg_leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_5_q <= "00000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_5_q <= leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--X59dto0_uid217_fxpX_uid48_fpSinCosXTest(BITSELECT,216)@16
X59dto0_uid217_fxpX_uid48_fpSinCosXTest_in <= extendedFracX_uid47_fpSinCosXTest_q(59 downto 0);
X59dto0_uid217_fxpX_uid48_fpSinCosXTest_b <= X59dto0_uid217_fxpX_uid48_fpSinCosXTest_in(59 downto 0);
--leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest(BITJOIN,217)@16
leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_q <= X59dto0_uid217_fxpX_uid48_fpSinCosXTest_b & cstAllZWE_uid8_fpSinCosXTest_q;
--reg_leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_4(REG,570)@16
reg_leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_4_q <= "00000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_4_q <= leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--X63dto0_uid214_fxpX_uid48_fpSinCosXTest(BITSELECT,213)@16
X63dto0_uid214_fxpX_uid48_fpSinCosXTest_in <= extendedFracX_uid47_fpSinCosXTest_q(63 downto 0);
X63dto0_uid214_fxpX_uid48_fpSinCosXTest_b <= X63dto0_uid214_fxpX_uid48_fpSinCosXTest_in(63 downto 0);
--leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest(BITJOIN,214)@16
leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_q <= X63dto0_uid214_fxpX_uid48_fpSinCosXTest_b & leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q;
--reg_leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_3(REG,569)@16
reg_leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_3_q <= "00000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_3_q <= leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--reg_extendedFracX_uid47_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_2(REG,568)@16
reg_extendedFracX_uid47_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_extendedFracX_uid47_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_extendedFracX_uid47_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_2_q <= extendedFracX_uid47_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--fxpXShiftValExt_uid45_fpSinCosXTest(SUB,44)@16
fxpXShiftValExt_uid45_fpSinCosXTest_a <= STD_LOGIC_VECTOR((10 downto 8 => reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q(7)) & reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q);
fxpXShiftValExt_uid45_fpSinCosXTest_b <= STD_LOGIC_VECTOR('0' & "00" & cstBiasMwShiftM2_uid26_fpSinCosXTest_q);
fxpXShiftValExt_uid45_fpSinCosXTest_o <= STD_LOGIC_VECTOR(SIGNED(fxpXShiftValExt_uid45_fpSinCosXTest_a) - SIGNED(fxpXShiftValExt_uid45_fpSinCosXTest_b));
fxpXShiftValExt_uid45_fpSinCosXTest_q <= fxpXShiftValExt_uid45_fpSinCosXTest_o(9 downto 0);
--fxpXShiftVal_uid46_fpSinCosXTest(BITSELECT,45)@16
fxpXShiftVal_uid46_fpSinCosXTest_in <= fxpXShiftValExt_uid45_fpSinCosXTest_q(3 downto 0);
fxpXShiftVal_uid46_fpSinCosXTest_b <= fxpXShiftVal_uid46_fpSinCosXTest_in(3 downto 0);
--leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest(BITSELECT,221)@16
leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_in <= fxpXShiftVal_uid46_fpSinCosXTest_b;
leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_b <= leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_in(3 downto 2);
--reg_leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_1(REG,567)@16
reg_leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_1_q <= leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest(MUX,222)@17
leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_s <= reg_leftShiftStageSel3Dto2_uid222_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_1_q;
leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest: PROCESS (leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_s, en, reg_extendedFracX_uid47_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_2_q, reg_leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_3_q, reg_leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_4_q, reg_leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_5_q)
BEGIN
CASE leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q <= reg_extendedFracX_uid47_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q <= reg_leftShiftStage0Idx1_uid215_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_3_q;
WHEN "10" => leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q <= reg_leftShiftStage0Idx2_uid218_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_4_q;
WHEN "11" => leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q <= reg_leftShiftStage0Idx3_uid221_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_5_q;
WHEN OTHERS => leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest(BITSELECT,230)@17
LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_in <= leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q(64 downto 0);
LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b <= LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_in(64 downto 0);
--ld_LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_b(DELAY,851)@17
ld_LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 65, depth => 1 )
PORT MAP ( xin => LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b, xout => ld_LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest(BITJOIN,231)@18
leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_q <= ld_LeftShiftStage064dto0_uid231_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_b_q & leftShiftStage1Idx3Pad3_uid230_fxpX_uid48_fpSinCosXTest_q;
--LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest(BITSELECT,227)@17
LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_in <= leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q(65 downto 0);
LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b <= LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_in(65 downto 0);
--ld_LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_b(DELAY,849)@17
ld_LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 66, depth => 1 )
PORT MAP ( xin => LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b, xout => ld_LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest(BITJOIN,228)@18
leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_q <= ld_LeftShiftStage065dto0_uid228_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_b_q & leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q;
--LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest(BITSELECT,224)@17
LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_in <= leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q(66 downto 0);
LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b <= LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_in(66 downto 0);
--ld_LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_b(DELAY,847)@17
ld_LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 67, depth => 1 )
PORT MAP ( xin => LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b, xout => ld_LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest(BITJOIN,225)@18
leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_q <= ld_LeftShiftStage066dto0_uid225_fxpX_uid48_fpSinCosXTest_b_to_leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_b_q & GND_q;
--reg_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_2(REG,573)@17
reg_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_2_q <= leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest(BITSELECT,232)@16
leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_in <= fxpXShiftVal_uid46_fpSinCosXTest_b(1 downto 0);
leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b <= leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_in(1 downto 0);
--ld_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_a(DELAY,1195)@16
ld_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b, xout => ld_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1(REG,572)@17
reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_q <= ld_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_b_to_reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest(MUX,233)@18
leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_s <= reg_leftShiftStageSel1Dto0_uid233_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_1_q;
leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest: PROCESS (leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_s, en, reg_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_2_q, leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_q, leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_q, leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q <= reg_leftShiftStage0_uid223_fxpX_uid48_fpSinCosXTest_0_to_leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q <= leftShiftStage1Idx1_uid226_fxpX_uid48_fpSinCosXTest_q;
WHEN "10" => leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q <= leftShiftStage1Idx2_uid229_fxpX_uid48_fpSinCosXTest_q;
WHEN "11" => leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q <= leftShiftStage1Idx3_uid232_fxpX_uid48_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--y_uid50_fpSinCosXTest(BITSELECT,49)@18
y_uid50_fpSinCosXTest_in <= leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q(66 downto 0);
y_uid50_fpSinCosXTest_b <= y_uid50_fpSinCosXTest_in(66 downto 1);
--ld_y_uid50_fpSinCosXTest_b_to_cmpYToOneMinusY_uid57_fpSinCosXTest_b(DELAY,680)@18
ld_y_uid50_fpSinCosXTest_b_to_cmpYToOneMinusY_uid57_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 66, depth => 2 )
PORT MAP ( xin => y_uid50_fpSinCosXTest_b, xout => ld_y_uid50_fpSinCosXTest_b_to_cmpYToOneMinusY_uid57_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1(REG,575)@18
reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1_q <= y_uid50_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--pad_one_uid55_fpSinCosXTest(BITJOIN,54)@18
pad_one_uid55_fpSinCosXTest_q <= VCC_q & STD_LOGIC_VECTOR((65 downto 1 => GND_q(0)) & GND_q);
--reg_pad_one_uid55_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_0(REG,574)@18
reg_pad_one_uid55_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_one_uid55_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_0_q <= "0000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_one_uid55_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_0_q <= pad_one_uid55_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--oneMinusY_uid55_fpSinCosXTest(SUB,55)@19
oneMinusY_uid55_fpSinCosXTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_one_uid55_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_0_q);
oneMinusY_uid55_fpSinCosXTest_b <= STD_LOGIC_VECTOR("00" & reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1_q);
oneMinusY_uid55_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oneMinusY_uid55_fpSinCosXTest_a) - UNSIGNED(oneMinusY_uid55_fpSinCosXTest_b));
oneMinusY_uid55_fpSinCosXTest_q <= oneMinusY_uid55_fpSinCosXTest_o(67 downto 0);
--reg_oneMinusY_uid55_fpSinCosXTest_0_to_cmpYToOneMinusY_uid57_fpSinCosXTest_0(REG,576)@19
reg_oneMinusY_uid55_fpSinCosXTest_0_to_cmpYToOneMinusY_uid57_fpSinCosXTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oneMinusY_uid55_fpSinCosXTest_0_to_cmpYToOneMinusY_uid57_fpSinCosXTest_0_q <= "00000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oneMinusY_uid55_fpSinCosXTest_0_to_cmpYToOneMinusY_uid57_fpSinCosXTest_0_q <= oneMinusY_uid55_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--cmpYToOneMinusY_uid57_fpSinCosXTest(COMPARE,56)@20
cmpYToOneMinusY_uid57_fpSinCosXTest_cin <= GND_q;
cmpYToOneMinusY_uid57_fpSinCosXTest_a <= STD_LOGIC_VECTOR("00" & reg_oneMinusY_uid55_fpSinCosXTest_0_to_cmpYToOneMinusY_uid57_fpSinCosXTest_0_q) & '0';
cmpYToOneMinusY_uid57_fpSinCosXTest_b <= STD_LOGIC_VECTOR("0000" & ld_y_uid50_fpSinCosXTest_b_to_cmpYToOneMinusY_uid57_fpSinCosXTest_b_q) & cmpYToOneMinusY_uid57_fpSinCosXTest_cin(0);
cmpYToOneMinusY_uid57_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(cmpYToOneMinusY_uid57_fpSinCosXTest_a) - UNSIGNED(cmpYToOneMinusY_uid57_fpSinCosXTest_b));
cmpYToOneMinusY_uid57_fpSinCosXTest_c(0) <= cmpYToOneMinusY_uid57_fpSinCosXTest_o(70);
--InvCmpYToOneMinusY_uid61_fpSinCosXTest(LOGICAL,60)@20
InvCmpYToOneMinusY_uid61_fpSinCosXTest_a <= cmpYToOneMinusY_uid57_fpSinCosXTest_c;
InvCmpYToOneMinusY_uid61_fpSinCosXTest_q <= not InvCmpYToOneMinusY_uid61_fpSinCosXTest_a;
--intXParity_uid49_fpSinCosXTest(BITSELECT,48)@18
intXParity_uid49_fpSinCosXTest_in <= leftShiftStage1_uid234_fxpX_uid48_fpSinCosXTest_q;
intXParity_uid49_fpSinCosXTest_b <= intXParity_uid49_fpSinCosXTest_in(67 downto 67);
--ld_intXParity_uid49_fpSinCosXTest_b_to_signRCond2_uid152_fpSinCosXTest_b(DELAY,794)@18
ld_intXParity_uid49_fpSinCosXTest_b_to_signRCond2_uid152_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => intXParity_uid49_fpSinCosXTest_b, xout => ld_intXParity_uid49_fpSinCosXTest_b_to_signRCond2_uid152_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--yIsZero_uid51_fpSinCosXTest(LOGICAL,50)@19
yIsZero_uid51_fpSinCosXTest_a <= reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1_q;
yIsZero_uid51_fpSinCosXTest_b <= STD_LOGIC_VECTOR("00000000000000000000000000000000000000000000000000000000000000000" & GND_q);
yIsZero_uid51_fpSinCosXTest_q <= "1" when yIsZero_uid51_fpSinCosXTest_a = yIsZero_uid51_fpSinCosXTest_b else "0";
--ld_yIsZero_uid51_fpSinCosXTest_q_to_InvYIsZero_uid151_fpSinCosXTest_a(DELAY,792)@19
ld_yIsZero_uid51_fpSinCosXTest_q_to_InvYIsZero_uid151_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => yIsZero_uid51_fpSinCosXTest_q, xout => ld_yIsZero_uid51_fpSinCosXTest_q_to_InvYIsZero_uid151_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvYIsZero_uid151_fpSinCosXTest(LOGICAL,150)@20
InvYIsZero_uid151_fpSinCosXTest_a <= ld_yIsZero_uid51_fpSinCosXTest_q_to_InvYIsZero_uid151_fpSinCosXTest_a_q;
InvYIsZero_uid151_fpSinCosXTest_q <= not InvYIsZero_uid151_fpSinCosXTest_a;
--signRCond2_uid152_fpSinCosXTest(LOGICAL,151)@20
signRCond2_uid152_fpSinCosXTest_a <= InvYIsZero_uid151_fpSinCosXTest_q;
signRCond2_uid152_fpSinCosXTest_b <= ld_intXParity_uid49_fpSinCosXTest_b_to_signRCond2_uid152_fpSinCosXTest_b_q;
signRCond2_uid152_fpSinCosXTest_c <= InvCmpYToOneMinusY_uid61_fpSinCosXTest_q;
signRCond2_uid152_fpSinCosXTest_d <= InvCosXONe_uid149_fpSinCosXTest_q;
signRCond2_uid152_fpSinCosXTest_q_i <= signRCond2_uid152_fpSinCosXTest_a and signRCond2_uid152_fpSinCosXTest_b and signRCond2_uid152_fpSinCosXTest_c and signRCond2_uid152_fpSinCosXTest_d;
signRCond2_uid152_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => signRCond2_uid152_fpSinCosXTest_q, xin => signRCond2_uid152_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--InvIntXParity_uid155_fpSinCosXTest(LOGICAL,154)@20
InvIntXParity_uid155_fpSinCosXTest_a <= ld_intXParity_uid49_fpSinCosXTest_b_to_signRCond2_uid152_fpSinCosXTest_b_q;
InvIntXParity_uid155_fpSinCosXTest_q <= not InvIntXParity_uid155_fpSinCosXTest_a;
--signRCond1_uid157_fpSinCosXTest(LOGICAL,156)@20
signRCond1_uid157_fpSinCosXTest_a <= InvYIsZero_uid151_fpSinCosXTest_q;
signRCond1_uid157_fpSinCosXTest_b <= InvIntXParity_uid155_fpSinCosXTest_q;
signRCond1_uid157_fpSinCosXTest_c <= cmpYToOneMinusY_uid57_fpSinCosXTest_c;
signRCond1_uid157_fpSinCosXTest_d <= InvCosXONe_uid149_fpSinCosXTest_q;
signRCond1_uid157_fpSinCosXTest_q_i <= signRCond1_uid157_fpSinCosXTest_a and signRCond1_uid157_fpSinCosXTest_b and signRCond1_uid157_fpSinCosXTest_c and signRCond1_uid157_fpSinCosXTest_d;
signRCond1_uid157_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => signRCond1_uid157_fpSinCosXTest_q, xin => signRCond1_uid157_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--signRCos_uid158_fpSinCosXTest(LOGICAL,157)@21
signRCos_uid158_fpSinCosXTest_a <= signRCond1_uid157_fpSinCosXTest_q;
signRCos_uid158_fpSinCosXTest_b <= signRCond2_uid152_fpSinCosXTest_q;
signRCos_uid158_fpSinCosXTest_q <= signRCos_uid158_fpSinCosXTest_a or signRCos_uid158_fpSinCosXTest_b;
--cstAllZWF_uid7_fpSinCosXTest(CONSTANT,6)
cstAllZWF_uid7_fpSinCosXTest_q <= "00000000000000000000000";
--frac_uid13_fpSinCosXTest(BITSELECT,12)@0
frac_uid13_fpSinCosXTest_in <= a(22 downto 0);
frac_uid13_fpSinCosXTest_b <= frac_uid13_fpSinCosXTest_in(22 downto 0);
--fracXIsZero_uid14_fpSinCosXTest(LOGICAL,13)@0
fracXIsZero_uid14_fpSinCosXTest_a <= frac_uid13_fpSinCosXTest_b;
fracXIsZero_uid14_fpSinCosXTest_b <= cstAllZWF_uid7_fpSinCosXTest_q;
fracXIsZero_uid14_fpSinCosXTest_q <= "1" when fracXIsZero_uid14_fpSinCosXTest_a = fracXIsZero_uid14_fpSinCosXTest_b else "0";
--cstAllOWE_uid6_fpSinCosXTest(CONSTANT,5)
cstAllOWE_uid6_fpSinCosXTest_q <= "11111111";
--expXIsMax_uid12_fpSinCosXTest(LOGICAL,11)@0
expXIsMax_uid12_fpSinCosXTest_a <= exp_uid9_fpSinCosXTest_b;
expXIsMax_uid12_fpSinCosXTest_b <= cstAllOWE_uid6_fpSinCosXTest_q;
expXIsMax_uid12_fpSinCosXTest_q <= "1" when expXIsMax_uid12_fpSinCosXTest_a = expXIsMax_uid12_fpSinCosXTest_b else "0";
--exc_I_uid15_fpSinCosXTest(LOGICAL,14)@0
exc_I_uid15_fpSinCosXTest_a <= expXIsMax_uid12_fpSinCosXTest_q;
exc_I_uid15_fpSinCosXTest_b <= fracXIsZero_uid14_fpSinCosXTest_q;
exc_I_uid15_fpSinCosXTest_q <= exc_I_uid15_fpSinCosXTest_a and exc_I_uid15_fpSinCosXTest_b;
--ld_exc_I_uid15_fpSinCosXTest_q_to_InvExc_I_uid131_fpSinCosXTest_a(DELAY,762)@0
ld_exc_I_uid15_fpSinCosXTest_q_to_InvExc_I_uid131_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 20 )
PORT MAP ( xin => exc_I_uid15_fpSinCosXTest_q, xout => ld_exc_I_uid15_fpSinCosXTest_q_to_InvExc_I_uid131_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvExc_I_uid131_fpSinCosXTest(LOGICAL,130)@20
InvExc_I_uid131_fpSinCosXTest_a <= ld_exc_I_uid15_fpSinCosXTest_q_to_InvExc_I_uid131_fpSinCosXTest_a_q;
InvExc_I_uid131_fpSinCosXTest_q <= not InvExc_I_uid131_fpSinCosXTest_a;
--reg_InvExc_I_uid131_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_2(REG,649)@20
reg_InvExc_I_uid131_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_InvExc_I_uid131_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_InvExc_I_uid131_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_2_q <= InvExc_I_uid131_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--InvFracXIsZero_uid16_fpSinCosXTest(LOGICAL,15)@0
InvFracXIsZero_uid16_fpSinCosXTest_a <= fracXIsZero_uid14_fpSinCosXTest_q;
InvFracXIsZero_uid16_fpSinCosXTest_q <= not InvFracXIsZero_uid16_fpSinCosXTest_a;
--exc_N_uid17_fpSinCosXTest(LOGICAL,16)@0
exc_N_uid17_fpSinCosXTest_a <= expXIsMax_uid12_fpSinCosXTest_q;
exc_N_uid17_fpSinCosXTest_b <= InvFracXIsZero_uid16_fpSinCosXTest_q;
exc_N_uid17_fpSinCosXTest_q <= exc_N_uid17_fpSinCosXTest_a and exc_N_uid17_fpSinCosXTest_b;
--ld_exc_N_uid17_fpSinCosXTest_q_to_InvExc_N_uid132_fpSinCosXTest_a(DELAY,763)@0
ld_exc_N_uid17_fpSinCosXTest_q_to_InvExc_N_uid132_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 20 )
PORT MAP ( xin => exc_N_uid17_fpSinCosXTest_q, xout => ld_exc_N_uid17_fpSinCosXTest_q_to_InvExc_N_uid132_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvExc_N_uid132_fpSinCosXTest(LOGICAL,131)@20
InvExc_N_uid132_fpSinCosXTest_a <= ld_exc_N_uid17_fpSinCosXTest_q_to_InvExc_N_uid132_fpSinCosXTest_a_q;
InvExc_N_uid132_fpSinCosXTest_q <= not InvExc_N_uid132_fpSinCosXTest_a;
--reg_InvExc_N_uid132_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_1(REG,648)@20
reg_InvExc_N_uid132_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_InvExc_N_uid132_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_InvExc_N_uid132_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_1_q <= InvExc_N_uid132_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--signRCosFull_uid161_fpSinCosXTest(LOGICAL,160)@21
signRCosFull_uid161_fpSinCosXTest_a <= reg_InvExc_N_uid132_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_1_q;
signRCosFull_uid161_fpSinCosXTest_b <= reg_InvExc_I_uid131_fpSinCosXTest_0_to_signRCosFull_uid161_fpSinCosXTest_2_q;
signRCosFull_uid161_fpSinCosXTest_c <= signRCos_uid158_fpSinCosXTest_q;
signRCosFull_uid161_fpSinCosXTest_q <= signRCosFull_uid161_fpSinCosXTest_a and signRCosFull_uid161_fpSinCosXTest_b and signRCosFull_uid161_fpSinCosXTest_c;
--ld_signRCosFull_uid161_fpSinCosXTest_q_to_fpCos_uid162_fpSinCosXTest_c(DELAY,809)@21
ld_signRCosFull_uid161_fpSinCosXTest_q_to_fpCos_uid162_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 16 )
PORT MAP ( xin => signRCosFull_uid161_fpSinCosXTest_q, xout => ld_signRCosFull_uid161_fpSinCosXTest_q_to_fpCos_uid162_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--cstBias_uid22_fpSinCosXTest(CONSTANT,21)
cstBias_uid22_fpSinCosXTest_q <= "01111111";
--ld_oneMinusY_uid55_fpSinCosXTest_q_to_zSinOMyBottom_uid58_fpSinCosXTest_a(DELAY,681)@19
ld_oneMinusY_uid55_fpSinCosXTest_q_to_zSinOMyBottom_uid58_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 68, depth => 1 )
PORT MAP ( xin => oneMinusY_uid55_fpSinCosXTest_q, xout => ld_oneMinusY_uid55_fpSinCosXTest_q_to_zSinOMyBottom_uid58_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--zSinOMyBottom_uid58_fpSinCosXTest(BITSELECT,57)@20
zSinOMyBottom_uid58_fpSinCosXTest_in <= ld_oneMinusY_uid55_fpSinCosXTest_q_to_zSinOMyBottom_uid58_fpSinCosXTest_a_q(64 downto 0);
zSinOMyBottom_uid58_fpSinCosXTest_b <= zSinOMyBottom_uid58_fpSinCosXTest_in(64 downto 0);
--reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3(REG,579)@20
reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3_q <= zSinOMyBottom_uid58_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--zSinYBottom_uid59_fpSinCosXTest(BITSELECT,58)@20
zSinYBottom_uid59_fpSinCosXTest_in <= ld_y_uid50_fpSinCosXTest_b_to_cmpYToOneMinusY_uid57_fpSinCosXTest_b_q(64 downto 0);
zSinYBottom_uid59_fpSinCosXTest_b <= zSinYBottom_uid59_fpSinCosXTest_in(64 downto 0);
--reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2(REG,578)@20
reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2_q <= zSinYBottom_uid59_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--reg_InvCmpYToOneMinusY_uid61_fpSinCosXTest_0_to_zCos_uid64_fpSinCosXTest_1(REG,614)@20
reg_InvCmpYToOneMinusY_uid61_fpSinCosXTest_0_to_zCos_uid64_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_InvCmpYToOneMinusY_uid61_fpSinCosXTest_0_to_zCos_uid64_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_InvCmpYToOneMinusY_uid61_fpSinCosXTest_0_to_zCos_uid64_fpSinCosXTest_1_q <= InvCmpYToOneMinusY_uid61_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--zCos_uid64_fpSinCosXTest(MUX,63)@21
zCos_uid64_fpSinCosXTest_s <= reg_InvCmpYToOneMinusY_uid61_fpSinCosXTest_0_to_zCos_uid64_fpSinCosXTest_1_q;
zCos_uid64_fpSinCosXTest: PROCESS (zCos_uid64_fpSinCosXTest_s, en, reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2_q, reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3_q)
BEGIN
CASE zCos_uid64_fpSinCosXTest_s IS
WHEN "0" => zCos_uid64_fpSinCosXTest_q <= reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2_q;
WHEN "1" => zCos_uid64_fpSinCosXTest_q <= reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3_q;
WHEN OTHERS => zCos_uid64_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--addr_uid83_fpSinCosXTest(BITSELECT,82)@21
addr_uid83_fpSinCosXTest_in <= zCos_uid64_fpSinCosXTest_q;
addr_uid83_fpSinCosXTest_b <= addr_uid83_fpSinCosXTest_in(64 downto 57);
--reg_addr_uid83_fpSinCosXTest_0_to_memoryC2_uid404_tableGencosPiZ_lutmem_0(REG,630)@21
reg_addr_uid83_fpSinCosXTest_0_to_memoryC2_uid404_tableGencosPiZ_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid83_fpSinCosXTest_0_to_memoryC2_uid404_tableGencosPiZ_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid83_fpSinCosXTest_0_to_memoryC2_uid404_tableGencosPiZ_lutmem_0_q <= addr_uid83_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--memoryC2_uid404_tableGencosPiZ_lutmem(DUALMEM,532)@22
memoryC2_uid404_tableGencosPiZ_lutmem_ia <= (others => '0');
memoryC2_uid404_tableGencosPiZ_lutmem_aa <= (others => '0');
memoryC2_uid404_tableGencosPiZ_lutmem_ab <= reg_addr_uid83_fpSinCosXTest_0_to_memoryC2_uid404_tableGencosPiZ_lutmem_0_q;
memoryC2_uid404_tableGencosPiZ_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 13,
widthad_a => 8,
numwords_a => 256,
width_b => 13,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_memoryC2_uid404_tableGencosPiZ_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid404_tableGencosPiZ_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid404_tableGencosPiZ_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid404_tableGencosPiZ_lutmem_iq,
address_a => memoryC2_uid404_tableGencosPiZ_lutmem_aa,
data_a => memoryC2_uid404_tableGencosPiZ_lutmem_ia
);
memoryC2_uid404_tableGencosPiZ_lutmem_reset0 <= areset;
memoryC2_uid404_tableGencosPiZ_lutmem_q <= memoryC2_uid404_tableGencosPiZ_lutmem_iq(12 downto 0);
--reg_memoryC2_uid404_tableGencosPiZ_lutmem_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_1(REG,632)@24
reg_memoryC2_uid404_tableGencosPiZ_lutmem_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid404_tableGencosPiZ_lutmem_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_1_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid404_tableGencosPiZ_lutmem_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_1_q <= memoryC2_uid404_tableGencosPiZ_lutmem_q;
END IF;
END IF;
END PROCESS;
--zPcosPiZ_uid87_fpSinCosXTest(BITSELECT,86)@21
zPcosPiZ_uid87_fpSinCosXTest_in <= zCos_uid64_fpSinCosXTest_q(56 downto 0);
zPcosPiZ_uid87_fpSinCosXTest_b <= zPcosPiZ_uid87_fpSinCosXTest_in(56 downto 42);
--yT1_uid419_polyEvalcosPiZ(BITSELECT,418)@21
yT1_uid419_polyEvalcosPiZ_in <= zPcosPiZ_uid87_fpSinCosXTest_b;
yT1_uid419_polyEvalcosPiZ_b <= yT1_uid419_polyEvalcosPiZ_in(14 downto 2);
--reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0(REG,631)@21
reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q <= yT1_uid419_polyEvalcosPiZ_b;
END IF;
END IF;
END PROCESS;
--ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_inputreg(DELAY,1513)
ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_inputreg : dspba_delay
GENERIC MAP ( width => 13, depth => 1 )
PORT MAP ( xin => reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q, xout => ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a(DELAY,1125)@22
ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a : dspba_delay
GENERIC MAP ( width => 13, depth => 2 )
PORT MAP ( xin => ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_inputreg_q, xout => ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_q, ena => en(0), clk => clk, aclr => areset );
--prodXY_uid508_pT1_uid420_polyEvalcosPiZ(MULT,507)@25
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_pr <= signed(resize(UNSIGNED(prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a),14)) * SIGNED(prodXY_uid508_pT1_uid420_polyEvalcosPiZ_b);
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a <= (others => '0');
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_b <= (others => '0');
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a <= ld_reg_yT1_uid419_polyEvalcosPiZ_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_0_q_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_a_q;
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_b <= reg_memoryC2_uid404_tableGencosPiZ_lutmem_0_to_prodXY_uid508_pT1_uid420_polyEvalcosPiZ_1_q;
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid508_pT1_uid420_polyEvalcosPiZ_pr,26));
END IF;
END IF;
END PROCESS;
prodXY_uid508_pT1_uid420_polyEvalcosPiZ: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid508_pT1_uid420_polyEvalcosPiZ_q <= prodXY_uid508_pT1_uid420_polyEvalcosPiZ_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ(BITSELECT,508)@28
prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ_in <= prodXY_uid508_pT1_uid420_polyEvalcosPiZ_q;
prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ_b <= prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ_in(25 downto 12);
--highBBits_uid422_polyEvalcosPiZ(BITSELECT,421)@28
highBBits_uid422_polyEvalcosPiZ_in <= prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ_b;
highBBits_uid422_polyEvalcosPiZ_b <= highBBits_uid422_polyEvalcosPiZ_in(13 downto 1);
--ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_a(DELAY,1256)@21
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => addr_uid83_fpSinCosXTest_b, xout => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0(REG,633)@24
reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_q <= ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_a_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid402_tableGencosPiZ_lutmem(DUALMEM,531)@25
memoryC1_uid402_tableGencosPiZ_lutmem_ia <= (others => '0');
memoryC1_uid402_tableGencosPiZ_lutmem_aa <= (others => '0');
memoryC1_uid402_tableGencosPiZ_lutmem_ab <= reg_addr_uid83_fpSinCosXTest_0_to_memoryC1_uid402_tableGencosPiZ_lutmem_0_q;
memoryC1_uid402_tableGencosPiZ_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 21,
widthad_a => 8,
numwords_a => 256,
width_b => 21,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_memoryC1_uid402_tableGencosPiZ_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid402_tableGencosPiZ_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid402_tableGencosPiZ_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid402_tableGencosPiZ_lutmem_iq,
address_a => memoryC1_uid402_tableGencosPiZ_lutmem_aa,
data_a => memoryC1_uid402_tableGencosPiZ_lutmem_ia
);
memoryC1_uid402_tableGencosPiZ_lutmem_reset0 <= areset;
memoryC1_uid402_tableGencosPiZ_lutmem_q <= memoryC1_uid402_tableGencosPiZ_lutmem_iq(20 downto 0);
--reg_memoryC1_uid402_tableGencosPiZ_lutmem_0_to_sumAHighB_uid423_polyEvalcosPiZ_0(REG,634)@27
reg_memoryC1_uid402_tableGencosPiZ_lutmem_0_to_sumAHighB_uid423_polyEvalcosPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid402_tableGencosPiZ_lutmem_0_to_sumAHighB_uid423_polyEvalcosPiZ_0_q <= "000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid402_tableGencosPiZ_lutmem_0_to_sumAHighB_uid423_polyEvalcosPiZ_0_q <= memoryC1_uid402_tableGencosPiZ_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid423_polyEvalcosPiZ(ADD,422)@28
sumAHighB_uid423_polyEvalcosPiZ_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid402_tableGencosPiZ_lutmem_0_to_sumAHighB_uid423_polyEvalcosPiZ_0_q(20)) & reg_memoryC1_uid402_tableGencosPiZ_lutmem_0_to_sumAHighB_uid423_polyEvalcosPiZ_0_q);
sumAHighB_uid423_polyEvalcosPiZ_b <= STD_LOGIC_VECTOR((21 downto 13 => highBBits_uid422_polyEvalcosPiZ_b(12)) & highBBits_uid422_polyEvalcosPiZ_b);
sumAHighB_uid423_polyEvalcosPiZ_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid423_polyEvalcosPiZ_a) + SIGNED(sumAHighB_uid423_polyEvalcosPiZ_b));
sumAHighB_uid423_polyEvalcosPiZ_q <= sumAHighB_uid423_polyEvalcosPiZ_o(21 downto 0);
--lowRangeB_uid421_polyEvalcosPiZ(BITSELECT,420)@28
lowRangeB_uid421_polyEvalcosPiZ_in <= prodXYTruncFR_uid509_pT1_uid420_polyEvalcosPiZ_b(0 downto 0);
lowRangeB_uid421_polyEvalcosPiZ_b <= lowRangeB_uid421_polyEvalcosPiZ_in(0 downto 0);
--s1_uid421_uid424_polyEvalcosPiZ(BITJOIN,423)@28
s1_uid421_uid424_polyEvalcosPiZ_q <= sumAHighB_uid423_polyEvalcosPiZ_q & lowRangeB_uid421_polyEvalcosPiZ_b;
--reg_s1_uid421_uid424_polyEvalcosPiZ_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_1(REG,636)@28
reg_s1_uid421_uid424_polyEvalcosPiZ_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid421_uid424_polyEvalcosPiZ_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_1_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid421_uid424_polyEvalcosPiZ_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_1_q <= s1_uid421_uid424_polyEvalcosPiZ_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor(LOGICAL,1524)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_b <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena_q;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_q <= not (ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_a or ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_b);
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_mem_top(CONSTANT,1520)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_mem_top_q <= "0100";
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp(LOGICAL,1521)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_a <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_mem_top_q;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q);
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_q <= "1" when ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_a = ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_b else "0";
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg(REG,1522)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena(REG,1525)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_nor_q = "1") THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd(LOGICAL,1526)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_a <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_sticky_ena_q;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_b <= en;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_a and ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_b;
--reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0(REG,635)@21
reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q <= "000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q <= zPcosPiZ_uid87_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_inputreg(DELAY,1514)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_inputreg : dspba_delay
GENERIC MAP ( width => 15, depth => 1 )
PORT MAP ( xin => reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q, xout => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt(COUNTER,1516)
-- every=1, low=0, high=4, step=1, init=1
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i = 3 THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_eq = '1') THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i - 4;
ELSE
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_i,3));
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg(REG,1517)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux(MUX,1518)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_s <= en;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux: PROCESS (ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_s, ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q, ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_s IS
WHEN "0" => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q;
WHEN "1" => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem(DUALMEM,1515)
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_ia <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_inputreg_q;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_aa <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_ab <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 15,
widthad_a => 3,
numwords_a => 5,
width_b => 15,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_iq,
address_a => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_aa,
data_a => ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_ia
);
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_reset0 <= areset;
ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_iq(14 downto 0);
--prodXY_uid511_pT2_uid426_polyEvalcosPiZ(MULT,510)@29
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_pr <= signed(resize(UNSIGNED(prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a),16)) * SIGNED(prodXY_uid511_pT2_uid426_polyEvalcosPiZ_b);
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a <= (others => '0');
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_b <= (others => '0');
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_mem_q;
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_b <= reg_s1_uid421_uid424_polyEvalcosPiZ_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_1_q;
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid511_pT2_uid426_polyEvalcosPiZ_pr,38));
END IF;
END IF;
END PROCESS;
prodXY_uid511_pT2_uid426_polyEvalcosPiZ: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid511_pT2_uid426_polyEvalcosPiZ_q <= prodXY_uid511_pT2_uid426_polyEvalcosPiZ_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ(BITSELECT,511)@32
prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ_in <= prodXY_uid511_pT2_uid426_polyEvalcosPiZ_q;
prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ_b <= prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ_in(37 downto 14);
--highBBits_uid428_polyEvalcosPiZ(BITSELECT,427)@32
highBBits_uid428_polyEvalcosPiZ_in <= prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ_b;
highBBits_uid428_polyEvalcosPiZ_b <= highBBits_uid428_polyEvalcosPiZ_in(23 downto 2);
--ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor(LOGICAL,1577)
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_b <= ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena_q;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_q <= not (ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_a or ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_b);
--ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena(REG,1578)
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_nor_q = "1") THEN
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd(LOGICAL,1579)
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_a <= ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_sticky_ena_q;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_b <= en;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_q <= ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_a and ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_b;
--ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_inputreg(DELAY,1567)
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => addr_uid83_fpSinCosXTest_b, xout => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem(DUALMEM,1568)
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_ia <= ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_inputreg_q;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_aa <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_ab <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 5,
width_b => 8,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_iq,
address_a => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_aa,
data_a => ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_ia
);
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_reset0 <= areset;
ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_q <= ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0(REG,637)@28
reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_q <= ld_addr_uid83_fpSinCosXTest_b_to_reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid400_tableGencosPiZ_lutmem(DUALMEM,530)@29
memoryC0_uid400_tableGencosPiZ_lutmem_ia <= (others => '0');
memoryC0_uid400_tableGencosPiZ_lutmem_aa <= (others => '0');
memoryC0_uid400_tableGencosPiZ_lutmem_ab <= reg_addr_uid83_fpSinCosXTest_0_to_memoryC0_uid400_tableGencosPiZ_lutmem_0_q;
memoryC0_uid400_tableGencosPiZ_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 30,
widthad_a => 8,
numwords_a => 256,
width_b => 30,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_memoryC0_uid400_tableGencosPiZ_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid400_tableGencosPiZ_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid400_tableGencosPiZ_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid400_tableGencosPiZ_lutmem_iq,
address_a => memoryC0_uid400_tableGencosPiZ_lutmem_aa,
data_a => memoryC0_uid400_tableGencosPiZ_lutmem_ia
);
memoryC0_uid400_tableGencosPiZ_lutmem_reset0 <= areset;
memoryC0_uid400_tableGencosPiZ_lutmem_q <= memoryC0_uid400_tableGencosPiZ_lutmem_iq(29 downto 0);
--reg_memoryC0_uid400_tableGencosPiZ_lutmem_0_to_sumAHighB_uid429_polyEvalcosPiZ_0(REG,638)@31
reg_memoryC0_uid400_tableGencosPiZ_lutmem_0_to_sumAHighB_uid429_polyEvalcosPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid400_tableGencosPiZ_lutmem_0_to_sumAHighB_uid429_polyEvalcosPiZ_0_q <= "000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid400_tableGencosPiZ_lutmem_0_to_sumAHighB_uid429_polyEvalcosPiZ_0_q <= memoryC0_uid400_tableGencosPiZ_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid429_polyEvalcosPiZ(ADD,428)@32
sumAHighB_uid429_polyEvalcosPiZ_a <= STD_LOGIC_VECTOR((30 downto 30 => reg_memoryC0_uid400_tableGencosPiZ_lutmem_0_to_sumAHighB_uid429_polyEvalcosPiZ_0_q(29)) & reg_memoryC0_uid400_tableGencosPiZ_lutmem_0_to_sumAHighB_uid429_polyEvalcosPiZ_0_q);
sumAHighB_uid429_polyEvalcosPiZ_b <= STD_LOGIC_VECTOR((30 downto 22 => highBBits_uid428_polyEvalcosPiZ_b(21)) & highBBits_uid428_polyEvalcosPiZ_b);
sumAHighB_uid429_polyEvalcosPiZ_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid429_polyEvalcosPiZ_a) + SIGNED(sumAHighB_uid429_polyEvalcosPiZ_b));
sumAHighB_uid429_polyEvalcosPiZ_q <= sumAHighB_uid429_polyEvalcosPiZ_o(30 downto 0);
--lowRangeB_uid427_polyEvalcosPiZ(BITSELECT,426)@32
lowRangeB_uid427_polyEvalcosPiZ_in <= prodXYTruncFR_uid512_pT2_uid426_polyEvalcosPiZ_b(1 downto 0);
lowRangeB_uid427_polyEvalcosPiZ_b <= lowRangeB_uid427_polyEvalcosPiZ_in(1 downto 0);
--s2_uid427_uid430_polyEvalcosPiZ(BITJOIN,429)@32
s2_uid427_uid430_polyEvalcosPiZ_q <= sumAHighB_uid429_polyEvalcosPiZ_q & lowRangeB_uid427_polyEvalcosPiZ_b;
--polyEvalSigcosPiZ_uid89_fpSinCosXTest(BITSELECT,88)@32
polyEvalSigcosPiZ_uid89_fpSinCosXTest_in <= s2_uid427_uid430_polyEvalcosPiZ_q(30 downto 0);
polyEvalSigcosPiZ_uid89_fpSinCosXTest_b <= polyEvalSigcosPiZ_uid89_fpSinCosXTest_in(30 downto 5);
--reg_polyEvalSigcosPiZ_uid89_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_1(REG,640)@32
reg_polyEvalSigcosPiZ_uid89_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_polyEvalSigcosPiZ_uid89_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_1_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_polyEvalSigcosPiZ_uid89_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_1_q <= polyEvalSigcosPiZ_uid89_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor(LOGICAL,1590)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_b <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena_q;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_q <= not (ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_a or ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_b);
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_mem_top(CONSTANT,1586)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_mem_top_q <= "010";
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp(LOGICAL,1587)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_a <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_mem_top_q;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_q);
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_q <= "1" when ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_a = ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_b else "0";
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmpReg(REG,1588)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmpReg_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena(REG,1591)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_nor_q = "1") THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd(LOGICAL,1592)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_a <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_sticky_ena_q;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_b <= en;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_a and ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_b;
--LeftShiftStage263dto0_uid389_alignedZCos_uid70_fpSinCosXTest(BITSELECT,388)@27
LeftShiftStage263dto0_uid389_alignedZCos_uid70_fpSinCosXTest_in <= leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q(63 downto 0);
LeftShiftStage263dto0_uid389_alignedZCos_uid70_fpSinCosXTest_b <= LeftShiftStage263dto0_uid389_alignedZCos_uid70_fpSinCosXTest_in(63 downto 0);
--leftShiftStage3Idx1_uid390_alignedZCos_uid70_fpSinCosXTest(BITJOIN,389)@27
leftShiftStage3Idx1_uid390_alignedZCos_uid70_fpSinCosXTest_q <= LeftShiftStage263dto0_uid389_alignedZCos_uid70_fpSinCosXTest_b & GND_q;
--cstZmwFRRPwSM1_uid52_fpSinCosXTest(CONSTANT,51)
cstZmwFRRPwSM1_uid52_fpSinCosXTest_q <= "00000000000000000000000000000000000000000000000000000000000000000";
--ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor(LOGICAL,1455)
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_b <= ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena_q;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_q <= not (ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_a or ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_b);
--ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena(REG,1456)
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_nor_q = "1") THEN
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd(LOGICAL,1457)
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_a <= ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_sticky_ena_q;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_b <= en;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_q <= ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_a and ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_b;
--X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest(BITSELECT,359)@21
X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_in <= zCos_uid64_fpSinCosXTest_q(32 downto 0);
X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b <= X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_in(32 downto 0);
--ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_inputreg(DELAY,1447)
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 33, depth => 1 )
PORT MAP ( xin => X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b, xout => ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem(DUALMEM,1448)
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_ia <= ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_inputreg_q;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 33,
widthad_a => 1,
numwords_a => 2,
width_b => 33,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_ia
);
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_q <= ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_iq(32 downto 0);
--leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest(BITJOIN,360)@25
leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_q <= ld_X32dto0_uid360_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_b_replace_mem_q & zs_uid244_lzcZSin_uid66_fpSinCosXTest_q;
--ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor(LOGICAL,1466)
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_b <= ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena_q;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_q <= not (ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_a or ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_b);
--ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena(REG,1467)
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_nor_q = "1") THEN
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd(LOGICAL,1468)
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_a <= ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_sticky_ena_q;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_b <= en;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_q <= ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_a and ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_b;
--ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_inputreg(DELAY,1458)
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 65, depth => 1 )
PORT MAP ( xin => zCos_uid64_fpSinCosXTest_q, xout => ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem(DUALMEM,1459)
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_ia <= ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_inputreg_q;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 65,
widthad_a => 1,
numwords_a => 2,
width_b => 65,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_iq,
address_a => ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_aa,
data_a => ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_ia
);
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_reset0 <= areset;
ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_q <= ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_iq(64 downto 0);
--zs_uid236_lzcZSin_uid66_fpSinCosXTest(CONSTANT,235)
zs_uid236_lzcZSin_uid66_fpSinCosXTest_q <= "0000000000000000000000000000000000000000000000000000000000000000";
--rVStage_uid316_lzcZCos_uid69_fpSinCosXTest(BITSELECT,315)@21
rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_in <= zCos_uid64_fpSinCosXTest_q;
rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b <= rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_in(64 downto 1);
--vCount_uid317_lzcZCos_uid69_fpSinCosXTest(LOGICAL,316)@21
vCount_uid317_lzcZCos_uid69_fpSinCosXTest_a <= rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b;
vCount_uid317_lzcZCos_uid69_fpSinCosXTest_b <= zs_uid236_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_i <= "1" when vCount_uid317_lzcZCos_uid69_fpSinCosXTest_a = vCount_uid317_lzcZCos_uid69_fpSinCosXTest_b else "0";
vCount_uid317_lzcZCos_uid69_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q, xin => vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_g(DELAY,985)@22
ld_vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_g : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q, xout => ld_vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_g_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid319_lzcZCos_uid69_fpSinCosXTest(BITSELECT,318)@21
vStage_uid319_lzcZCos_uid69_fpSinCosXTest_in <= zCos_uid64_fpSinCosXTest_q(0 downto 0);
vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b <= vStage_uid319_lzcZCos_uid69_fpSinCosXTest_in(0 downto 0);
--ld_vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b_to_cStage_uid320_lzcZCos_uid69_fpSinCosXTest_b(DELAY,943)@21
ld_vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b_to_cStage_uid320_lzcZCos_uid69_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b, xout => ld_vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b_to_cStage_uid320_lzcZCos_uid69_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--mO_uid239_lzcZSin_uid66_fpSinCosXTest(CONSTANT,238)
mO_uid239_lzcZSin_uid66_fpSinCosXTest_q <= "111111111111111111111111111111111111111111111111111111111111111";
--cStage_uid320_lzcZCos_uid69_fpSinCosXTest(BITJOIN,319)@22
cStage_uid320_lzcZCos_uid69_fpSinCosXTest_q <= ld_vStage_uid319_lzcZCos_uid69_fpSinCosXTest_b_to_cStage_uid320_lzcZCos_uid69_fpSinCosXTest_b_q & mO_uid239_lzcZSin_uid66_fpSinCosXTest_q;
--ld_rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_c(DELAY,945)@21
ld_rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b, xout => ld_rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid322_lzcZCos_uid69_fpSinCosXTest(MUX,321)@22
vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_s <= vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q;
vStagei_uid322_lzcZCos_uid69_fpSinCosXTest: PROCESS (vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_s, en, ld_rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_c_q, cStage_uid320_lzcZCos_uid69_fpSinCosXTest_q)
BEGIN
CASE vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_q <= ld_rVStage_uid316_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_c_q;
WHEN "1" => vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_q <= cStage_uid320_lzcZCos_uid69_fpSinCosXTest_q;
WHEN OTHERS => vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid324_lzcZCos_uid69_fpSinCosXTest(BITSELECT,323)@22
rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_q;
rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b <= rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_in(63 downto 32);
--vCount_uid325_lzcZCos_uid69_fpSinCosXTest(LOGICAL,324)@22
vCount_uid325_lzcZCos_uid69_fpSinCosXTest_a <= rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b;
vCount_uid325_lzcZCos_uid69_fpSinCosXTest_b <= zs_uid244_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_i <= "1" when vCount_uid325_lzcZCos_uid69_fpSinCosXTest_a = vCount_uid325_lzcZCos_uid69_fpSinCosXTest_b else "0";
vCount_uid325_lzcZCos_uid69_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q, xin => vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_f(DELAY,984)@23
ld_vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_f : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q, xout => ld_vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_f_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid326_lzcZCos_uid69_fpSinCosXTest(BITSELECT,325)@22
vStage_uid326_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid322_lzcZCos_uid69_fpSinCosXTest_q(31 downto 0);
vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b <= vStage_uid326_lzcZCos_uid69_fpSinCosXTest_in(31 downto 0);
--ld_vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_d(DELAY,952)@22
ld_vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_d : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b, xout => ld_vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_d_q, ena => en(0), clk => clk, aclr => areset );
--ld_rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_c(DELAY,951)@22
ld_rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b, xout => ld_rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid328_lzcZCos_uid69_fpSinCosXTest(MUX,327)@23
vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_s <= vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q;
vStagei_uid328_lzcZCos_uid69_fpSinCosXTest: PROCESS (vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_s, en, ld_rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_c_q, ld_vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_d_q)
BEGIN
CASE vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_q <= ld_rVStage_uid324_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_c_q;
WHEN "1" => vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_q <= ld_vStage_uid326_lzcZCos_uid69_fpSinCosXTest_b_to_vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_d_q;
WHEN OTHERS => vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid330_lzcZCos_uid69_fpSinCosXTest(BITSELECT,329)@23
rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_q;
rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_b <= rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_in(31 downto 16);
--vCount_uid331_lzcZCos_uid69_fpSinCosXTest(LOGICAL,330)@23
vCount_uid331_lzcZCos_uid69_fpSinCosXTest_a <= rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_b;
vCount_uid331_lzcZCos_uid69_fpSinCosXTest_b <= zs_uid250_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid331_lzcZCos_uid69_fpSinCosXTest_q <= "1" when vCount_uid331_lzcZCos_uid69_fpSinCosXTest_a = vCount_uid331_lzcZCos_uid69_fpSinCosXTest_b else "0";
--reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4(REG,622)@23
reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q <= vCount_uid331_lzcZCos_uid69_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_e(DELAY,983)@24
ld_reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_e : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q, xout => ld_reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_e_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid332_lzcZCos_uid69_fpSinCosXTest(BITSELECT,331)@23
vStage_uid332_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid328_lzcZCos_uid69_fpSinCosXTest_q(15 downto 0);
vStage_uid332_lzcZCos_uid69_fpSinCosXTest_b <= vStage_uid332_lzcZCos_uid69_fpSinCosXTest_in(15 downto 0);
--vStagei_uid334_lzcZCos_uid69_fpSinCosXTest(MUX,333)@23
vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_s <= vCount_uid331_lzcZCos_uid69_fpSinCosXTest_q;
vStagei_uid334_lzcZCos_uid69_fpSinCosXTest: PROCESS (vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_s, en, rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_b, vStage_uid332_lzcZCos_uid69_fpSinCosXTest_b)
BEGIN
CASE vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_q <= rVStage_uid330_lzcZCos_uid69_fpSinCosXTest_b;
WHEN "1" => vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_q <= vStage_uid332_lzcZCos_uid69_fpSinCosXTest_b;
WHEN OTHERS => vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid336_lzcZCos_uid69_fpSinCosXTest(BITSELECT,335)@23
rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_q;
rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_b <= rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_in(15 downto 8);
--reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1(REG,617)@23
reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1_q <= rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid337_lzcZCos_uid69_fpSinCosXTest(LOGICAL,336)@24
vCount_uid337_lzcZCos_uid69_fpSinCosXTest_a <= reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1_q;
vCount_uid337_lzcZCos_uid69_fpSinCosXTest_b <= cstAllZWE_uid8_fpSinCosXTest_q;
vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q <= "1" when vCount_uid337_lzcZCos_uid69_fpSinCosXTest_a = vCount_uid337_lzcZCos_uid69_fpSinCosXTest_b else "0";
--ld_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_d(DELAY,982)@24
ld_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q, xout => ld_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_d_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid338_lzcZCos_uid69_fpSinCosXTest(BITSELECT,337)@23
vStage_uid338_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid334_lzcZCos_uid69_fpSinCosXTest_q(7 downto 0);
vStage_uid338_lzcZCos_uid69_fpSinCosXTest_b <= vStage_uid338_lzcZCos_uid69_fpSinCosXTest_in(7 downto 0);
--reg_vStage_uid338_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_3(REG,619)@23
reg_vStage_uid338_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid338_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid338_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_3_q <= vStage_uid338_lzcZCos_uid69_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid340_lzcZCos_uid69_fpSinCosXTest(MUX,339)@24
vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_s <= vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q;
vStagei_uid340_lzcZCos_uid69_fpSinCosXTest: PROCESS (vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_s, en, reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1_q, reg_vStage_uid338_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_3_q)
BEGIN
CASE vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_q <= reg_rVStage_uid336_lzcZCos_uid69_fpSinCosXTest_0_to_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_1_q;
WHEN "1" => vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_q <= reg_vStage_uid338_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_3_q;
WHEN OTHERS => vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid342_lzcZCos_uid69_fpSinCosXTest(BITSELECT,341)@24
rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_q;
rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_b <= rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_in(7 downto 4);
--vCount_uid343_lzcZCos_uid69_fpSinCosXTest(LOGICAL,342)@24
vCount_uid343_lzcZCos_uid69_fpSinCosXTest_a <= rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_b;
vCount_uid343_lzcZCos_uid69_fpSinCosXTest_b <= leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q;
vCount_uid343_lzcZCos_uid69_fpSinCosXTest_q_i <= "1" when vCount_uid343_lzcZCos_uid69_fpSinCosXTest_a = vCount_uid343_lzcZCos_uid69_fpSinCosXTest_b else "0";
vCount_uid343_lzcZCos_uid69_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid343_lzcZCos_uid69_fpSinCosXTest_q, xin => vCount_uid343_lzcZCos_uid69_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--vStage_uid344_lzcZCos_uid69_fpSinCosXTest(BITSELECT,343)@24
vStage_uid344_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid340_lzcZCos_uid69_fpSinCosXTest_q(3 downto 0);
vStage_uid344_lzcZCos_uid69_fpSinCosXTest_b <= vStage_uid344_lzcZCos_uid69_fpSinCosXTest_in(3 downto 0);
--reg_vStage_uid344_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_3(REG,621)@24
reg_vStage_uid344_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid344_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_3_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid344_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_3_q <= vStage_uid344_lzcZCos_uid69_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_2(REG,620)@24
reg_rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_2_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_2_q <= rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid346_lzcZCos_uid69_fpSinCosXTest(MUX,345)@25
vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_s <= vCount_uid343_lzcZCos_uid69_fpSinCosXTest_q;
vStagei_uid346_lzcZCos_uid69_fpSinCosXTest: PROCESS (vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_s, en, reg_rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_2_q, reg_vStage_uid344_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_3_q)
BEGIN
CASE vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_q <= reg_rVStage_uid342_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_2_q;
WHEN "1" => vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_q <= reg_vStage_uid344_lzcZCos_uid69_fpSinCosXTest_0_to_vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_3_q;
WHEN OTHERS => vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid348_lzcZCos_uid69_fpSinCosXTest(BITSELECT,347)@25
rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_q;
rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_b <= rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_in(3 downto 2);
--vCount_uid349_lzcZCos_uid69_fpSinCosXTest(LOGICAL,348)@25
vCount_uid349_lzcZCos_uid69_fpSinCosXTest_a <= rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_b;
vCount_uid349_lzcZCos_uid69_fpSinCosXTest_b <= leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q;
vCount_uid349_lzcZCos_uid69_fpSinCosXTest_q <= "1" when vCount_uid349_lzcZCos_uid69_fpSinCosXTest_a = vCount_uid349_lzcZCos_uid69_fpSinCosXTest_b else "0";
--vStage_uid350_lzcZCos_uid69_fpSinCosXTest(BITSELECT,349)@25
vStage_uid350_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid346_lzcZCos_uid69_fpSinCosXTest_q(1 downto 0);
vStage_uid350_lzcZCos_uid69_fpSinCosXTest_b <= vStage_uid350_lzcZCos_uid69_fpSinCosXTest_in(1 downto 0);
--vStagei_uid352_lzcZCos_uid69_fpSinCosXTest(MUX,351)@25
vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_s <= vCount_uid349_lzcZCos_uid69_fpSinCosXTest_q;
vStagei_uid352_lzcZCos_uid69_fpSinCosXTest: PROCESS (vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_s, en, rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_b, vStage_uid350_lzcZCos_uid69_fpSinCosXTest_b)
BEGIN
CASE vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_q <= rVStage_uid348_lzcZCos_uid69_fpSinCosXTest_b;
WHEN "1" => vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_q <= vStage_uid350_lzcZCos_uid69_fpSinCosXTest_b;
WHEN OTHERS => vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid354_lzcZCos_uid69_fpSinCosXTest(BITSELECT,353)@25
rVStage_uid354_lzcZCos_uid69_fpSinCosXTest_in <= vStagei_uid352_lzcZCos_uid69_fpSinCosXTest_q;
rVStage_uid354_lzcZCos_uid69_fpSinCosXTest_b <= rVStage_uid354_lzcZCos_uid69_fpSinCosXTest_in(1 downto 1);
--vCount_uid355_lzcZCos_uid69_fpSinCosXTest(LOGICAL,354)@25
vCount_uid355_lzcZCos_uid69_fpSinCosXTest_a <= rVStage_uid354_lzcZCos_uid69_fpSinCosXTest_b;
vCount_uid355_lzcZCos_uid69_fpSinCosXTest_b <= GND_q;
vCount_uid355_lzcZCos_uid69_fpSinCosXTest_q <= "1" when vCount_uid355_lzcZCos_uid69_fpSinCosXTest_a = vCount_uid355_lzcZCos_uid69_fpSinCosXTest_b else "0";
--r_uid356_lzcZCos_uid69_fpSinCosXTest(BITJOIN,355)@25
r_uid356_lzcZCos_uid69_fpSinCosXTest_q <= ld_vCount_uid317_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_g_q & ld_vCount_uid325_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_f_q & ld_reg_vCount_uid331_lzcZCos_uid69_fpSinCosXTest_0_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_4_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_e_q & ld_vCount_uid337_lzcZCos_uid69_fpSinCosXTest_q_to_r_uid356_lzcZCos_uid69_fpSinCosXTest_d_q & vCount_uid343_lzcZCos_uid69_fpSinCosXTest_q & vCount_uid349_lzcZCos_uid69_fpSinCosXTest_q & vCount_uid355_lzcZCos_uid69_fpSinCosXTest_q;
--leftShiftStageSel6Dto5_uid364_alignedZCos_uid70_fpSinCosXTest(BITSELECT,363)@25
leftShiftStageSel6Dto5_uid364_alignedZCos_uid70_fpSinCosXTest_in <= r_uid356_lzcZCos_uid69_fpSinCosXTest_q;
leftShiftStageSel6Dto5_uid364_alignedZCos_uid70_fpSinCosXTest_b <= leftShiftStageSel6Dto5_uid364_alignedZCos_uid70_fpSinCosXTest_in(6 downto 5);
--leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest(MUX,364)@25
leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_s <= leftShiftStageSel6Dto5_uid364_alignedZCos_uid70_fpSinCosXTest_b;
leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest: PROCESS (leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_s, en, ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_q, leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_q, cstZmwFRRPwSM1_uid52_fpSinCosXTest_q, cstZmwFRRPwSM1_uid52_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q <= ld_zCos_uid64_fpSinCosXTest_q_to_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_c_replace_mem_q;
WHEN "01" => leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q <= leftShiftStage0Idx1_uid361_alignedZCos_uid70_fpSinCosXTest_q;
WHEN "10" => leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q <= cstZmwFRRPwSM1_uid52_fpSinCosXTest_q;
WHEN "11" => leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q <= cstZmwFRRPwSM1_uid52_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage040dto0_uid373_alignedZCos_uid70_fpSinCosXTest(BITSELECT,372)@25
LeftShiftStage040dto0_uid373_alignedZCos_uid70_fpSinCosXTest_in <= leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q(40 downto 0);
LeftShiftStage040dto0_uid373_alignedZCos_uid70_fpSinCosXTest_b <= LeftShiftStage040dto0_uid373_alignedZCos_uid70_fpSinCosXTest_in(40 downto 0);
--leftShiftStage1Idx3Pad24_uid293_alignedZSin_uid67_fpSinCosXTest(CONSTANT,292)
leftShiftStage1Idx3Pad24_uid293_alignedZSin_uid67_fpSinCosXTest_q <= "000000000000000000000000";
--leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest(BITJOIN,373)@25
leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_q <= LeftShiftStage040dto0_uid373_alignedZCos_uid70_fpSinCosXTest_b & leftShiftStage1Idx3Pad24_uid293_alignedZSin_uid67_fpSinCosXTest_q;
--reg_leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_5(REG,627)@25
reg_leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_5_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_5_q <= leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage048dto0_uid370_alignedZCos_uid70_fpSinCosXTest(BITSELECT,369)@25
LeftShiftStage048dto0_uid370_alignedZCos_uid70_fpSinCosXTest_in <= leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q(48 downto 0);
LeftShiftStage048dto0_uid370_alignedZCos_uid70_fpSinCosXTest_b <= LeftShiftStage048dto0_uid370_alignedZCos_uid70_fpSinCosXTest_in(48 downto 0);
--leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest(BITJOIN,370)@25
leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_q <= LeftShiftStage048dto0_uid370_alignedZCos_uid70_fpSinCosXTest_b & zs_uid250_lzcZSin_uid66_fpSinCosXTest_q;
--reg_leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_4(REG,626)@25
reg_leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_4_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_4_q <= leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage056dto0_uid367_alignedZCos_uid70_fpSinCosXTest(BITSELECT,366)@25
LeftShiftStage056dto0_uid367_alignedZCos_uid70_fpSinCosXTest_in <= leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q(56 downto 0);
LeftShiftStage056dto0_uid367_alignedZCos_uid70_fpSinCosXTest_b <= LeftShiftStage056dto0_uid367_alignedZCos_uid70_fpSinCosXTest_in(56 downto 0);
--leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest(BITJOIN,367)@25
leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_q <= LeftShiftStage056dto0_uid367_alignedZCos_uid70_fpSinCosXTest_b & cstAllZWE_uid8_fpSinCosXTest_q;
--reg_leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_3(REG,625)@25
reg_leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_3_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_3_q <= leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--reg_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_2(REG,624)@25
reg_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_2_q <= leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest(BITSELECT,374)@25
leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_in <= r_uid356_lzcZCos_uid69_fpSinCosXTest_q(4 downto 0);
leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_b <= leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_in(4 downto 3);
--reg_leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_1(REG,623)@25
reg_leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_1_q <= leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest(MUX,375)@26
leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_s <= reg_leftShiftStageSel4Dto3_uid375_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_1_q;
leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest: PROCESS (leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_s, en, reg_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_2_q, reg_leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_3_q, reg_leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_4_q, reg_leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_5_q)
BEGIN
CASE leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q <= reg_leftShiftStage0_uid365_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q <= reg_leftShiftStage1Idx1_uid368_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_3_q;
WHEN "10" => leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q <= reg_leftShiftStage1Idx2_uid371_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_4_q;
WHEN "11" => leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q <= reg_leftShiftStage1Idx3_uid374_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_5_q;
WHEN OTHERS => leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest(BITSELECT,383)@26
LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_in <= leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q(58 downto 0);
LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b <= LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_in(58 downto 0);
--ld_LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_b(DELAY,1009)@26
ld_LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 59, depth => 1 )
PORT MAP ( xin => LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b, xout => ld_LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx3Pad6_uid304_alignedZSin_uid67_fpSinCosXTest(CONSTANT,303)
leftShiftStage2Idx3Pad6_uid304_alignedZSin_uid67_fpSinCosXTest_q <= "000000";
--leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest(BITJOIN,384)@27
leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_q <= ld_LeftShiftStage158dto0_uid384_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_b_q & leftShiftStage2Idx3Pad6_uid304_alignedZSin_uid67_fpSinCosXTest_q;
--LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest(BITSELECT,380)@26
LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_in <= leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q(60 downto 0);
LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b <= LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_in(60 downto 0);
--ld_LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_b(DELAY,1007)@26
ld_LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 61, depth => 1 )
PORT MAP ( xin => LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b, xout => ld_LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest(BITJOIN,381)@27
leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_q <= ld_LeftShiftStage160dto0_uid381_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_b_q & leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q;
--LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest(BITSELECT,377)@26
LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_in <= leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q(62 downto 0);
LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b <= LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_in(62 downto 0);
--ld_LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_b(DELAY,1005)@26
ld_LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 63, depth => 1 )
PORT MAP ( xin => LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b, xout => ld_LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest(BITJOIN,378)@27
leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_q <= ld_LeftShiftStage162dto0_uid378_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_b_q & leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q;
--reg_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_2(REG,629)@26
reg_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_2_q <= leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest(BITSELECT,385)@25
leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_in <= r_uid356_lzcZCos_uid69_fpSinCosXTest_q(2 downto 0);
leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_b <= leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_in(2 downto 1);
--reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1(REG,628)@25
reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q <= leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_b(DELAY,1011)@26
ld_reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q, xout => ld_reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest(MUX,386)@27
leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_s <= ld_reg_leftShiftStageSel2Dto1_uid386_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_1_q_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_b_q;
leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest: PROCESS (leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_s, en, reg_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_2_q, leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_q, leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_q, leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q <= reg_leftShiftStage1_uid376_alignedZCos_uid70_fpSinCosXTest_0_to_leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q <= leftShiftStage2Idx1_uid379_alignedZCos_uid70_fpSinCosXTest_q;
WHEN "10" => leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q <= leftShiftStage2Idx2_uid382_alignedZCos_uid70_fpSinCosXTest_q;
WHEN "11" => leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q <= leftShiftStage2Idx3_uid385_alignedZCos_uid70_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest(BITSELECT,390)@25
leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_in <= r_uid356_lzcZCos_uid69_fpSinCosXTest_q(0 downto 0);
leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b <= leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_in(0 downto 0);
--ld_leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_b(DELAY,1019)@25
ld_leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b, xout => ld_leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest(MUX,391)@27
leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_s <= ld_leftShiftStageSel0Dto0_uid391_alignedZCos_uid70_fpSinCosXTest_b_to_leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_b_q;
leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest: PROCESS (leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_s, en, leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q, leftShiftStage3Idx1_uid390_alignedZCos_uid70_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_s IS
WHEN "0" => leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_q <= leftShiftStage2_uid387_alignedZCos_uid70_fpSinCosXTest_q;
WHEN "1" => leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_q <= leftShiftStage3Idx1_uid390_alignedZCos_uid70_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--pCos_uid77_fpSinCosXTest(BITSELECT,76)@27
pCos_uid77_fpSinCosXTest_in <= leftShiftStage3_uid392_alignedZCos_uid70_fpSinCosXTest_q;
pCos_uid77_fpSinCosXTest_b <= pCos_uid77_fpSinCosXTest_in(64 downto 39);
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_inputreg(DELAY,1580)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 26, depth => 1 )
PORT MAP ( xin => pCos_uid77_fpSinCosXTest_b, xout => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt(COUNTER,1582)
-- every=1, low=0, high=2, step=1, init=1
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,2);
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i = 1 THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_eq = '1') THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i - 2;
ELSE
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_i,2));
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg(REG,1583)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux(MUX,1584)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_s <= en;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux: PROCESS (ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_s, ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg_q, ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_q)
BEGIN
CASE ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_s IS
WHEN "0" => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg_q;
WHEN "1" => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem(DUALMEM,1581)
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_ia <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_inputreg_q;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_aa <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdreg_q;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_ab <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_rdmux_q;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 26,
widthad_a => 2,
numwords_a => 3,
width_b => 26,
widthad_b => 2,
numwords_b => 3,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_iq,
address_a => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_aa,
data_a => ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_ia
);
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_reset0 <= areset;
ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_iq(25 downto 0);
--reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0(REG,639)@32
reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_q <= ld_pCos_uid77_fpSinCosXTest_b_to_reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--mulCos_uid105_fpSinCosXTest(MULT,104)@33
mulCos_uid105_fpSinCosXTest_pr <= UNSIGNED(mulCos_uid105_fpSinCosXTest_a) * UNSIGNED(mulCos_uid105_fpSinCosXTest_b);
mulCos_uid105_fpSinCosXTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mulCos_uid105_fpSinCosXTest_a <= (others => '0');
mulCos_uid105_fpSinCosXTest_b <= (others => '0');
mulCos_uid105_fpSinCosXTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mulCos_uid105_fpSinCosXTest_a <= reg_pCos_uid77_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_0_q;
mulCos_uid105_fpSinCosXTest_b <= reg_polyEvalSigcosPiZ_uid89_fpSinCosXTest_0_to_mulCos_uid105_fpSinCosXTest_1_q;
mulCos_uid105_fpSinCosXTest_s1 <= STD_LOGIC_VECTOR(mulCos_uid105_fpSinCosXTest_pr);
END IF;
END IF;
END PROCESS;
mulCos_uid105_fpSinCosXTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mulCos_uid105_fpSinCosXTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mulCos_uid105_fpSinCosXTest_q <= mulCos_uid105_fpSinCosXTest_s1;
END IF;
END IF;
END PROCESS;
--normBitCos_uid106_fpSinCosXTest(BITSELECT,105)@36
normBitCos_uid106_fpSinCosXTest_in <= mulCos_uid105_fpSinCosXTest_q;
normBitCos_uid106_fpSinCosXTest_b <= normBitCos_uid106_fpSinCosXTest_in(51 downto 51);
--cosRndOp_uid112_uid113_fpSinCosXTest(BITJOIN,112)@36
cosRndOp_uid112_uid113_fpSinCosXTest_q <= normBitCos_uid106_fpSinCosXTest_b & cstAllZWF_uid7_fpSinCosXTest_q & VCC_q;
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor(LOGICAL,1296)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_b <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena_q;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_q <= not (ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_a or ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_b);
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_mem_top(CONSTANT,1292)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_mem_top_q <= "0110";
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp(LOGICAL,1293)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_a <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_mem_top_q;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_q);
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_q <= "1" when ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_a = ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_b else "0";
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmpReg(REG,1294)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmpReg_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena(REG,1297)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_nor_q = "1") THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd(LOGICAL,1298)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_a <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_sticky_ena_q;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_b <= en;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_a and ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_b;
--reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1(REG,641)@25
reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q <= r_uid356_lzcZCos_uid69_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_inputreg(DELAY,1286)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q, xout => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt(COUNTER,1288)
-- every=1, low=0, high=6, step=1, init=1
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i = 5 THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_eq = '1') THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i - 6;
ELSE
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_i,3));
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg(REG,1289)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux(MUX,1290)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_s <= en;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux: PROCESS (ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_s, ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg_q, ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_q)
BEGIN
CASE ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_s IS
WHEN "0" => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg_q;
WHEN "1" => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem(DUALMEM,1287)
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_ia <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_inputreg_q;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_aa <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg_q;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_ab <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_q;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 3,
numwords_a => 7,
width_b => 7,
widthad_b => 3,
numwords_b => 7,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_ia
);
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_iq(6 downto 0);
--expHardCase_uid78_fpSinCosXTest(SUB,77)@35
expHardCase_uid78_fpSinCosXTest_a <= STD_LOGIC_VECTOR("0" & cstBiasM1_uid23_fpSinCosXTest_q);
expHardCase_uid78_fpSinCosXTest_b <= STD_LOGIC_VECTOR("00" & ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_mem_q);
expHardCase_uid78_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expHardCase_uid78_fpSinCosXTest_a) - UNSIGNED(expHardCase_uid78_fpSinCosXTest_b));
expHardCase_uid78_fpSinCosXTest_q <= expHardCase_uid78_fpSinCosXTest_o(8 downto 0);
--expPCos_uid79_fpSinCosXTest(BITSELECT,78)@35
expPCos_uid79_fpSinCosXTest_in <= expHardCase_uid78_fpSinCosXTest_q(7 downto 0);
expPCos_uid79_fpSinCosXTest_b <= expPCos_uid79_fpSinCosXTest_in(7 downto 0);
--reg_expPCos_uid79_fpSinCosXTest_0_to_expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_1(REG,642)@35
reg_expPCos_uid79_fpSinCosXTest_0_to_expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expPCos_uid79_fpSinCosXTest_0_to_expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expPCos_uid79_fpSinCosXTest_0_to_expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_1_q <= expPCos_uid79_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--fracRCosPreRndHigh_uid108_fpSinCosXTest(BITSELECT,107)@36
fracRCosPreRndHigh_uid108_fpSinCosXTest_in <= mulCos_uid105_fpSinCosXTest_q(50 downto 0);
fracRCosPreRndHigh_uid108_fpSinCosXTest_b <= fracRCosPreRndHigh_uid108_fpSinCosXTest_in(50 downto 27);
--fracRCosPreRndLow_uid109_fpSinCosXTest(BITSELECT,108)@36
fracRCosPreRndLow_uid109_fpSinCosXTest_in <= mulCos_uid105_fpSinCosXTest_q(49 downto 0);
fracRCosPreRndLow_uid109_fpSinCosXTest_b <= fracRCosPreRndLow_uid109_fpSinCosXTest_in(49 downto 26);
--fracRCosPreRnd_uid110_fpSinCosXTest(MUX,109)@36
fracRCosPreRnd_uid110_fpSinCosXTest_s <= normBitCos_uid106_fpSinCosXTest_b;
fracRCosPreRnd_uid110_fpSinCosXTest: PROCESS (fracRCosPreRnd_uid110_fpSinCosXTest_s, en, fracRCosPreRndLow_uid109_fpSinCosXTest_b, fracRCosPreRndHigh_uid108_fpSinCosXTest_b)
BEGIN
CASE fracRCosPreRnd_uid110_fpSinCosXTest_s IS
WHEN "0" => fracRCosPreRnd_uid110_fpSinCosXTest_q <= fracRCosPreRndLow_uid109_fpSinCosXTest_b;
WHEN "1" => fracRCosPreRnd_uid110_fpSinCosXTest_q <= fracRCosPreRndHigh_uid108_fpSinCosXTest_b;
WHEN OTHERS => fracRCosPreRnd_uid110_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--expFracRCosPreRnd_uid111_uid111_fpSinCosXTest(BITJOIN,110)@36
expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_q <= reg_expPCos_uid79_fpSinCosXTest_0_to_expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_1_q & fracRCosPreRnd_uid110_fpSinCosXTest_q;
--expFracRCos_uid114_fpSinCosXTest(ADD,113)@36
expFracRCos_uid114_fpSinCosXTest_a <= STD_LOGIC_VECTOR("0" & expFracRCosPreRnd_uid111_uid111_fpSinCosXTest_q);
expFracRCos_uid114_fpSinCosXTest_b <= STD_LOGIC_VECTOR("00000000" & cosRndOp_uid112_uid113_fpSinCosXTest_q);
expFracRCos_uid114_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracRCos_uid114_fpSinCosXTest_a) + UNSIGNED(expFracRCos_uid114_fpSinCosXTest_b));
expFracRCos_uid114_fpSinCosXTest_q <= expFracRCos_uid114_fpSinCosXTest_o(32 downto 0);
--expRCompSin_uid116_fpSinCosXTest(BITSELECT,115)@36
expRCompSin_uid116_fpSinCosXTest_in <= expFracRCos_uid114_fpSinCosXTest_q(31 downto 0);
expRCompSin_uid116_fpSinCosXTest_b <= expRCompSin_uid116_fpSinCosXTest_in(31 downto 24);
--reg_expRCompSin_uid116_fpSinCosXTest_0_to_expRPostExcCos_uid147_fpSinCosXTest_2(REG,646)@36
reg_expRCompSin_uid116_fpSinCosXTest_0_to_expRPostExcCos_uid147_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRCompSin_uid116_fpSinCosXTest_0_to_expRPostExcCos_uid147_fpSinCosXTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRCompSin_uid116_fpSinCosXTest_0_to_expRPostExcCos_uid147_fpSinCosXTest_2_q <= expRCompSin_uid116_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor(LOGICAL,1385)
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_b <= ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena_q;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_q <= not (ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_a or ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_b);
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_mem_top(CONSTANT,1305)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_mem_top_q <= "01101";
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp(LOGICAL,1306)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_a <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_mem_top_q;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q);
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_q <= "1" when ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_a = ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_b else "0";
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg(REG,1307)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena(REG,1386)
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_nor_q = "1") THEN
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd(LOGICAL,1387)
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_a <= ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_sticky_ena_q;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_b <= en;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_q <= ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_a and ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_b;
--ld_sinXIsX_uid40_fpSinCosXTest_n_to_excSelBitsSin_uid118_fpSinCosXTest_a(DELAY,745)@0
ld_sinXIsX_uid40_fpSinCosXTest_n_to_excSelBitsSin_uid118_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 19 )
PORT MAP ( xin => sinXIsX_uid40_fpSinCosXTest_n, xout => ld_sinXIsX_uid40_fpSinCosXTest_n_to_excSelBitsSin_uid118_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--ld_cosXIsOneXRR_uid42_fpSinCosXTest_n_to_join_uid143_fpSinCosXTest_c(DELAY,783)@16
ld_cosXIsOneXRR_uid42_fpSinCosXTest_n_to_join_uid143_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => cosXIsOneXRR_uid42_fpSinCosXTest_n, xout => ld_cosXIsOneXRR_uid42_fpSinCosXTest_n_to_join_uid143_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--InvCosXIsOneXRR_uid136_fpSinCosXTest(LOGICAL,135)@16
InvCosXIsOneXRR_uid136_fpSinCosXTest_a <= cosXIsOneXRR_uid42_fpSinCosXTest_n;
InvCosXIsOneXRR_uid136_fpSinCosXTest_q <= not InvCosXIsOneXRR_uid136_fpSinCosXTest_a;
--ld_InvCosXIsOneXRR_uid136_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_c(DELAY,773)@16
ld_InvCosXIsOneXRR_uid136_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => InvCosXIsOneXRR_uid136_fpSinCosXTest_q, xout => ld_InvCosXIsOneXRR_uid136_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--ld_sinXIsX_uid40_fpSinCosXTest_n_to_InvSinXIsX_uid127_fpSinCosXTest_a(DELAY,755)@0
ld_sinXIsX_uid40_fpSinCosXTest_n_to_InvSinXIsX_uid127_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 18 )
PORT MAP ( xin => sinXIsX_uid40_fpSinCosXTest_n, xout => ld_sinXIsX_uid40_fpSinCosXTest_n_to_InvSinXIsX_uid127_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvSinXIsX_uid127_fpSinCosXTest(LOGICAL,126)@18
InvSinXIsX_uid127_fpSinCosXTest_a <= ld_sinXIsX_uid40_fpSinCosXTest_n_to_InvSinXIsX_uid127_fpSinCosXTest_a_q;
InvSinXIsX_uid127_fpSinCosXTest_q <= not InvSinXIsX_uid127_fpSinCosXTest_a;
--ld_InvSinXIsX_uid127_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_b(DELAY,772)@18
ld_InvSinXIsX_uid127_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => InvSinXIsX_uid127_fpSinCosXTest_q, xout => ld_InvSinXIsX_uid127_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--half_uid53_fpSinCosXTest(BITJOIN,52)@19
half_uid53_fpSinCosXTest_q <= VCC_q & cstZmwFRRPwSM1_uid52_fpSinCosXTest_q;
--yIsHalf_uid54_fpSinCosXTest(LOGICAL,53)@19
yIsHalf_uid54_fpSinCosXTest_a <= reg_y_uid50_fpSinCosXTest_0_to_oneMinusY_uid55_fpSinCosXTest_1_q;
yIsHalf_uid54_fpSinCosXTest_b <= half_uid53_fpSinCosXTest_q;
yIsHalf_uid54_fpSinCosXTest_q <= "1" when yIsHalf_uid54_fpSinCosXTest_a = yIsHalf_uid54_fpSinCosXTest_b else "0";
--yHalfCosXNotOne_uid138_fpSinCosXTest(LOGICAL,137)@19
yHalfCosXNotOne_uid138_fpSinCosXTest_a <= yIsHalf_uid54_fpSinCosXTest_q;
yHalfCosXNotOne_uid138_fpSinCosXTest_b <= ld_InvSinXIsX_uid127_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_b_q;
yHalfCosXNotOne_uid138_fpSinCosXTest_c <= ld_InvCosXIsOneXRR_uid136_fpSinCosXTest_q_to_yHalfCosXNotOne_uid138_fpSinCosXTest_c_q;
yHalfCosXNotOne_uid138_fpSinCosXTest_q <= yHalfCosXNotOne_uid138_fpSinCosXTest_a and yHalfCosXNotOne_uid138_fpSinCosXTest_b and yHalfCosXNotOne_uid138_fpSinCosXTest_c;
--ld_exc_I_uid15_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_b(DELAY,744)@0
ld_exc_I_uid15_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 19 )
PORT MAP ( xin => exc_I_uid15_fpSinCosXTest_q, xout => ld_exc_I_uid15_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_N_uid17_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_a(DELAY,743)@0
ld_exc_N_uid17_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 19 )
PORT MAP ( xin => exc_N_uid17_fpSinCosXTest_q, xout => ld_exc_N_uid17_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--excRNaN_uid117_fpSinCosXTest(LOGICAL,116)@19
excRNaN_uid117_fpSinCosXTest_a <= ld_exc_N_uid17_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_a_q;
excRNaN_uid117_fpSinCosXTest_b <= ld_exc_I_uid15_fpSinCosXTest_q_to_excRNaN_uid117_fpSinCosXTest_b_q;
excRNaN_uid117_fpSinCosXTest_q <= excRNaN_uid117_fpSinCosXTest_a or excRNaN_uid117_fpSinCosXTest_b;
--join_uid143_fpSinCosXTest(BITJOIN,142)@19
join_uid143_fpSinCosXTest_q <= ld_cosXIsOneXRR_uid42_fpSinCosXTest_n_to_join_uid143_fpSinCosXTest_c_q & yHalfCosXNotOne_uid138_fpSinCosXTest_q & excRNaN_uid117_fpSinCosXTest_q;
--expSelBitsCos_uid144_fpSinCosXTest(BITJOIN,143)@19
expSelBitsCos_uid144_fpSinCosXTest_q <= ld_sinXIsX_uid40_fpSinCosXTest_n_to_excSelBitsSin_uid118_fpSinCosXTest_a_q & join_uid143_fpSinCosXTest_q;
--reg_expSelBitsCos_uid144_fpSinCosXTest_0_to_expSelectorCos_uid145_fpSinCosXTest_0(REG,645)@19
reg_expSelBitsCos_uid144_fpSinCosXTest_0_to_expSelectorCos_uid145_fpSinCosXTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expSelBitsCos_uid144_fpSinCosXTest_0_to_expSelectorCos_uid145_fpSinCosXTest_0_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expSelBitsCos_uid144_fpSinCosXTest_0_to_expSelectorCos_uid145_fpSinCosXTest_0_q <= expSelBitsCos_uid144_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--expSelectorCos_uid145_fpSinCosXTest(LOOKUP,144)@20
expSelectorCos_uid145_fpSinCosXTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expSelectorCos_uid145_fpSinCosXTest_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_expSelBitsCos_uid144_fpSinCosXTest_0_to_expSelectorCos_uid145_fpSinCosXTest_0_q) IS
WHEN "0000" => expSelectorCos_uid145_fpSinCosXTest_q <= "00";
WHEN "0001" => expSelectorCos_uid145_fpSinCosXTest_q <= "11";
WHEN "0010" => expSelectorCos_uid145_fpSinCosXTest_q <= "10";
WHEN "0011" => expSelectorCos_uid145_fpSinCosXTest_q <= "00";
WHEN "0100" => expSelectorCos_uid145_fpSinCosXTest_q <= "01";
WHEN "0101" => expSelectorCos_uid145_fpSinCosXTest_q <= "11";
WHEN "0110" => expSelectorCos_uid145_fpSinCosXTest_q <= "10";
WHEN "0111" => expSelectorCos_uid145_fpSinCosXTest_q <= "00";
WHEN "1000" => expSelectorCos_uid145_fpSinCosXTest_q <= "01";
WHEN "1001" => expSelectorCos_uid145_fpSinCosXTest_q <= "11";
WHEN "1010" => expSelectorCos_uid145_fpSinCosXTest_q <= "10";
WHEN "1011" => expSelectorCos_uid145_fpSinCosXTest_q <= "00";
WHEN "1100" => expSelectorCos_uid145_fpSinCosXTest_q <= "01";
WHEN "1101" => expSelectorCos_uid145_fpSinCosXTest_q <= "11";
WHEN "1110" => expSelectorCos_uid145_fpSinCosXTest_q <= "10";
WHEN "1111" => expSelectorCos_uid145_fpSinCosXTest_q <= "00";
WHEN OTHERS =>
expSelectorCos_uid145_fpSinCosXTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_inputreg(DELAY,1375)
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => expSelectorCos_uid145_fpSinCosXTest_q, xout => ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt(COUNTER,1301)
-- every=1, low=0, high=13, step=1, init=1
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i = 12 THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_eq = '1') THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i - 13;
ELSE
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_i,4));
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg(REG,1302)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux(MUX,1303)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_s <= en;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux: PROCESS (ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_s, ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q, ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_q)
BEGIN
CASE ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_s IS
WHEN "0" => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q;
WHEN "1" => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem(DUALMEM,1376)
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_ia <= ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_inputreg_q;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_aa <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_ab <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 4,
numwords_a => 14,
width_b => 2,
widthad_b => 4,
numwords_b => 14,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_ia
);
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_q <= ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_iq(1 downto 0);
--expRPostExcCos_uid147_fpSinCosXTest(MUX,146)@37
expRPostExcCos_uid147_fpSinCosXTest_s <= ld_expSelectorCos_uid145_fpSinCosXTest_q_to_expRPostExcCos_uid147_fpSinCosXTest_b_replace_mem_q;
expRPostExcCos_uid147_fpSinCosXTest: PROCESS (expRPostExcCos_uid147_fpSinCosXTest_s, en, reg_expRCompSin_uid116_fpSinCosXTest_0_to_expRPostExcCos_uid147_fpSinCosXTest_2_q, cstBias_uid22_fpSinCosXTest_q, cstAllZWE_uid8_fpSinCosXTest_q, cstAllOWE_uid6_fpSinCosXTest_q)
BEGIN
CASE expRPostExcCos_uid147_fpSinCosXTest_s IS
WHEN "00" => expRPostExcCos_uid147_fpSinCosXTest_q <= reg_expRCompSin_uid116_fpSinCosXTest_0_to_expRPostExcCos_uid147_fpSinCosXTest_2_q;
WHEN "01" => expRPostExcCos_uid147_fpSinCosXTest_q <= cstBias_uid22_fpSinCosXTest_q;
WHEN "10" => expRPostExcCos_uid147_fpSinCosXTest_q <= cstAllZWE_uid8_fpSinCosXTest_q;
WHEN "11" => expRPostExcCos_uid147_fpSinCosXTest_q <= cstAllOWE_uid6_fpSinCosXTest_q;
WHEN OTHERS => expRPostExcCos_uid147_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--cstNaNwF_uid32_fpSinCosXTest(CONSTANT,31)
cstNaNwF_uid32_fpSinCosXTest_q <= "00000000000000000000001";
--fracRCompCos_uid115_fpSinCosXTest(BITSELECT,114)@36
fracRCompCos_uid115_fpSinCosXTest_in <= expFracRCos_uid114_fpSinCosXTest_q(23 downto 0);
fracRCompCos_uid115_fpSinCosXTest_b <= fracRCompCos_uid115_fpSinCosXTest_in(23 downto 1);
--reg_fracRCompCos_uid115_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_2(REG,644)@36
reg_fracRCompCos_uid115_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRCompCos_uid115_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_2_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRCompCos_uid115_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_2_q <= fracRCompCos_uid115_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor(LOGICAL,1372)
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_b <= ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena_q;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_q <= not (ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_a or ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_b);
--ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena(REG,1373)
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_nor_q = "1") THEN
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd(LOGICAL,1374)
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_a <= ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_sticky_ena_q;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_b <= en;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_q <= ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_a and ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_b;
--reg_excRNaN_uid117_fpSinCosXTest_0_to_join_uid141_fpSinCosXTest_1(REG,613)@19
reg_excRNaN_uid117_fpSinCosXTest_0_to_join_uid141_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_excRNaN_uid117_fpSinCosXTest_0_to_join_uid141_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_excRNaN_uid117_fpSinCosXTest_0_to_join_uid141_fpSinCosXTest_1_q <= excRNaN_uid117_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--reg_cosXIsOneXRR_uid42_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_3(REG,612)@19
reg_cosXIsOneXRR_uid42_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cosXIsOneXRR_uid42_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_3_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cosXIsOneXRR_uid42_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_3_q <= ld_cosXIsOneXRR_uid42_fpSinCosXTest_n_to_join_uid143_fpSinCosXTest_c_q;
END IF;
END IF;
END PROCESS;
--reg_sinXIsX_uid40_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_2(REG,611)@19
reg_sinXIsX_uid40_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sinXIsX_uid40_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sinXIsX_uid40_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_2_q <= ld_sinXIsX_uid40_fpSinCosXTest_n_to_excSelBitsSin_uid118_fpSinCosXTest_a_q;
END IF;
END IF;
END PROCESS;
--reg_yHalfCosXNotOne_uid138_fpSinCosXTest_0_to_rZOrOne_uid140_fpSinCosXTest_1(REG,610)@19
reg_yHalfCosXNotOne_uid138_fpSinCosXTest_0_to_rZOrOne_uid140_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yHalfCosXNotOne_uid138_fpSinCosXTest_0_to_rZOrOne_uid140_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yHalfCosXNotOne_uid138_fpSinCosXTest_0_to_rZOrOne_uid140_fpSinCosXTest_1_q <= yHalfCosXNotOne_uid138_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--rZOrOne_uid140_fpSinCosXTest(LOGICAL,139)@20
rZOrOne_uid140_fpSinCosXTest_a <= reg_yHalfCosXNotOne_uid138_fpSinCosXTest_0_to_rZOrOne_uid140_fpSinCosXTest_1_q;
rZOrOne_uid140_fpSinCosXTest_b <= reg_sinXIsX_uid40_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_2_q;
rZOrOne_uid140_fpSinCosXTest_c <= reg_cosXIsOneXRR_uid42_fpSinCosXTest_2_to_rZOrOne_uid140_fpSinCosXTest_3_q;
rZOrOne_uid140_fpSinCosXTest_q <= rZOrOne_uid140_fpSinCosXTest_a or rZOrOne_uid140_fpSinCosXTest_b or rZOrOne_uid140_fpSinCosXTest_c;
--join_uid141_fpSinCosXTest(BITJOIN,140)@20
join_uid141_fpSinCosXTest_q <= reg_excRNaN_uid117_fpSinCosXTest_0_to_join_uid141_fpSinCosXTest_1_q & rZOrOne_uid140_fpSinCosXTest_q;
--reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1(REG,643)@20
reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q <= join_uid141_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_inputreg(DELAY,1362)
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q, xout => ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem(DUALMEM,1363)
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_ia <= ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_inputreg_q;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_aa <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_ab <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 4,
numwords_a => 14,
width_b => 2,
widthad_b => 4,
numwords_b => 14,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_ia
);
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_q <= ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_iq(1 downto 0);
--fracRPostExcCos_uid142_fpSinCosXTest(MUX,141)@37
fracRPostExcCos_uid142_fpSinCosXTest_s <= ld_reg_join_uid141_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_1_q_to_fracRPostExcCos_uid142_fpSinCosXTest_b_replace_mem_q;
fracRPostExcCos_uid142_fpSinCosXTest: PROCESS (fracRPostExcCos_uid142_fpSinCosXTest_s, en, reg_fracRCompCos_uid115_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_2_q, cstAllZWF_uid7_fpSinCosXTest_q, cstNaNwF_uid32_fpSinCosXTest_q, cstNaNwF_uid32_fpSinCosXTest_q)
BEGIN
CASE fracRPostExcCos_uid142_fpSinCosXTest_s IS
WHEN "00" => fracRPostExcCos_uid142_fpSinCosXTest_q <= reg_fracRCompCos_uid115_fpSinCosXTest_0_to_fracRPostExcCos_uid142_fpSinCosXTest_2_q;
WHEN "01" => fracRPostExcCos_uid142_fpSinCosXTest_q <= cstAllZWF_uid7_fpSinCosXTest_q;
WHEN "10" => fracRPostExcCos_uid142_fpSinCosXTest_q <= cstNaNwF_uid32_fpSinCosXTest_q;
WHEN "11" => fracRPostExcCos_uid142_fpSinCosXTest_q <= cstNaNwF_uid32_fpSinCosXTest_q;
WHEN OTHERS => fracRPostExcCos_uid142_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--fpCos_uid162_fpSinCosXTest(BITJOIN,161)@37
fpCos_uid162_fpSinCosXTest_q <= ld_signRCosFull_uid161_fpSinCosXTest_q_to_fpCos_uid162_fpSinCosXTest_c_q & expRPostExcCos_uid147_fpSinCosXTest_q & fracRPostExcCos_uid142_fpSinCosXTest_q;
--cstBiasMwShiftM2_uid25_fpSinCosXTest(CONSTANT,24)
cstBiasMwShiftM2_uid25_fpSinCosXTest_q <= "01110001";
--sinXIsXRR_uid41_fpSinCosXTest(COMPARE,40)@16
sinXIsXRR_uid41_fpSinCosXTest_cin <= GND_q;
sinXIsXRR_uid41_fpSinCosXTest_a <= STD_LOGIC_VECTOR('0' & "00" & cstBiasMwShiftM2_uid25_fpSinCosXTest_q) & '0';
sinXIsXRR_uid41_fpSinCosXTest_b <= STD_LOGIC_VECTOR((10 downto 8 => reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q(7)) & reg_expXRR_uid38_fpSinCosXTest_0_to_sinXIsXRR_uid41_fpSinCosXTest_1_q) & sinXIsXRR_uid41_fpSinCosXTest_cin(0);
sinXIsXRR_uid41_fpSinCosXTest_o <= STD_LOGIC_VECTOR(SIGNED(sinXIsXRR_uid41_fpSinCosXTest_a) - SIGNED(sinXIsXRR_uid41_fpSinCosXTest_b));
sinXIsXRR_uid41_fpSinCosXTest_n(0) <= not sinXIsXRR_uid41_fpSinCosXTest_o(11);
--ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_InvSinXIsXRR_uid128_fpSinCosXTest_a(DELAY,756)@16
ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_InvSinXIsXRR_uid128_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => sinXIsXRR_uid41_fpSinCosXTest_n, xout => ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_InvSinXIsXRR_uid128_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvSinXIsXRR_uid128_fpSinCosXTest(LOGICAL,127)@18
InvSinXIsXRR_uid128_fpSinCosXTest_a <= ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_InvSinXIsXRR_uid128_fpSinCosXTest_a_q;
InvSinXIsXRR_uid128_fpSinCosXTest_q <= not InvSinXIsXRR_uid128_fpSinCosXTest_a;
--signComp_uid129_fpSinCosXTest(LOGICAL,128)@18
signComp_uid129_fpSinCosXTest_a <= InvSinXIsXRR_uid128_fpSinCosXTest_q;
signComp_uid129_fpSinCosXTest_b <= InvSinXIsX_uid127_fpSinCosXTest_q;
signComp_uid129_fpSinCosXTest_c <= intXParity_uid49_fpSinCosXTest_b;
signComp_uid129_fpSinCosXTest_q <= signComp_uid129_fpSinCosXTest_a and signComp_uid129_fpSinCosXTest_b and signComp_uid129_fpSinCosXTest_c;
--signX_uid37_fpSinCosXTest(BITSELECT,36)@0
signX_uid37_fpSinCosXTest_in <= a;
signX_uid37_fpSinCosXTest_b <= signX_uid37_fpSinCosXTest_in(31 downto 31);
--ld_signX_uid37_fpSinCosXTest_b_to_signR_uid130_fpSinCosXTest_a(DELAY,760)@0
ld_signX_uid37_fpSinCosXTest_b_to_signR_uid130_fpSinCosXTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 18 )
PORT MAP ( xin => signX_uid37_fpSinCosXTest_b, xout => ld_signX_uid37_fpSinCosXTest_b_to_signR_uid130_fpSinCosXTest_a_q, ena => en(0), clk => clk, aclr => areset );
--signR_uid130_fpSinCosXTest(LOGICAL,129)@18
signR_uid130_fpSinCosXTest_a <= ld_signX_uid37_fpSinCosXTest_b_to_signR_uid130_fpSinCosXTest_a_q;
signR_uid130_fpSinCosXTest_b <= signComp_uid129_fpSinCosXTest_q;
signR_uid130_fpSinCosXTest_q <= signR_uid130_fpSinCosXTest_a xor signR_uid130_fpSinCosXTest_b;
--ld_signR_uid130_fpSinCosXTest_q_to_signRSinFull_uid133_fpSinCosXTest_c(DELAY,766)@18
ld_signR_uid130_fpSinCosXTest_q_to_signRSinFull_uid133_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => signR_uid130_fpSinCosXTest_q, xout => ld_signR_uid130_fpSinCosXTest_q_to_signRSinFull_uid133_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--signRSinFull_uid133_fpSinCosXTest(LOGICAL,132)@20
signRSinFull_uid133_fpSinCosXTest_a <= InvExc_N_uid132_fpSinCosXTest_q;
signRSinFull_uid133_fpSinCosXTest_b <= InvExc_I_uid131_fpSinCosXTest_q;
signRSinFull_uid133_fpSinCosXTest_c <= ld_signR_uid130_fpSinCosXTest_q_to_signRSinFull_uid133_fpSinCosXTest_c_q;
signRSinFull_uid133_fpSinCosXTest_q_i <= signRSinFull_uid133_fpSinCosXTest_a and signRSinFull_uid133_fpSinCosXTest_b and signRSinFull_uid133_fpSinCosXTest_c;
signRSinFull_uid133_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => signRSinFull_uid133_fpSinCosXTest_q, xin => signRSinFull_uid133_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_signRSinFull_uid133_fpSinCosXTest_q_to_fpSin_uid134_fpSinCosXTest_c(DELAY,769)@21
ld_signRSinFull_uid133_fpSinCosXTest_q_to_fpSin_uid134_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 16 )
PORT MAP ( xin => signRSinFull_uid133_fpSinCosXTest_q, xout => ld_signRSinFull_uid133_fpSinCosXTest_q_to_fpSin_uid134_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor(LOGICAL,1359)
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_b <= ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena_q;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_q <= not (ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_a or ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_b);
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_mem_top(CONSTANT,1342)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_mem_top_q <= "0100010";
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp(LOGICAL,1343)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_a <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_mem_top_q;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_q);
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_q <= "1" when ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_a = ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_b else "0";
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmpReg(REG,1344)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmpReg_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena(REG,1360)
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_nor_q = "1") THEN
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd(LOGICAL,1361)
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_a <= ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_sticky_ena_q;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_b <= en;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_q <= ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_a and ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_b;
--ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_inputreg(DELAY,1349)
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => exp_uid9_fpSinCosXTest_b, xout => ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt(COUNTER,1338)
-- every=1, low=0, high=34, step=1, init=1
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i = 33 THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_eq = '1') THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i - 34;
ELSE
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_i,6));
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg(REG,1339)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux(MUX,1340)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_s <= en;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux: PROCESS (ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_s, ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg_q, ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_q)
BEGIN
CASE ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_s IS
WHEN "0" => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg_q;
WHEN "1" => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem(DUALMEM,1350)
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_ia <= ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_inputreg_q;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_aa <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg_q;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_ab <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_q;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 6,
numwords_a => 35,
width_b => 8,
widthad_b => 6,
numwords_b => 35,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_iq,
address_a => ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_aa,
data_a => ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_ia
);
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_reset0 <= areset;
ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_q <= ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_iq(7 downto 0);
--ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_a(DELAY,1228)@16
ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_a : dspba_delay
GENERIC MAP ( width => 1, depth => 19 )
PORT MAP ( xin => sinXIsXRR_uid41_fpSinCosXTest_n, xout => ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1(REG,605)@35
reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_q <= ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_a_q;
END IF;
END IF;
END PROCESS;
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor(LOGICAL,1309)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_b <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena_q;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_q <= not (ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_a or ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_b);
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena(REG,1310)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_nor_q = "1") THEN
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd(LOGICAL,1311)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_a <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_sticky_ena_q;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_b <= en;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_a and ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_b;
--oFracXRRSmallXRR_uid90_fpSinCosXTest(BITSELECT,89)@16
oFracXRRSmallXRR_uid90_fpSinCosXTest_in <= oFracXRR_uid43_uid43_fpSinCosXTest_q;
oFracXRRSmallXRR_uid90_fpSinCosXTest_b <= oFracXRRSmallXRR_uid90_fpSinCosXTest_in(53 downto 28);
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_inputreg(DELAY,1299)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 26, depth => 1 )
PORT MAP ( xin => oFracXRRSmallXRR_uid90_fpSinCosXTest_b, xout => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem(DUALMEM,1300)
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_ia <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_inputreg_q;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_aa <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_ab <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 26,
widthad_a => 4,
numwords_a => 14,
width_b => 26,
widthad_b => 4,
numwords_b => 14,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_iq,
address_a => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_aa,
data_a => ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_ia
);
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_reset0 <= areset;
ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_iq(25 downto 0);
--reg_cmpYToOneMinusY_uid57_fpSinCosXTest_1_to_zSin_uid60_fpSinCosXTest_1(REG,577)@20
reg_cmpYToOneMinusY_uid57_fpSinCosXTest_1_to_zSin_uid60_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cmpYToOneMinusY_uid57_fpSinCosXTest_1_to_zSin_uid60_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cmpYToOneMinusY_uid57_fpSinCosXTest_1_to_zSin_uid60_fpSinCosXTest_1_q <= cmpYToOneMinusY_uid57_fpSinCosXTest_c;
END IF;
END IF;
END PROCESS;
--zSin_uid60_fpSinCosXTest(MUX,59)@21
zSin_uid60_fpSinCosXTest_s <= reg_cmpYToOneMinusY_uid57_fpSinCosXTest_1_to_zSin_uid60_fpSinCosXTest_1_q;
zSin_uid60_fpSinCosXTest: PROCESS (zSin_uid60_fpSinCosXTest_s, en, reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2_q, reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3_q)
BEGIN
CASE zSin_uid60_fpSinCosXTest_s IS
WHEN "0" => zSin_uid60_fpSinCosXTest_q <= reg_zSinYBottom_uid59_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_2_q;
WHEN "1" => zSin_uid60_fpSinCosXTest_q <= reg_zSinOMyBottom_uid58_fpSinCosXTest_0_to_zSin_uid60_fpSinCosXTest_3_q;
WHEN OTHERS => zSin_uid60_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--addr_uid81_fpSinCosXTest(BITSELECT,80)@21
addr_uid81_fpSinCosXTest_in <= zSin_uid60_fpSinCosXTest_q;
addr_uid81_fpSinCosXTest_b <= addr_uid81_fpSinCosXTest_in(64 downto 57);
--reg_addr_uid81_fpSinCosXTest_0_to_memoryC2_uid398_tableGensinPiZ_lutmem_0(REG,595)@21
reg_addr_uid81_fpSinCosXTest_0_to_memoryC2_uid398_tableGensinPiZ_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid81_fpSinCosXTest_0_to_memoryC2_uid398_tableGensinPiZ_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid81_fpSinCosXTest_0_to_memoryC2_uid398_tableGensinPiZ_lutmem_0_q <= addr_uid81_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--memoryC2_uid398_tableGensinPiZ_lutmem(DUALMEM,529)@22
memoryC2_uid398_tableGensinPiZ_lutmem_ia <= (others => '0');
memoryC2_uid398_tableGensinPiZ_lutmem_aa <= (others => '0');
memoryC2_uid398_tableGensinPiZ_lutmem_ab <= reg_addr_uid81_fpSinCosXTest_0_to_memoryC2_uid398_tableGensinPiZ_lutmem_0_q;
memoryC2_uid398_tableGensinPiZ_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 13,
widthad_a => 8,
numwords_a => 256,
width_b => 13,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_memoryC2_uid398_tableGensinPiZ_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid398_tableGensinPiZ_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid398_tableGensinPiZ_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid398_tableGensinPiZ_lutmem_iq,
address_a => memoryC2_uid398_tableGensinPiZ_lutmem_aa,
data_a => memoryC2_uid398_tableGensinPiZ_lutmem_ia
);
memoryC2_uid398_tableGensinPiZ_lutmem_reset0 <= areset;
memoryC2_uid398_tableGensinPiZ_lutmem_q <= memoryC2_uid398_tableGensinPiZ_lutmem_iq(12 downto 0);
--reg_memoryC2_uid398_tableGensinPiZ_lutmem_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_1(REG,597)@24
reg_memoryC2_uid398_tableGensinPiZ_lutmem_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid398_tableGensinPiZ_lutmem_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_1_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid398_tableGensinPiZ_lutmem_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_1_q <= memoryC2_uid398_tableGensinPiZ_lutmem_q;
END IF;
END IF;
END PROCESS;
--zPsinPiZ_uid84_fpSinCosXTest(BITSELECT,83)@21
zPsinPiZ_uid84_fpSinCosXTest_in <= zSin_uid60_fpSinCosXTest_q(56 downto 0);
zPsinPiZ_uid84_fpSinCosXTest_b <= zPsinPiZ_uid84_fpSinCosXTest_in(56 downto 42);
--yT1_uid406_polyEvalsinPiZ(BITSELECT,405)@21
yT1_uid406_polyEvalsinPiZ_in <= zPsinPiZ_uid84_fpSinCosXTest_b;
yT1_uid406_polyEvalsinPiZ_b <= yT1_uid406_polyEvalsinPiZ_in(14 downto 2);
--ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_inputreg(DELAY,1540)
ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 13, depth => 1 )
PORT MAP ( xin => yT1_uid406_polyEvalsinPiZ_b, xout => ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a(DELAY,1219)@21
ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a : dspba_delay
GENERIC MAP ( width => 13, depth => 2 )
PORT MAP ( xin => ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_inputreg_q, xout => ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0(REG,596)@24
reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_q <= ld_yT1_uid406_polyEvalsinPiZ_b_to_reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_a_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid502_pT1_uid407_polyEvalsinPiZ(MULT,501)@25
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_pr <= signed(resize(UNSIGNED(prodXY_uid502_pT1_uid407_polyEvalsinPiZ_a),14)) * SIGNED(prodXY_uid502_pT1_uid407_polyEvalsinPiZ_b);
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_a <= (others => '0');
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_b <= (others => '0');
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_a <= reg_yT1_uid406_polyEvalsinPiZ_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_0_q;
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_b <= reg_memoryC2_uid398_tableGensinPiZ_lutmem_0_to_prodXY_uid502_pT1_uid407_polyEvalsinPiZ_1_q;
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid502_pT1_uid407_polyEvalsinPiZ_pr,26));
END IF;
END IF;
END PROCESS;
prodXY_uid502_pT1_uid407_polyEvalsinPiZ: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid502_pT1_uid407_polyEvalsinPiZ_q <= prodXY_uid502_pT1_uid407_polyEvalsinPiZ_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ(BITSELECT,502)@28
prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ_in <= prodXY_uid502_pT1_uid407_polyEvalsinPiZ_q;
prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ_b <= prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ_in(25 downto 12);
--highBBits_uid409_polyEvalsinPiZ(BITSELECT,408)@28
highBBits_uid409_polyEvalsinPiZ_in <= prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ_b;
highBBits_uid409_polyEvalsinPiZ_b <= highBBits_uid409_polyEvalsinPiZ_in(13 downto 1);
--ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_a(DELAY,1221)@21
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => addr_uid81_fpSinCosXTest_b, xout => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0(REG,598)@24
reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_q <= ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_a_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid396_tableGensinPiZ_lutmem(DUALMEM,528)@25
memoryC1_uid396_tableGensinPiZ_lutmem_ia <= (others => '0');
memoryC1_uid396_tableGensinPiZ_lutmem_aa <= (others => '0');
memoryC1_uid396_tableGensinPiZ_lutmem_ab <= reg_addr_uid81_fpSinCosXTest_0_to_memoryC1_uid396_tableGensinPiZ_lutmem_0_q;
memoryC1_uid396_tableGensinPiZ_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 21,
widthad_a => 8,
numwords_a => 256,
width_b => 21,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_memoryC1_uid396_tableGensinPiZ_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid396_tableGensinPiZ_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid396_tableGensinPiZ_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid396_tableGensinPiZ_lutmem_iq,
address_a => memoryC1_uid396_tableGensinPiZ_lutmem_aa,
data_a => memoryC1_uid396_tableGensinPiZ_lutmem_ia
);
memoryC1_uid396_tableGensinPiZ_lutmem_reset0 <= areset;
memoryC1_uid396_tableGensinPiZ_lutmem_q <= memoryC1_uid396_tableGensinPiZ_lutmem_iq(20 downto 0);
--reg_memoryC1_uid396_tableGensinPiZ_lutmem_0_to_sumAHighB_uid410_polyEvalsinPiZ_0(REG,599)@27
reg_memoryC1_uid396_tableGensinPiZ_lutmem_0_to_sumAHighB_uid410_polyEvalsinPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid396_tableGensinPiZ_lutmem_0_to_sumAHighB_uid410_polyEvalsinPiZ_0_q <= "000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid396_tableGensinPiZ_lutmem_0_to_sumAHighB_uid410_polyEvalsinPiZ_0_q <= memoryC1_uid396_tableGensinPiZ_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid410_polyEvalsinPiZ(ADD,409)@28
sumAHighB_uid410_polyEvalsinPiZ_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid396_tableGensinPiZ_lutmem_0_to_sumAHighB_uid410_polyEvalsinPiZ_0_q(20)) & reg_memoryC1_uid396_tableGensinPiZ_lutmem_0_to_sumAHighB_uid410_polyEvalsinPiZ_0_q);
sumAHighB_uid410_polyEvalsinPiZ_b <= STD_LOGIC_VECTOR((21 downto 13 => highBBits_uid409_polyEvalsinPiZ_b(12)) & highBBits_uid409_polyEvalsinPiZ_b);
sumAHighB_uid410_polyEvalsinPiZ_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid410_polyEvalsinPiZ_a) + SIGNED(sumAHighB_uid410_polyEvalsinPiZ_b));
sumAHighB_uid410_polyEvalsinPiZ_q <= sumAHighB_uid410_polyEvalsinPiZ_o(21 downto 0);
--lowRangeB_uid408_polyEvalsinPiZ(BITSELECT,407)@28
lowRangeB_uid408_polyEvalsinPiZ_in <= prodXYTruncFR_uid503_pT1_uid407_polyEvalsinPiZ_b(0 downto 0);
lowRangeB_uid408_polyEvalsinPiZ_b <= lowRangeB_uid408_polyEvalsinPiZ_in(0 downto 0);
--s1_uid408_uid411_polyEvalsinPiZ(BITJOIN,410)@28
s1_uid408_uid411_polyEvalsinPiZ_q <= sumAHighB_uid410_polyEvalsinPiZ_q & lowRangeB_uid408_polyEvalsinPiZ_b;
--reg_s1_uid408_uid411_polyEvalsinPiZ_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_1(REG,601)@28
reg_s1_uid408_uid411_polyEvalsinPiZ_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid408_uid411_polyEvalsinPiZ_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_1_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid408_uid411_polyEvalsinPiZ_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_1_q <= s1_uid408_uid411_polyEvalsinPiZ_q;
END IF;
END IF;
END PROCESS;
--ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor(LOGICAL,1551)
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_b <= ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena_q;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_q <= not (ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_a or ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_b);
--ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena(REG,1552)
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_nor_q = "1") THEN
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd(LOGICAL,1553)
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_a <= ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_sticky_ena_q;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_b <= en;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_q <= ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_a and ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_b;
--ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_inputreg(DELAY,1541)
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 15, depth => 1 )
PORT MAP ( xin => zPsinPiZ_uid84_fpSinCosXTest_b, xout => ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem(DUALMEM,1542)
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_ia <= ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_inputreg_q;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_aa <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_ab <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 15,
widthad_a => 3,
numwords_a => 5,
width_b => 15,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_iq,
address_a => ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_aa,
data_a => ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_ia
);
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_reset0 <= areset;
ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_q <= ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_iq(14 downto 0);
--reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0(REG,600)@28
reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_q <= "000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_q <= ld_zPsinPiZ_uid84_fpSinCosXTest_b_to_reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid505_pT2_uid413_polyEvalsinPiZ(MULT,504)@29
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_pr <= signed(resize(UNSIGNED(prodXY_uid505_pT2_uid413_polyEvalsinPiZ_a),16)) * SIGNED(prodXY_uid505_pT2_uid413_polyEvalsinPiZ_b);
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_a <= (others => '0');
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_b <= (others => '0');
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_a <= reg_zPsinPiZ_uid84_fpSinCosXTest_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_0_q;
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_b <= reg_s1_uid408_uid411_polyEvalsinPiZ_0_to_prodXY_uid505_pT2_uid413_polyEvalsinPiZ_1_q;
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid505_pT2_uid413_polyEvalsinPiZ_pr,38));
END IF;
END IF;
END PROCESS;
prodXY_uid505_pT2_uid413_polyEvalsinPiZ: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid505_pT2_uid413_polyEvalsinPiZ_q <= prodXY_uid505_pT2_uid413_polyEvalsinPiZ_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ(BITSELECT,505)@32
prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ_in <= prodXY_uid505_pT2_uid413_polyEvalsinPiZ_q;
prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ_b <= prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ_in(37 downto 14);
--highBBits_uid415_polyEvalsinPiZ(BITSELECT,414)@32
highBBits_uid415_polyEvalsinPiZ_in <= prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ_b;
highBBits_uid415_polyEvalsinPiZ_b <= highBBits_uid415_polyEvalsinPiZ_in(23 downto 2);
--ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor(LOGICAL,1564)
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_b <= ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena_q;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_q <= not (ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_a or ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_b);
--ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena(REG,1565)
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_nor_q = "1") THEN
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena_q <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd(LOGICAL,1566)
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_a <= ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_sticky_ena_q;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_b <= en;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_q <= ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_a and ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_b;
--ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_inputreg(DELAY,1554)
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => addr_uid81_fpSinCosXTest_b, xout => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem(DUALMEM,1555)
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_ia <= ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_inputreg_q;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_aa <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdreg_q;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_ab <= ld_reg_zPcosPiZ_uid87_fpSinCosXTest_0_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_0_q_to_prodXY_uid511_pT2_uid426_polyEvalcosPiZ_a_replace_rdmux_q;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 5,
width_b => 8,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_iq,
address_a => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_aa,
data_a => ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_ia
);
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_reset0 <= areset;
ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_q <= ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0(REG,602)@28
reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_q <= ld_addr_uid81_fpSinCosXTest_b_to_reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid394_tableGensinPiZ_lutmem(DUALMEM,527)@29
memoryC0_uid394_tableGensinPiZ_lutmem_ia <= (others => '0');
memoryC0_uid394_tableGensinPiZ_lutmem_aa <= (others => '0');
memoryC0_uid394_tableGensinPiZ_lutmem_ab <= reg_addr_uid81_fpSinCosXTest_0_to_memoryC0_uid394_tableGensinPiZ_lutmem_0_q;
memoryC0_uid394_tableGensinPiZ_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 30,
widthad_a => 8,
numwords_a => 256,
width_b => 30,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_sincos_s5_memoryC0_uid394_tableGensinPiZ_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid394_tableGensinPiZ_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid394_tableGensinPiZ_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid394_tableGensinPiZ_lutmem_iq,
address_a => memoryC0_uid394_tableGensinPiZ_lutmem_aa,
data_a => memoryC0_uid394_tableGensinPiZ_lutmem_ia
);
memoryC0_uid394_tableGensinPiZ_lutmem_reset0 <= areset;
memoryC0_uid394_tableGensinPiZ_lutmem_q <= memoryC0_uid394_tableGensinPiZ_lutmem_iq(29 downto 0);
--reg_memoryC0_uid394_tableGensinPiZ_lutmem_0_to_sumAHighB_uid416_polyEvalsinPiZ_0(REG,603)@31
reg_memoryC0_uid394_tableGensinPiZ_lutmem_0_to_sumAHighB_uid416_polyEvalsinPiZ_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid394_tableGensinPiZ_lutmem_0_to_sumAHighB_uid416_polyEvalsinPiZ_0_q <= "000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid394_tableGensinPiZ_lutmem_0_to_sumAHighB_uid416_polyEvalsinPiZ_0_q <= memoryC0_uid394_tableGensinPiZ_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid416_polyEvalsinPiZ(ADD,415)@32
sumAHighB_uid416_polyEvalsinPiZ_a <= STD_LOGIC_VECTOR((30 downto 30 => reg_memoryC0_uid394_tableGensinPiZ_lutmem_0_to_sumAHighB_uid416_polyEvalsinPiZ_0_q(29)) & reg_memoryC0_uid394_tableGensinPiZ_lutmem_0_to_sumAHighB_uid416_polyEvalsinPiZ_0_q);
sumAHighB_uid416_polyEvalsinPiZ_b <= STD_LOGIC_VECTOR((30 downto 22 => highBBits_uid415_polyEvalsinPiZ_b(21)) & highBBits_uid415_polyEvalsinPiZ_b);
sumAHighB_uid416_polyEvalsinPiZ_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid416_polyEvalsinPiZ_a) + SIGNED(sumAHighB_uid416_polyEvalsinPiZ_b));
sumAHighB_uid416_polyEvalsinPiZ_q <= sumAHighB_uid416_polyEvalsinPiZ_o(30 downto 0);
--lowRangeB_uid414_polyEvalsinPiZ(BITSELECT,413)@32
lowRangeB_uid414_polyEvalsinPiZ_in <= prodXYTruncFR_uid506_pT2_uid413_polyEvalsinPiZ_b(1 downto 0);
lowRangeB_uid414_polyEvalsinPiZ_b <= lowRangeB_uid414_polyEvalsinPiZ_in(1 downto 0);
--s2_uid414_uid417_polyEvalsinPiZ(BITJOIN,416)@32
s2_uid414_uid417_polyEvalsinPiZ_q <= sumAHighB_uid416_polyEvalsinPiZ_q & lowRangeB_uid414_polyEvalsinPiZ_b;
--polyEvalSigsinPiZ_uid86_fpSinCosXTest(BITSELECT,85)@32
polyEvalSigsinPiZ_uid86_fpSinCosXTest_in <= s2_uid414_uid417_polyEvalsinPiZ_q(30 downto 0);
polyEvalSigsinPiZ_uid86_fpSinCosXTest_b <= polyEvalSigsinPiZ_uid86_fpSinCosXTest_in(30 downto 5);
--ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_multSinOp2_uid91_fpSinCosXTest_b(DELAY,708)@16
ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_multSinOp2_uid91_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 16 )
PORT MAP ( xin => sinXIsXRR_uid41_fpSinCosXTest_n, xout => ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_multSinOp2_uid91_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--multSinOp2_uid91_fpSinCosXTest(MUX,90)@32
multSinOp2_uid91_fpSinCosXTest_s <= ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_multSinOp2_uid91_fpSinCosXTest_b_q;
multSinOp2_uid91_fpSinCosXTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSinOp2_uid91_fpSinCosXTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE multSinOp2_uid91_fpSinCosXTest_s IS
WHEN "0" => multSinOp2_uid91_fpSinCosXTest_q <= polyEvalSigsinPiZ_uid86_fpSinCosXTest_b;
WHEN "1" => multSinOp2_uid91_fpSinCosXTest_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_mem_q;
WHEN OTHERS => multSinOp2_uid91_fpSinCosXTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor(LOGICAL,1320)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_b <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena_q;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_q <= not (ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_a or ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_b);
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena(REG,1321)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_nor_q = "1") THEN
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd(LOGICAL,1322)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_a <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_sticky_ena_q;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_b <= en;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_a and ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_b;
--cPi_uid71_fpSinCosXTest(CONSTANT,70)
cPi_uid71_fpSinCosXTest_q <= "11001001000011111101101011";
--LeftShiftStage263dto0_uid310_alignedZSin_uid67_fpSinCosXTest(BITSELECT,309)@27
LeftShiftStage263dto0_uid310_alignedZSin_uid67_fpSinCosXTest_in <= leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q(63 downto 0);
LeftShiftStage263dto0_uid310_alignedZSin_uid67_fpSinCosXTest_b <= LeftShiftStage263dto0_uid310_alignedZSin_uid67_fpSinCosXTest_in(63 downto 0);
--leftShiftStage3Idx1_uid311_alignedZSin_uid67_fpSinCosXTest(BITJOIN,310)@27
leftShiftStage3Idx1_uid311_alignedZSin_uid67_fpSinCosXTest_q <= LeftShiftStage263dto0_uid310_alignedZSin_uid67_fpSinCosXTest_b & GND_q;
--ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor(LOGICAL,1433)
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_b <= ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena_q;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_q <= not (ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_a or ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_b);
--ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena(REG,1434)
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_nor_q = "1") THEN
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd(LOGICAL,1435)
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_a <= ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_sticky_ena_q;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_b <= en;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_q <= ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_a and ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_b;
--X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest(BITSELECT,280)@21
X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_in <= zSin_uid60_fpSinCosXTest_q(32 downto 0);
X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b <= X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_in(32 downto 0);
--ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_inputreg(DELAY,1425)
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 33, depth => 1 )
PORT MAP ( xin => X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b, xout => ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem(DUALMEM,1426)
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_ia <= ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_inputreg_q;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 33,
widthad_a => 1,
numwords_a => 2,
width_b => 33,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_ia
);
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_q <= ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_iq(32 downto 0);
--leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest(BITJOIN,281)@25
leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_q <= ld_X32dto0_uid281_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_b_replace_mem_q & zs_uid244_lzcZSin_uid66_fpSinCosXTest_q;
--ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor(LOGICAL,1444)
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_b <= ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena_q;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_q <= not (ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_a or ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_b);
--ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena(REG,1445)
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_nor_q = "1") THEN
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd(LOGICAL,1446)
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_a <= ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_sticky_ena_q;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_b <= en;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_q <= ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_a and ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_b;
--ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_inputreg(DELAY,1436)
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 65, depth => 1 )
PORT MAP ( xin => zSin_uid60_fpSinCosXTest_q, xout => ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem(DUALMEM,1437)
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_ia <= ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_inputreg_q;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 65,
widthad_a => 1,
numwords_a => 2,
width_b => 65,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_iq,
address_a => ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_aa,
data_a => ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_ia
);
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_reset0 <= areset;
ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_q <= ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_iq(64 downto 0);
--rVStage_uid237_lzcZSin_uid66_fpSinCosXTest(BITSELECT,236)@21
rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_in <= zSin_uid60_fpSinCosXTest_q;
rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b <= rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_in(64 downto 1);
--vCount_uid238_lzcZSin_uid66_fpSinCosXTest(LOGICAL,237)@21
vCount_uid238_lzcZSin_uid66_fpSinCosXTest_a <= rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b;
vCount_uid238_lzcZSin_uid66_fpSinCosXTest_b <= zs_uid236_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_i <= "1" when vCount_uid238_lzcZSin_uid66_fpSinCosXTest_a = vCount_uid238_lzcZSin_uid66_fpSinCosXTest_b else "0";
vCount_uid238_lzcZSin_uid66_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q, xin => vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_g(DELAY,903)@22
ld_vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_g : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q, xout => ld_vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_g_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid240_lzcZSin_uid66_fpSinCosXTest(BITSELECT,239)@21
vStage_uid240_lzcZSin_uid66_fpSinCosXTest_in <= zSin_uid60_fpSinCosXTest_q(0 downto 0);
vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b <= vStage_uid240_lzcZSin_uid66_fpSinCosXTest_in(0 downto 0);
--ld_vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b_to_cStage_uid241_lzcZSin_uid66_fpSinCosXTest_b(DELAY,861)@21
ld_vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b_to_cStage_uid241_lzcZSin_uid66_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b, xout => ld_vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b_to_cStage_uid241_lzcZSin_uid66_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--cStage_uid241_lzcZSin_uid66_fpSinCosXTest(BITJOIN,240)@22
cStage_uid241_lzcZSin_uid66_fpSinCosXTest_q <= ld_vStage_uid240_lzcZSin_uid66_fpSinCosXTest_b_to_cStage_uid241_lzcZSin_uid66_fpSinCosXTest_b_q & mO_uid239_lzcZSin_uid66_fpSinCosXTest_q;
--ld_rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_c(DELAY,863)@21
ld_rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b, xout => ld_rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid243_lzcZSin_uid66_fpSinCosXTest(MUX,242)@22
vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_s <= vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q;
vStagei_uid243_lzcZSin_uid66_fpSinCosXTest: PROCESS (vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_s, en, ld_rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_c_q, cStage_uid241_lzcZSin_uid66_fpSinCosXTest_q)
BEGIN
CASE vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_q <= ld_rVStage_uid237_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_c_q;
WHEN "1" => vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_q <= cStage_uid241_lzcZSin_uid66_fpSinCosXTest_q;
WHEN OTHERS => vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid245_lzcZSin_uid66_fpSinCosXTest(BITSELECT,244)@22
rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_q;
rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b <= rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_in(63 downto 32);
--vCount_uid246_lzcZSin_uid66_fpSinCosXTest(LOGICAL,245)@22
vCount_uid246_lzcZSin_uid66_fpSinCosXTest_a <= rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b;
vCount_uid246_lzcZSin_uid66_fpSinCosXTest_b <= zs_uid244_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_i <= "1" when vCount_uid246_lzcZSin_uid66_fpSinCosXTest_a = vCount_uid246_lzcZSin_uid66_fpSinCosXTest_b else "0";
vCount_uid246_lzcZSin_uid66_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q, xin => vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_f(DELAY,902)@23
ld_vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_f : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q, xout => ld_vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_f_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid247_lzcZSin_uid66_fpSinCosXTest(BITSELECT,246)@22
vStage_uid247_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid243_lzcZSin_uid66_fpSinCosXTest_q(31 downto 0);
vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b <= vStage_uid247_lzcZSin_uid66_fpSinCosXTest_in(31 downto 0);
--ld_vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_d(DELAY,870)@22
ld_vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_d : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b, xout => ld_vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_d_q, ena => en(0), clk => clk, aclr => areset );
--ld_rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_c(DELAY,869)@22
ld_rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_c : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b, xout => ld_rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid249_lzcZSin_uid66_fpSinCosXTest(MUX,248)@23
vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_s <= vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q;
vStagei_uid249_lzcZSin_uid66_fpSinCosXTest: PROCESS (vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_s, en, ld_rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_c_q, ld_vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_d_q)
BEGIN
CASE vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_q <= ld_rVStage_uid245_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_c_q;
WHEN "1" => vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_q <= ld_vStage_uid247_lzcZSin_uid66_fpSinCosXTest_b_to_vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_d_q;
WHEN OTHERS => vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid251_lzcZSin_uid66_fpSinCosXTest(BITSELECT,250)@23
rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_q;
rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_b <= rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_in(31 downto 16);
--vCount_uid252_lzcZSin_uid66_fpSinCosXTest(LOGICAL,251)@23
vCount_uid252_lzcZSin_uid66_fpSinCosXTest_a <= rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_b;
vCount_uid252_lzcZSin_uid66_fpSinCosXTest_b <= zs_uid250_lzcZSin_uid66_fpSinCosXTest_q;
vCount_uid252_lzcZSin_uid66_fpSinCosXTest_q <= "1" when vCount_uid252_lzcZSin_uid66_fpSinCosXTest_a = vCount_uid252_lzcZSin_uid66_fpSinCosXTest_b else "0";
--reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4(REG,585)@23
reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q <= vCount_uid252_lzcZSin_uid66_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_e(DELAY,901)@24
ld_reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_e : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q, xout => ld_reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_e_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid253_lzcZSin_uid66_fpSinCosXTest(BITSELECT,252)@23
vStage_uid253_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid249_lzcZSin_uid66_fpSinCosXTest_q(15 downto 0);
vStage_uid253_lzcZSin_uid66_fpSinCosXTest_b <= vStage_uid253_lzcZSin_uid66_fpSinCosXTest_in(15 downto 0);
--vStagei_uid255_lzcZSin_uid66_fpSinCosXTest(MUX,254)@23
vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_s <= vCount_uid252_lzcZSin_uid66_fpSinCosXTest_q;
vStagei_uid255_lzcZSin_uid66_fpSinCosXTest: PROCESS (vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_s, en, rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_b, vStage_uid253_lzcZSin_uid66_fpSinCosXTest_b)
BEGIN
CASE vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_q <= rVStage_uid251_lzcZSin_uid66_fpSinCosXTest_b;
WHEN "1" => vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_q <= vStage_uid253_lzcZSin_uid66_fpSinCosXTest_b;
WHEN OTHERS => vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid257_lzcZSin_uid66_fpSinCosXTest(BITSELECT,256)@23
rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_q;
rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_b <= rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_in(15 downto 8);
--reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1(REG,580)@23
reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1_q <= rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid258_lzcZSin_uid66_fpSinCosXTest(LOGICAL,257)@24
vCount_uid258_lzcZSin_uid66_fpSinCosXTest_a <= reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1_q;
vCount_uid258_lzcZSin_uid66_fpSinCosXTest_b <= cstAllZWE_uid8_fpSinCosXTest_q;
vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q <= "1" when vCount_uid258_lzcZSin_uid66_fpSinCosXTest_a = vCount_uid258_lzcZSin_uid66_fpSinCosXTest_b else "0";
--ld_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_d(DELAY,900)@24
ld_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q, xout => ld_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_d_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid259_lzcZSin_uid66_fpSinCosXTest(BITSELECT,258)@23
vStage_uid259_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid255_lzcZSin_uid66_fpSinCosXTest_q(7 downto 0);
vStage_uid259_lzcZSin_uid66_fpSinCosXTest_b <= vStage_uid259_lzcZSin_uid66_fpSinCosXTest_in(7 downto 0);
--reg_vStage_uid259_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_3(REG,582)@23
reg_vStage_uid259_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid259_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid259_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_3_q <= vStage_uid259_lzcZSin_uid66_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid261_lzcZSin_uid66_fpSinCosXTest(MUX,260)@24
vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_s <= vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q;
vStagei_uid261_lzcZSin_uid66_fpSinCosXTest: PROCESS (vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_s, en, reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1_q, reg_vStage_uid259_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_3_q)
BEGIN
CASE vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_q <= reg_rVStage_uid257_lzcZSin_uid66_fpSinCosXTest_0_to_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_1_q;
WHEN "1" => vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_q <= reg_vStage_uid259_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_3_q;
WHEN OTHERS => vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid263_lzcZSin_uid66_fpSinCosXTest(BITSELECT,262)@24
rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_q;
rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_b <= rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_in(7 downto 4);
--vCount_uid264_lzcZSin_uid66_fpSinCosXTest(LOGICAL,263)@24
vCount_uid264_lzcZSin_uid66_fpSinCosXTest_a <= rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_b;
vCount_uid264_lzcZSin_uid66_fpSinCosXTest_b <= leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q;
vCount_uid264_lzcZSin_uid66_fpSinCosXTest_q_i <= "1" when vCount_uid264_lzcZSin_uid66_fpSinCosXTest_a = vCount_uid264_lzcZSin_uid66_fpSinCosXTest_b else "0";
vCount_uid264_lzcZSin_uid66_fpSinCosXTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid264_lzcZSin_uid66_fpSinCosXTest_q, xin => vCount_uid264_lzcZSin_uid66_fpSinCosXTest_q_i, clk => clk, ena => en(0), aclr => areset);
--vStage_uid265_lzcZSin_uid66_fpSinCosXTest(BITSELECT,264)@24
vStage_uid265_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid261_lzcZSin_uid66_fpSinCosXTest_q(3 downto 0);
vStage_uid265_lzcZSin_uid66_fpSinCosXTest_b <= vStage_uid265_lzcZSin_uid66_fpSinCosXTest_in(3 downto 0);
--reg_vStage_uid265_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_3(REG,584)@24
reg_vStage_uid265_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid265_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_3_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid265_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_3_q <= vStage_uid265_lzcZSin_uid66_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_2(REG,583)@24
reg_rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_2_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_2_q <= rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid267_lzcZSin_uid66_fpSinCosXTest(MUX,266)@25
vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_s <= vCount_uid264_lzcZSin_uid66_fpSinCosXTest_q;
vStagei_uid267_lzcZSin_uid66_fpSinCosXTest: PROCESS (vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_s, en, reg_rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_2_q, reg_vStage_uid265_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_3_q)
BEGIN
CASE vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_q <= reg_rVStage_uid263_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_2_q;
WHEN "1" => vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_q <= reg_vStage_uid265_lzcZSin_uid66_fpSinCosXTest_0_to_vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_3_q;
WHEN OTHERS => vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid269_lzcZSin_uid66_fpSinCosXTest(BITSELECT,268)@25
rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_q;
rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_b <= rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_in(3 downto 2);
--vCount_uid270_lzcZSin_uid66_fpSinCosXTest(LOGICAL,269)@25
vCount_uid270_lzcZSin_uid66_fpSinCosXTest_a <= rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_b;
vCount_uid270_lzcZSin_uid66_fpSinCosXTest_b <= leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q;
vCount_uid270_lzcZSin_uid66_fpSinCosXTest_q <= "1" when vCount_uid270_lzcZSin_uid66_fpSinCosXTest_a = vCount_uid270_lzcZSin_uid66_fpSinCosXTest_b else "0";
--vStage_uid271_lzcZSin_uid66_fpSinCosXTest(BITSELECT,270)@25
vStage_uid271_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid267_lzcZSin_uid66_fpSinCosXTest_q(1 downto 0);
vStage_uid271_lzcZSin_uid66_fpSinCosXTest_b <= vStage_uid271_lzcZSin_uid66_fpSinCosXTest_in(1 downto 0);
--vStagei_uid273_lzcZSin_uid66_fpSinCosXTest(MUX,272)@25
vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_s <= vCount_uid270_lzcZSin_uid66_fpSinCosXTest_q;
vStagei_uid273_lzcZSin_uid66_fpSinCosXTest: PROCESS (vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_s, en, rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_b, vStage_uid271_lzcZSin_uid66_fpSinCosXTest_b)
BEGIN
CASE vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_s IS
WHEN "0" => vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_q <= rVStage_uid269_lzcZSin_uid66_fpSinCosXTest_b;
WHEN "1" => vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_q <= vStage_uid271_lzcZSin_uid66_fpSinCosXTest_b;
WHEN OTHERS => vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid275_lzcZSin_uid66_fpSinCosXTest(BITSELECT,274)@25
rVStage_uid275_lzcZSin_uid66_fpSinCosXTest_in <= vStagei_uid273_lzcZSin_uid66_fpSinCosXTest_q;
rVStage_uid275_lzcZSin_uid66_fpSinCosXTest_b <= rVStage_uid275_lzcZSin_uid66_fpSinCosXTest_in(1 downto 1);
--vCount_uid276_lzcZSin_uid66_fpSinCosXTest(LOGICAL,275)@25
vCount_uid276_lzcZSin_uid66_fpSinCosXTest_a <= rVStage_uid275_lzcZSin_uid66_fpSinCosXTest_b;
vCount_uid276_lzcZSin_uid66_fpSinCosXTest_b <= GND_q;
vCount_uid276_lzcZSin_uid66_fpSinCosXTest_q <= "1" when vCount_uid276_lzcZSin_uid66_fpSinCosXTest_a = vCount_uid276_lzcZSin_uid66_fpSinCosXTest_b else "0";
--r_uid277_lzcZSin_uid66_fpSinCosXTest(BITJOIN,276)@25
r_uid277_lzcZSin_uid66_fpSinCosXTest_q <= ld_vCount_uid238_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_g_q & ld_vCount_uid246_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_f_q & ld_reg_vCount_uid252_lzcZSin_uid66_fpSinCosXTest_0_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_4_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_e_q & ld_vCount_uid258_lzcZSin_uid66_fpSinCosXTest_q_to_r_uid277_lzcZSin_uid66_fpSinCosXTest_d_q & vCount_uid264_lzcZSin_uid66_fpSinCosXTest_q & vCount_uid270_lzcZSin_uid66_fpSinCosXTest_q & vCount_uid276_lzcZSin_uid66_fpSinCosXTest_q;
--leftShiftStageSel6Dto5_uid285_alignedZSin_uid67_fpSinCosXTest(BITSELECT,284)@25
leftShiftStageSel6Dto5_uid285_alignedZSin_uid67_fpSinCosXTest_in <= r_uid277_lzcZSin_uid66_fpSinCosXTest_q;
leftShiftStageSel6Dto5_uid285_alignedZSin_uid67_fpSinCosXTest_b <= leftShiftStageSel6Dto5_uid285_alignedZSin_uid67_fpSinCosXTest_in(6 downto 5);
--leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest(MUX,285)@25
leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_s <= leftShiftStageSel6Dto5_uid285_alignedZSin_uid67_fpSinCosXTest_b;
leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest: PROCESS (leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_s, en, ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_q, leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_q, cstZmwFRRPwSM1_uid52_fpSinCosXTest_q, cstZmwFRRPwSM1_uid52_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q <= ld_zSin_uid60_fpSinCosXTest_q_to_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_c_replace_mem_q;
WHEN "01" => leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q <= leftShiftStage0Idx1_uid282_alignedZSin_uid67_fpSinCosXTest_q;
WHEN "10" => leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q <= cstZmwFRRPwSM1_uid52_fpSinCosXTest_q;
WHEN "11" => leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q <= cstZmwFRRPwSM1_uid52_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage040dto0_uid294_alignedZSin_uid67_fpSinCosXTest(BITSELECT,293)@25
LeftShiftStage040dto0_uid294_alignedZSin_uid67_fpSinCosXTest_in <= leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q(40 downto 0);
LeftShiftStage040dto0_uid294_alignedZSin_uid67_fpSinCosXTest_b <= LeftShiftStage040dto0_uid294_alignedZSin_uid67_fpSinCosXTest_in(40 downto 0);
--leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest(BITJOIN,294)@25
leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_q <= LeftShiftStage040dto0_uid294_alignedZSin_uid67_fpSinCosXTest_b & leftShiftStage1Idx3Pad24_uid293_alignedZSin_uid67_fpSinCosXTest_q;
--reg_leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_5(REG,590)@25
reg_leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_5_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_5_q <= leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage048dto0_uid291_alignedZSin_uid67_fpSinCosXTest(BITSELECT,290)@25
LeftShiftStage048dto0_uid291_alignedZSin_uid67_fpSinCosXTest_in <= leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q(48 downto 0);
LeftShiftStage048dto0_uid291_alignedZSin_uid67_fpSinCosXTest_b <= LeftShiftStage048dto0_uid291_alignedZSin_uid67_fpSinCosXTest_in(48 downto 0);
--leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest(BITJOIN,291)@25
leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_q <= LeftShiftStage048dto0_uid291_alignedZSin_uid67_fpSinCosXTest_b & zs_uid250_lzcZSin_uid66_fpSinCosXTest_q;
--reg_leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_4(REG,589)@25
reg_leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_4_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_4_q <= leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage056dto0_uid288_alignedZSin_uid67_fpSinCosXTest(BITSELECT,287)@25
LeftShiftStage056dto0_uid288_alignedZSin_uid67_fpSinCosXTest_in <= leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q(56 downto 0);
LeftShiftStage056dto0_uid288_alignedZSin_uid67_fpSinCosXTest_b <= LeftShiftStage056dto0_uid288_alignedZSin_uid67_fpSinCosXTest_in(56 downto 0);
--leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest(BITJOIN,288)@25
leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_q <= LeftShiftStage056dto0_uid288_alignedZSin_uid67_fpSinCosXTest_b & cstAllZWE_uid8_fpSinCosXTest_q;
--reg_leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_3(REG,588)@25
reg_leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_3_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_3_q <= leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--reg_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_2(REG,587)@25
reg_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_2_q <= leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest(BITSELECT,295)@25
leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_in <= r_uid277_lzcZSin_uid66_fpSinCosXTest_q(4 downto 0);
leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_b <= leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_in(4 downto 3);
--reg_leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_1(REG,586)@25
reg_leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_1_q <= leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest(MUX,296)@26
leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_s <= reg_leftShiftStageSel4Dto3_uid296_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_1_q;
leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest: PROCESS (leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_s, en, reg_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_2_q, reg_leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_3_q, reg_leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_4_q, reg_leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_5_q)
BEGIN
CASE leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q <= reg_leftShiftStage0_uid286_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q <= reg_leftShiftStage1Idx1_uid289_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_3_q;
WHEN "10" => leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q <= reg_leftShiftStage1Idx2_uid292_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_4_q;
WHEN "11" => leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q <= reg_leftShiftStage1Idx3_uid295_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_5_q;
WHEN OTHERS => leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest(BITSELECT,304)@26
LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_in <= leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q(58 downto 0);
LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b <= LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_in(58 downto 0);
--ld_LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_b(DELAY,927)@26
ld_LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 59, depth => 1 )
PORT MAP ( xin => LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b, xout => ld_LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest(BITJOIN,305)@27
leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_q <= ld_LeftShiftStage158dto0_uid305_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_b_q & leftShiftStage2Idx3Pad6_uid304_alignedZSin_uid67_fpSinCosXTest_q;
--LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest(BITSELECT,301)@26
LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_in <= leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q(60 downto 0);
LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b <= LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_in(60 downto 0);
--ld_LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_b(DELAY,925)@26
ld_LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 61, depth => 1 )
PORT MAP ( xin => LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b, xout => ld_LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest(BITJOIN,302)@27
leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_q <= ld_LeftShiftStage160dto0_uid302_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_b_q & leftShiftStage0Idx1Pad4_uid213_fxpX_uid48_fpSinCosXTest_q;
--LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest(BITSELECT,298)@26
LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_in <= leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q(62 downto 0);
LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b <= LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_in(62 downto 0);
--ld_LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_b(DELAY,923)@26
ld_LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 63, depth => 1 )
PORT MAP ( xin => LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b, xout => ld_LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest(BITJOIN,299)@27
leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_q <= ld_LeftShiftStage162dto0_uid299_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_b_q & leftShiftStage1Idx2Pad2_uid227_fxpX_uid48_fpSinCosXTest_q;
--reg_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_2(REG,592)@26
reg_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_2_q <= leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest(BITSELECT,306)@25
leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_in <= r_uid277_lzcZSin_uid66_fpSinCosXTest_q(2 downto 0);
leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b <= leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_in(2 downto 1);
--ld_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b_to_reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_a(DELAY,1214)@25
ld_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b_to_reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b, xout => ld_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b_to_reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1(REG,591)@26
reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_q <= ld_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_b_to_reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest(MUX,307)@27
leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_s <= reg_leftShiftStageSel2Dto1_uid307_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_1_q;
leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest: PROCESS (leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_s, en, reg_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_2_q, leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_q, leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_q, leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_s IS
WHEN "00" => leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q <= reg_leftShiftStage1_uid297_alignedZSin_uid67_fpSinCosXTest_0_to_leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_2_q;
WHEN "01" => leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q <= leftShiftStage2Idx1_uid300_alignedZSin_uid67_fpSinCosXTest_q;
WHEN "10" => leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q <= leftShiftStage2Idx2_uid303_alignedZSin_uid67_fpSinCosXTest_q;
WHEN "11" => leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q <= leftShiftStage2Idx3_uid306_alignedZSin_uid67_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest(BITSELECT,311)@25
leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_in <= r_uid277_lzcZSin_uid66_fpSinCosXTest_q(0 downto 0);
leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b <= leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_in(0 downto 0);
--ld_leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_b(DELAY,937)@25
ld_leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b, xout => ld_leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest(MUX,312)@27
leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_s <= ld_leftShiftStageSel0Dto0_uid312_alignedZSin_uid67_fpSinCosXTest_b_to_leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_b_q;
leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest: PROCESS (leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_s, en, leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q, leftShiftStage3Idx1_uid311_alignedZSin_uid67_fpSinCosXTest_q)
BEGIN
CASE leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_s IS
WHEN "0" => leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_q <= leftShiftStage2_uid308_alignedZSin_uid67_fpSinCosXTest_q;
WHEN "1" => leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_q <= leftShiftStage3Idx1_uid311_alignedZSin_uid67_fpSinCosXTest_q;
WHEN OTHERS => leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--pHigh_uid72_fpSinCosXTest(BITSELECT,71)@27
pHigh_uid72_fpSinCosXTest_in <= leftShiftStage3_uid313_alignedZSin_uid67_fpSinCosXTest_q;
pHigh_uid72_fpSinCosXTest_b <= pHigh_uid72_fpSinCosXTest_in(64 downto 39);
--reg_pHigh_uid72_fpSinCosXTest_0_to_p_uid73_fpSinCosXTest_2(REG,594)@27
reg_pHigh_uid72_fpSinCosXTest_0_to_p_uid73_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pHigh_uid72_fpSinCosXTest_0_to_p_uid73_fpSinCosXTest_2_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pHigh_uid72_fpSinCosXTest_0_to_p_uid73_fpSinCosXTest_2_q <= pHigh_uid72_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_a(DELAY,1216)@16
ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_a : dspba_delay
GENERIC MAP ( width => 1, depth => 11 )
PORT MAP ( xin => sinXIsXRR_uid41_fpSinCosXTest_n, xout => ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1(REG,593)@27
reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_q <= ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_a_q;
END IF;
END IF;
END PROCESS;
--p_uid73_fpSinCosXTest(MUX,72)@28
p_uid73_fpSinCosXTest_s <= reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_p_uid73_fpSinCosXTest_1_q;
p_uid73_fpSinCosXTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p_uid73_fpSinCosXTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE p_uid73_fpSinCosXTest_s IS
WHEN "0" => p_uid73_fpSinCosXTest_q <= reg_pHigh_uid72_fpSinCosXTest_0_to_p_uid73_fpSinCosXTest_2_q;
WHEN "1" => p_uid73_fpSinCosXTest_q <= cPi_uid71_fpSinCosXTest_q;
WHEN OTHERS => p_uid73_fpSinCosXTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_inputreg(DELAY,1312)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 26, depth => 1 )
PORT MAP ( xin => p_uid73_fpSinCosXTest_q, xout => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem(DUALMEM,1313)
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_ia <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_inputreg_q;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_aa <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdreg_q;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_ab <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_rdmux_q;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 26,
widthad_a => 1,
numwords_a => 2,
width_b => 26,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_iq,
address_a => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_aa,
data_a => ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_ia
);
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_reset0 <= areset;
ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_q <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_iq(25 downto 0);
--mulSin_uid92_fpSinCosXTest(MULT,91)@33
mulSin_uid92_fpSinCosXTest_pr <= UNSIGNED(mulSin_uid92_fpSinCosXTest_a) * UNSIGNED(mulSin_uid92_fpSinCosXTest_b);
mulSin_uid92_fpSinCosXTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mulSin_uid92_fpSinCosXTest_a <= (others => '0');
mulSin_uid92_fpSinCosXTest_b <= (others => '0');
mulSin_uid92_fpSinCosXTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mulSin_uid92_fpSinCosXTest_a <= ld_p_uid73_fpSinCosXTest_q_to_mulSin_uid92_fpSinCosXTest_a_replace_mem_q;
mulSin_uid92_fpSinCosXTest_b <= multSinOp2_uid91_fpSinCosXTest_q;
mulSin_uid92_fpSinCosXTest_s1 <= STD_LOGIC_VECTOR(mulSin_uid92_fpSinCosXTest_pr);
END IF;
END IF;
END PROCESS;
mulSin_uid92_fpSinCosXTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mulSin_uid92_fpSinCosXTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mulSin_uid92_fpSinCosXTest_q <= mulSin_uid92_fpSinCosXTest_s1;
END IF;
END IF;
END PROCESS;
--normBitSin_uid93_fpSinCosXTest(BITSELECT,92)@36
normBitSin_uid93_fpSinCosXTest_in <= mulSin_uid92_fpSinCosXTest_q;
normBitSin_uid93_fpSinCosXTest_b <= normBitSin_uid93_fpSinCosXTest_in(51 downto 51);
--join_uid99_fpSinCosXTest(BITJOIN,98)@36
join_uid99_fpSinCosXTest_q <= reg_sinXIsXRR_uid41_fpSinCosXTest_2_to_join_uid99_fpSinCosXTest_1_q & normBitSin_uid93_fpSinCosXTest_b;
--sinRndOp_uid100_uid101_fpSinCosXTest(BITJOIN,100)@36
sinRndOp_uid100_uid101_fpSinCosXTest_q <= join_uid99_fpSinCosXTest_q & cstAllZWF_uid7_fpSinCosXTest_q & VCC_q;
--ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor(LOGICAL,1333)
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_b <= ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena_q;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_q <= not (ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_a or ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_b);
--ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena(REG,1334)
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_nor_q = "1") THEN
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena_q <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd(LOGICAL,1335)
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_a <= ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_sticky_ena_q;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_b <= en;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_q <= ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_a and ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_b;
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor(LOGICAL,1283)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_b <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena_q;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_q <= not (ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_a or ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_b);
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena(REG,1284)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_nor_q = "1") THEN
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd(LOGICAL,1285)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_sticky_ena_q;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_b <= en;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_a and ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_b;
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_inputreg(DELAY,1273)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expXRR_uid38_fpSinCosXTest_b, xout => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem(DUALMEM,1274)
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_ia <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_inputreg_q;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_aa <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdreg_q;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_ab <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_rdmux_q;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 4,
numwords_a => 9,
width_b => 8,
widthad_b => 4,
numwords_b => 9,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_iq,
address_a => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_aa,
data_a => ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_ia
);
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_reset0 <= areset;
ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_iq(7 downto 0);
--reg_r_uid277_lzcZSin_uid66_fpSinCosXTest_0_to_expSinHC_uid74_fpSinCosXTest_1(REG,604)@25
reg_r_uid277_lzcZSin_uid66_fpSinCosXTest_0_to_expSinHC_uid74_fpSinCosXTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_r_uid277_lzcZSin_uid66_fpSinCosXTest_0_to_expSinHC_uid74_fpSinCosXTest_1_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_r_uid277_lzcZSin_uid66_fpSinCosXTest_0_to_expSinHC_uid74_fpSinCosXTest_1_q <= r_uid277_lzcZSin_uid66_fpSinCosXTest_q;
END IF;
END IF;
END PROCESS;
--expSinHC_uid74_fpSinCosXTest(SUB,73)@26
expSinHC_uid74_fpSinCosXTest_a <= STD_LOGIC_VECTOR("0" & cstBiasM1_uid23_fpSinCosXTest_q);
expSinHC_uid74_fpSinCosXTest_b <= STD_LOGIC_VECTOR("00" & reg_r_uid277_lzcZSin_uid66_fpSinCosXTest_0_to_expSinHC_uid74_fpSinCosXTest_1_q);
expSinHC_uid74_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expSinHC_uid74_fpSinCosXTest_a) - UNSIGNED(expSinHC_uid74_fpSinCosXTest_b));
expSinHC_uid74_fpSinCosXTest_q <= expSinHC_uid74_fpSinCosXTest_o(8 downto 0);
--expSinHCR_uid75_fpSinCosXTest(BITSELECT,74)@26
expSinHCR_uid75_fpSinCosXTest_in <= expSinHC_uid74_fpSinCosXTest_q(7 downto 0);
expSinHCR_uid75_fpSinCosXTest_b <= expSinHCR_uid75_fpSinCosXTest_in(7 downto 0);
--ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_expPSin_uid76_fpSinCosXTest_b(DELAY,695)@16
ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_expPSin_uid76_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 10 )
PORT MAP ( xin => sinXIsXRR_uid41_fpSinCosXTest_n, xout => ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_expPSin_uid76_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expPSin_uid76_fpSinCosXTest(MUX,75)@26
expPSin_uid76_fpSinCosXTest_s <= ld_sinXIsXRR_uid41_fpSinCosXTest_n_to_expPSin_uid76_fpSinCosXTest_b_q;
expPSin_uid76_fpSinCosXTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expPSin_uid76_fpSinCosXTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expPSin_uid76_fpSinCosXTest_s IS
WHEN "0" => expPSin_uid76_fpSinCosXTest_q <= expSinHCR_uid75_fpSinCosXTest_b;
WHEN "1" => expPSin_uid76_fpSinCosXTest_q <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_replace_mem_q;
WHEN OTHERS => expPSin_uid76_fpSinCosXTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_inputreg(DELAY,1323)
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expPSin_uid76_fpSinCosXTest_q, xout => ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem(DUALMEM,1324)
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_ia <= ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_inputreg_q;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_aa <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdreg_q;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_ab <= ld_reg_r_uid356_lzcZCos_uid69_fpSinCosXTest_0_to_expHardCase_uid78_fpSinCosXTest_1_q_to_expHardCase_uid78_fpSinCosXTest_b_replace_rdmux_q;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 7,
width_b => 8,
widthad_b => 3,
numwords_b => 7,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_iq,
address_a => ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_aa,
data_a => ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_ia
);
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_reset0 <= areset;
ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_q <= ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_iq(7 downto 0);
--fracRSinPreRndHigh_uid95_fpSinCosXTest(BITSELECT,94)@36
fracRSinPreRndHigh_uid95_fpSinCosXTest_in <= mulSin_uid92_fpSinCosXTest_q(50 downto 0);
fracRSinPreRndHigh_uid95_fpSinCosXTest_b <= fracRSinPreRndHigh_uid95_fpSinCosXTest_in(50 downto 27);
--fracRSinPreRndLow_uid96_fpSinCosXTest(BITSELECT,95)@36
fracRSinPreRndLow_uid96_fpSinCosXTest_in <= mulSin_uid92_fpSinCosXTest_q(49 downto 0);
fracRSinPreRndLow_uid96_fpSinCosXTest_b <= fracRSinPreRndLow_uid96_fpSinCosXTest_in(49 downto 26);
--fracRSinPreRnd_uid97_fpSinCosXTest(MUX,96)@36
fracRSinPreRnd_uid97_fpSinCosXTest_s <= normBitSin_uid93_fpSinCosXTest_b;
fracRSinPreRnd_uid97_fpSinCosXTest: PROCESS (fracRSinPreRnd_uid97_fpSinCosXTest_s, en, fracRSinPreRndLow_uid96_fpSinCosXTest_b, fracRSinPreRndHigh_uid95_fpSinCosXTest_b)
BEGIN
CASE fracRSinPreRnd_uid97_fpSinCosXTest_s IS
WHEN "0" => fracRSinPreRnd_uid97_fpSinCosXTest_q <= fracRSinPreRndLow_uid96_fpSinCosXTest_b;
WHEN "1" => fracRSinPreRnd_uid97_fpSinCosXTest_q <= fracRSinPreRndHigh_uid95_fpSinCosXTest_b;
WHEN OTHERS => fracRSinPreRnd_uid97_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--expFracRSinPreRnd_uid98_uid98_fpSinCosXTest(BITJOIN,97)@36
expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_q <= ld_expPSin_uid76_fpSinCosXTest_q_to_expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_b_replace_mem_q & fracRSinPreRnd_uid97_fpSinCosXTest_q;
--expFracRSin_uid102_fpSinCosXTest(ADD,101)@36
expFracRSin_uid102_fpSinCosXTest_a <= STD_LOGIC_VECTOR("0" & expFracRSinPreRnd_uid98_uid98_fpSinCosXTest_q);
expFracRSin_uid102_fpSinCosXTest_b <= STD_LOGIC_VECTOR("0000000" & sinRndOp_uid100_uid101_fpSinCosXTest_q);
expFracRSin_uid102_fpSinCosXTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracRSin_uid102_fpSinCosXTest_a) + UNSIGNED(expFracRSin_uid102_fpSinCosXTest_b));
expFracRSin_uid102_fpSinCosXTest_q <= expFracRSin_uid102_fpSinCosXTest_o(32 downto 0);
--expRCompSin_uid104_fpSinCosXTest(BITSELECT,103)@36
expRCompSin_uid104_fpSinCosXTest_in <= expFracRSin_uid102_fpSinCosXTest_q(31 downto 0);
expRCompSin_uid104_fpSinCosXTest_b <= expRCompSin_uid104_fpSinCosXTest_in(31 downto 24);
--reg_expRCompSin_uid104_fpSinCosXTest_0_to_expRPostExcSin_uid126_fpSinCosXTest_2(REG,607)@36
reg_expRCompSin_uid104_fpSinCosXTest_0_to_expRPostExcSin_uid126_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRCompSin_uid104_fpSinCosXTest_0_to_expRPostExcSin_uid126_fpSinCosXTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRCompSin_uid104_fpSinCosXTest_0_to_expRPostExcSin_uid126_fpSinCosXTest_2_q <= expRCompSin_uid104_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor(LOGICAL,1537)
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_b <= ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena_q;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_q <= not (ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_a or ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_b);
--ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena(REG,1538)
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_nor_q = "1") THEN
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena_q <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd(LOGICAL,1539)
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_a <= ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_sticky_ena_q;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_b <= en;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_q <= ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_a and ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_b;
--expXIsZero_uid10_fpSinCosXTest(LOGICAL,9)@0
expXIsZero_uid10_fpSinCosXTest_a <= exp_uid9_fpSinCosXTest_b;
expXIsZero_uid10_fpSinCosXTest_b <= cstAllZWE_uid8_fpSinCosXTest_q;
expXIsZero_uid10_fpSinCosXTest_q <= "1" when expXIsZero_uid10_fpSinCosXTest_a = expXIsZero_uid10_fpSinCosXTest_b else "0";
--ld_expXIsZero_uid10_fpSinCosXTest_q_to_excSelBitsSin_uid118_fpSinCosXTest_b(DELAY,746)@0
ld_expXIsZero_uid10_fpSinCosXTest_q_to_excSelBitsSin_uid118_fpSinCosXTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 19 )
PORT MAP ( xin => expXIsZero_uid10_fpSinCosXTest_q, xout => ld_expXIsZero_uid10_fpSinCosXTest_q_to_excSelBitsSin_uid118_fpSinCosXTest_b_q, ena => en(0), clk => clk, aclr => areset );
--excSelBitsSin_uid118_fpSinCosXTest(BITJOIN,117)@19
excSelBitsSin_uid118_fpSinCosXTest_q <= excRNaN_uid117_fpSinCosXTest_q & ld_expXIsZero_uid10_fpSinCosXTest_q_to_excSelBitsSin_uid118_fpSinCosXTest_b_q & ld_sinXIsX_uid40_fpSinCosXTest_n_to_excSelBitsSin_uid118_fpSinCosXTest_a_q;
--ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_inputreg(DELAY,1527)
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 3, depth => 1 )
PORT MAP ( xin => excSelBitsSin_uid118_fpSinCosXTest_q, xout => ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem(DUALMEM,1528)
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_ia <= ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_inputreg_q;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_aa <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdreg_q;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_ab <= ld_oFracXRRSmallXRR_uid90_fpSinCosXTest_b_to_multSinOp2_uid91_fpSinCosXTest_d_replace_rdmux_q;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 3,
widthad_a => 4,
numwords_a => 14,
width_b => 3,
widthad_b => 4,
numwords_b => 14,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_iq,
address_a => ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_aa,
data_a => ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_ia
);
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_reset0 <= areset;
ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_q <= ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_iq(2 downto 0);
--reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0(REG,533)@35
reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_q <= ld_excSelBitsSin_uid118_fpSinCosXTest_q_to_reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--excSelSin_uid119_fpSinCosXTest(LOOKUP,118)@36
excSelSin_uid119_fpSinCosXTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
excSelSin_uid119_fpSinCosXTest_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_excSelBitsSin_uid118_fpSinCosXTest_0_to_excSelSin_uid119_fpSinCosXTest_0_q) IS
WHEN "000" => excSelSin_uid119_fpSinCosXTest_q <= "00";
WHEN "001" => excSelSin_uid119_fpSinCosXTest_q <= "01";
WHEN "010" => excSelSin_uid119_fpSinCosXTest_q <= "10";
WHEN "011" => excSelSin_uid119_fpSinCosXTest_q <= "10";
WHEN "100" => excSelSin_uid119_fpSinCosXTest_q <= "11";
WHEN "101" => excSelSin_uid119_fpSinCosXTest_q <= "11";
WHEN "110" => excSelSin_uid119_fpSinCosXTest_q <= "00";
WHEN "111" => excSelSin_uid119_fpSinCosXTest_q <= "00";
WHEN OTHERS =>
excSelSin_uid119_fpSinCosXTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--expRPostExcSin_uid126_fpSinCosXTest(MUX,125)@37
expRPostExcSin_uid126_fpSinCosXTest_s <= excSelSin_uid119_fpSinCosXTest_q;
expRPostExcSin_uid126_fpSinCosXTest: PROCESS (expRPostExcSin_uid126_fpSinCosXTest_s, en, reg_expRCompSin_uid104_fpSinCosXTest_0_to_expRPostExcSin_uid126_fpSinCosXTest_2_q, ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_q, cstAllZWE_uid8_fpSinCosXTest_q, cstAllOWE_uid6_fpSinCosXTest_q)
BEGIN
CASE expRPostExcSin_uid126_fpSinCosXTest_s IS
WHEN "00" => expRPostExcSin_uid126_fpSinCosXTest_q <= reg_expRCompSin_uid104_fpSinCosXTest_0_to_expRPostExcSin_uid126_fpSinCosXTest_2_q;
WHEN "01" => expRPostExcSin_uid126_fpSinCosXTest_q <= ld_exp_uid9_fpSinCosXTest_b_to_expRPostExcSin_uid126_fpSinCosXTest_d_replace_mem_q;
WHEN "10" => expRPostExcSin_uid126_fpSinCosXTest_q <= cstAllZWE_uid8_fpSinCosXTest_q;
WHEN "11" => expRPostExcSin_uid126_fpSinCosXTest_q <= cstAllOWE_uid6_fpSinCosXTest_q;
WHEN OTHERS => expRPostExcSin_uid126_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor(LOGICAL,1346)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_a <= ld_expXRR_uid38_fpSinCosXTest_b_to_expPSin_uid76_fpSinCosXTest_d_notEnable_q;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_b <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena_q;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_q <= not (ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_a or ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_b);
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena(REG,1347)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_nor_q = "1") THEN
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd(LOGICAL,1348)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_a <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_sticky_ena_q;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_b <= en;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_a and ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_b;
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_inputreg(DELAY,1336)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => frac_uid13_fpSinCosXTest_b, xout => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem(DUALMEM,1337)
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_ia <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_inputreg_q;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_aa <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdreg_q;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_ab <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_rdmux_q;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 23,
widthad_a => 6,
numwords_a => 35,
width_b => 23,
widthad_b => 6,
numwords_b => 35,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_iq,
address_a => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_aa,
data_a => ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_ia
);
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_reset0 <= areset;
ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_iq(22 downto 0);
--fracRCompSin_uid103_fpSinCosXTest(BITSELECT,102)@36
fracRCompSin_uid103_fpSinCosXTest_in <= expFracRSin_uid102_fpSinCosXTest_q(23 downto 0);
fracRCompSin_uid103_fpSinCosXTest_b <= fracRCompSin_uid103_fpSinCosXTest_in(23 downto 1);
--reg_fracRCompSin_uid103_fpSinCosXTest_0_to_fracRPostExcSin_uid122_fpSinCosXTest_2(REG,606)@36
reg_fracRCompSin_uid103_fpSinCosXTest_0_to_fracRPostExcSin_uid122_fpSinCosXTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRCompSin_uid103_fpSinCosXTest_0_to_fracRPostExcSin_uid122_fpSinCosXTest_2_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRCompSin_uid103_fpSinCosXTest_0_to_fracRPostExcSin_uid122_fpSinCosXTest_2_q <= fracRCompSin_uid103_fpSinCosXTest_b;
END IF;
END IF;
END PROCESS;
--fracRPostExcSin_uid122_fpSinCosXTest(MUX,121)@37
fracRPostExcSin_uid122_fpSinCosXTest_s <= excSelSin_uid119_fpSinCosXTest_q;
fracRPostExcSin_uid122_fpSinCosXTest: PROCESS (fracRPostExcSin_uid122_fpSinCosXTest_s, en, reg_fracRCompSin_uid103_fpSinCosXTest_0_to_fracRPostExcSin_uid122_fpSinCosXTest_2_q, ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_q, cstAllZWF_uid7_fpSinCosXTest_q, cstNaNwF_uid32_fpSinCosXTest_q)
BEGIN
CASE fracRPostExcSin_uid122_fpSinCosXTest_s IS
WHEN "00" => fracRPostExcSin_uid122_fpSinCosXTest_q <= reg_fracRCompSin_uid103_fpSinCosXTest_0_to_fracRPostExcSin_uid122_fpSinCosXTest_2_q;
WHEN "01" => fracRPostExcSin_uid122_fpSinCosXTest_q <= ld_frac_uid13_fpSinCosXTest_b_to_fracRPostExcSin_uid122_fpSinCosXTest_d_replace_mem_q;
WHEN "10" => fracRPostExcSin_uid122_fpSinCosXTest_q <= cstAllZWF_uid7_fpSinCosXTest_q;
WHEN "11" => fracRPostExcSin_uid122_fpSinCosXTest_q <= cstNaNwF_uid32_fpSinCosXTest_q;
WHEN OTHERS => fracRPostExcSin_uid122_fpSinCosXTest_q <= (others => '0');
END CASE;
END PROCESS;
--fpSin_uid134_fpSinCosXTest(BITJOIN,133)@37
fpSin_uid134_fpSinCosXTest_q <= ld_signRSinFull_uid133_fpSinCosXTest_q_to_fpSin_uid134_fpSinCosXTest_c_q & expRPostExcSin_uid126_fpSinCosXTest_q & fracRPostExcSin_uid122_fpSinCosXTest_q;
--xOut(GPOUT,4)@37
s <= fpSin_uid134_fpSinCosXTest_q;
c <= fpCos_uid162_fpSinCosXTest_q;
end normal;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_delaybit.vhd | 10 | 2330 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_DELAYBIT.VHD ***
--*** ***
--*** Function: Delay a single bit an ***
--*** arbitrary number of stages ***
--*** ***
--*** 13/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_delaybit IS
GENERIC (delay : positive := 32);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC;
cc : OUT STD_LOGIC
);
END hcc_delaybit;
ARCHITECTURE rtl OF hcc_delaybit IS
signal delff : STD_LOGIC_VECTOR (delay DOWNTO 1);
BEGIN
gda: IF (delay = 1) GENERATE
pone: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
delff(1) <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
delff(1) <= aa;
END IF;
END IF;
END PROCESS;
cc <= delff(1);
END GENERATE;
gdb: IF (delay > 1) GENERATE
ptwo: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO delay LOOP
delff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
delff(1) <= aa;
FOR k IN 2 TO delay LOOP
delff(k) <= delff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
cc <= delff(delay);
END GENERATE;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/CosPiDPStratixVf400.vhd | 10 | 580991 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Debug Version 12.0
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2012 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from CosPiDPStratixVf400
-- VHDL created on Wed Sep 05 17:56:14 2012
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
USE work.CosPiDPStratixVf400_safe_path.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
-- Text written from d:/qshell64/p4/ip/aion/src/mip_common/hw_model.cpp:1240
entity CosPiDPStratixVf400 is
port (
xIn_v : in std_logic_vector(0 downto 0);
xIn_c : in std_logic_vector(7 downto 0);
xIn_0 : in std_logic_vector(63 downto 0);
xOut_v : out std_logic_vector(0 downto 0);
xOut_c : out std_logic_vector(7 downto 0);
xOut_0 : out std_logic_vector(63 downto 0);
clk : in std_logic;
areset : in std_logic;
bus_clk : in std_logic;
h_areset : in std_logic
);
end;
architecture normal of CosPiDPStratixVf400 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllOWE_uid8_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal cstAllZWF_uid9_fpCosPiTest_q : std_logic_vector (51 downto 0);
signal cstBias_uid10_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal cstBiasM1_uid11_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal cstBiasPwF_uid12_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal biasMwShift_uid13_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal biasMwShiftMO_uid14_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal cst01pWShift_uid15_fpCosPiTest_q : std_logic_vector (27 downto 0);
signal cstZwSwF_uid16_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal cstAllZWE_uid22_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal oMFxpXFrac_uid49_fpCosPiTest_a : std_logic_vector(81 downto 0);
signal oMFxpXFrac_uid49_fpCosPiTest_b : std_logic_vector(81 downto 0);
signal oMFxpXFrac_uid49_fpCosPiTest_o : std_logic_vector (81 downto 0);
signal oMFxpXFrac_uid49_fpCosPiTest_q : std_logic_vector (81 downto 0);
signal rangeReducedFxPX_uid53_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal rangeReducedFxPX_uid53_fpCosPiTest_q : std_logic_vector (79 downto 0);
signal z_halfMRRFxPXE_uid54_fpCosPiTest_a : std_logic_vector(80 downto 0);
signal z_halfMRRFxPXE_uid54_fpCosPiTest_b : std_logic_vector(80 downto 0);
signal z_halfMRRFxPXE_uid54_fpCosPiTest_o : std_logic_vector (80 downto 0);
signal z_halfMRRFxPXE_uid54_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal xIsInt_uid82_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal xIsInt_uid82_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal xIsInt_uid82_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal or_uid87_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal or_uid87_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal or_uid87_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal or_uid87_fpCosPiTest_d : std_logic_vector(0 downto 0);
signal or_uid87_fpCosPiTest_e : std_logic_vector(0 downto 0);
signal or_uid87_fpCosPiTest_f : std_logic_vector(0 downto 0);
signal or_uid87_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal fracRPostExc1_uid88_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal fracRPostExc1_uid88_fpCosPiTest_q : std_logic_vector (51 downto 0);
signal oneFracRPostExc2_uid89_fpCosPiTest_q : std_logic_vector (51 downto 0);
signal expRPostExc1_uid93_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal expRPostExc1_uid93_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal leftShiftStage0Idx1Pad32_uid107_fxpX_uid43_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal leftShiftStage0Idx2Pad64_uid110_fxpX_uid43_fpCosPiTest_q : std_logic_vector (63 downto 0);
signal leftShiftStage0Idx3_uid113_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStage1Idx1Pad8_uid116_fxpX_uid43_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal leftShiftStage1Idx2Pad16_uid119_fxpX_uid43_fpCosPiTest_q : std_logic_vector (15 downto 0);
signal leftShiftStage1Idx3Pad24_uid122_fxpX_uid43_fpCosPiTest_q : std_logic_vector (23 downto 0);
signal leftShiftStage2Idx1Pad2_uid127_fxpX_uid43_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage2Idx2Pad4_uid130_fxpX_uid43_fpCosPiTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage2Idx3Pad6_uid133_fxpX_uid43_fpCosPiTest_q : std_logic_vector (5 downto 0);
signal mO_uid147_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (48 downto 0);
signal memoryC3_uid228_sinPiZTableGenerator_q : std_logic_vector(34 downto 0);
signal memoryC4_uid229_sinPiZTableGenerator_q : std_logic_vector(25 downto 0);
signal memoryC5_uid230_sinPiZTableGenerator_q : std_logic_vector(16 downto 0);
signal rndBit_uid245_sinPiZPolyEval_q : std_logic_vector (1 downto 0);
signal rndBit_uid257_sinPiZPolyEval_q : std_logic_vector (2 downto 0);
signal prodXY_uid262_pT1_uid232_sinPiZPolyEval_a : std_logic_vector (16 downto 0);
signal prodXY_uid262_pT1_uid232_sinPiZPolyEval_b : std_logic_vector (16 downto 0);
signal prodXY_uid262_pT1_uid232_sinPiZPolyEval_s1 : std_logic_vector (33 downto 0);
signal prodXY_uid262_pT1_uid232_sinPiZPolyEval_pr : SIGNED (34 downto 0);
signal prodXY_uid262_pT1_uid232_sinPiZPolyEval_q : std_logic_vector (33 downto 0);
signal prodXY_uid265_pT2_uid238_sinPiZPolyEval_a : std_logic_vector (25 downto 0);
signal prodXY_uid265_pT2_uid238_sinPiZPolyEval_b : std_logic_vector (27 downto 0);
signal prodXY_uid265_pT2_uid238_sinPiZPolyEval_s1 : std_logic_vector (53 downto 0);
signal prodXY_uid265_pT2_uid238_sinPiZPolyEval_pr : SIGNED (54 downto 0);
signal prodXY_uid265_pT2_uid238_sinPiZPolyEval_q : std_logic_vector (53 downto 0);
signal topProd_uid270_pT3_uid244_sinPiZPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid270_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid270_pT3_uid244_sinPiZPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid270_pT3_uid244_sinPiZPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid270_pT3_uid244_sinPiZPolyEval_q : std_logic_vector (53 downto 0);
signal topProd_uid287_pT4_uid250_sinPiZPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid287_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid287_pT4_uid250_sinPiZPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid287_pT4_uid250_sinPiZPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid287_pT4_uid250_sinPiZPolyEval_q : std_logic_vector (53 downto 0);
signal topProd_uid302_pT5_uid256_sinPiZPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid302_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid302_pT5_uid256_sinPiZPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid302_pT5_uid256_sinPiZPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid302_pT5_uid256_sinPiZPolyEval_q : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b0_a : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b0_s1 : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b0_pr : UNSIGNED (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b0_q : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b0_a : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b0_s1 : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b0_pr : UNSIGNED (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b0_q : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b1_a : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b1_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b1_s1 : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b1_pr : UNSIGNED (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a0_b1_q : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b1_a : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b1_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b1_s1 : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b1_pr : UNSIGNED (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a1_b1_q : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_zero_36_q : std_logic_vector (26 downto 0);
type multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a_type is array(0 to 1) of SIGNED(18 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a : multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a_type;
type multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c_type is array(0 to 1) of SIGNED(17 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c : multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c_type;
type multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_p_type is array(0 to 1) of SIGNED(36 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_p : multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_p_type;
type multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_w_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_w : multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_w_type;
type multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_x_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_x : multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_x_type;
type multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_y_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_y : multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_y_type;
type multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s : multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s_type;
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s0 : std_logic_vector(36 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_q : std_logic_vector (36 downto 0);
type multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a_type is array(0 to 1) of SIGNED(27 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a : multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a_type;
type multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c_type is array(0 to 1) of SIGNED(26 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c : multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c_type;
type multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_p_type is array(0 to 1) of SIGNED(54 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_p : multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_p_type;
type multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_w_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_w : multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_w_type;
type multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_x_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_x : multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_x_type;
type multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_y_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_y : multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_y_type;
type multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s : multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s_type;
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s0 : std_logic_vector(54 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_q : std_logic_vector (54 downto 0);
type multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a_type is array(0 to 1) of SIGNED(27 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a : multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a_type;
type multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c_type is array(0 to 1) of SIGNED(26 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c : multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c_type;
type multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_p_type is array(0 to 1) of SIGNED(54 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_p : multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_p_type;
type multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_w_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_w : multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_w_type;
type multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_x_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_x : multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_x_type;
type multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_y_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_y : multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_y_type;
type multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s : multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s_type;
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s0 : std_logic_vector(54 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_q : std_logic_vector (54 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_a : std_logic_vector(90 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_b : std_logic_vector(90 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_o : std_logic_vector (90 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_cin : std_logic_vector (0 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_c : std_logic_vector (0 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q : std_logic_vector (88 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a : std_logic_vector(21 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b : std_logic_vector(21 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_o : std_logic_vector (21 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_cin : std_logic_vector (0 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_q : std_logic_vector (19 downto 0);
signal reg_leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_3_q : std_logic_vector (80 downto 0);
signal reg_leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_2_q : std_logic_vector (80 downto 0);
signal reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracZero_uid47_fpCosPiTest_0_q : std_logic_vector (79 downto 0);
signal reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracHalf_uid48_fpCosPiTest_0_q : std_logic_vector (79 downto 0);
signal reg_xIsHalf_uid85_fpCosPiTest_0_to_or_uid87_fpCosPiTest_4_q : std_logic_vector (0 downto 0);
signal reg_fxpXFrac_uid45_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_1_q : std_logic_vector (79 downto 0);
signal reg_pad_o_uid17_uid49_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_0_q : std_logic_vector (80 downto 0);
signal reg_pad_half_uid18_uid54_fpCosPiTest_0_to_z_halfMRRFxPXE_uid54_fpCosPiTest_0_q : std_logic_vector (79 downto 0);
signal reg_rVStage_uid153_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid154_lzcZ_uid58_fpCosPiTest_0_q : std_logic_vector (31 downto 0);
signal reg_rVStage_uid159_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid160_lzcZ_uid58_fpCosPiTest_0_q : std_logic_vector (15 downto 0);
signal reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid166_lzcZ_uid58_fpCosPiTest_0_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid167_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_2_q : std_logic_vector (7 downto 0);
signal reg_leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_s1_uid233_uid236_sinPiZPolyEval_0_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_1_q : std_logic_vector (27 downto 0);
signal reg_highBBits_uid240_sinPiZPolyEval_0_to_sumAHighB_uid241_sinPiZPolyEval_1_q : std_logic_vector (27 downto 0);
signal reg_yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_9_q : std_logic_vector (17 downto 0);
signal reg_pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_6_q : std_logic_vector (17 downto 0);
signal reg_yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_0_to_topProd_uid270_pT3_uid244_sinPiZPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_highBBits_uid281_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_1_q : std_logic_vector (28 downto 0);
signal reg_topProd_uid270_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_0_q : std_logic_vector (53 downto 0);
signal reg_R_uid284_pT3_uid244_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_1_q : std_logic_vector (36 downto 0);
signal reg_cIncludingRoundingBit_uid246_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_0_q : std_logic_vector (44 downto 0);
signal reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_9_q : std_logic_vector (26 downto 0);
signal reg_pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_6_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_highBBits_uid296_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_1_q : std_logic_vector (28 downto 0);
signal reg_topProd_uid287_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_0_q : std_logic_vector (53 downto 0);
signal reg_R_uid299_pT4_uid250_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_1_q : std_logic_vector (45 downto 0);
signal reg_cIncludingRoundingBit_uid252_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_0_q : std_logic_vector (51 downto 0);
signal reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_9_q : std_logic_vector (26 downto 0);
signal reg_pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_6_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_highBBits_uid311_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_1_q : std_logic_vector (28 downto 0);
signal reg_topProd_uid302_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_0_q : std_logic_vector (53 downto 0);
signal reg_R_uid314_pT5_uid256_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_1_q : std_logic_vector (53 downto 0);
signal reg_cIncludingRoundingBit_uid258_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_0_q : std_logic_vector (60 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_1_q : std_logic_vector (26 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_0_q : std_logic_vector (26 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_1_q : std_logic_vector (26 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_0_q : std_logic_vector (26 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_1_q : std_logic_vector (26 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_0_q : std_logic_vector (26 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_1_q : std_logic_vector (26 downto 0);
signal reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_0_q : std_logic_vector (26 downto 0);
signal reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q : std_logic_vector (6 downto 0);
signal reg_expFracPreRnd_uid73_uid73_fpCosPiTest_0_to_expFracComp_uid76_fpCosPiTest_0_q : std_logic_vector (63 downto 0);
signal reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal ld_FxpXFrac79_uid46_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_fxpXFrac_uid45_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_c_q : std_logic_vector (79 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_zPPolyEval_uid65_fpCosPiTest_a_q : std_logic_vector (78 downto 0);
signal ld_normBit_uid69_fpCosPiTest_b_to_rndExpUpdate_uid74_uid75_fpCosPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_cosXIsOne_uid38_fpCosPiTest_c_to_InvCosXIsOne_uid79_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_xEvenInt_uid37_fpCosPiTest_c_to_Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q_to_xIsInt_uid82_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_cosXIsOne_uid38_fpCosPiTest_c_to_or_uid87_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_fxpXFracHalf_uid48_fpCosPiTest_q_to_or_uid87_fpCosPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid24_fpCosPiTest_q_to_or_uid87_fpCosPiTest_d_q : std_logic_vector (0 downto 0);
signal ld_Or2ZeroExcRNaN_uid94_fpCosPiTest_q_to_join_uid96_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q_to_signRComp_uid101_fpCosPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_rVStage_uid153_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_c_q : std_logic_vector (31 downto 0);
signal ld_vStage_uid155_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_d_q : std_logic_vector (31 downto 0);
signal ld_rVStage_uid159_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_c_q : std_logic_vector (15 downto 0);
signal ld_vStage_uid161_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_d_q : std_logic_vector (15 downto 0);
signal ld_vCount_uid160_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_e_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid154_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_f_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid146_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_g_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC4_uid229_sinPiZTableGenerator_a_q : std_logic_vector (6 downto 0);
signal ld_lowRangeB_uid239_sinPiZPolyEval_b_to_s2_uid239_uid242_sinPiZPolyEval_a_q : std_logic_vector (0 downto 0);
signal ld_lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b_to_add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_a_q : std_logic_vector (0 downto 0);
signal ld_yT4_uid249_sinPiZPolyEval_b_to_xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_a_q : std_logic_vector (42 downto 0);
signal ld_reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_b_q : std_logic_vector (26 downto 0);
signal ld_yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b_to_spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_a_q : std_logic_vector (17 downto 0);
signal ld_lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b_to_add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_a_q : std_logic_vector (17 downto 0);
signal ld_reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_b_q : std_logic_vector (26 downto 0);
signal ld_yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b_to_spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_a_q : std_logic_vector (24 downto 0);
signal ld_lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b_to_add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_a_q : std_logic_vector (24 downto 0);
signal ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a_q : std_logic_vector (19 downto 0);
signal ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b_q : std_logic_vector (19 downto 0);
signal ld_mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q_to_mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_a_q : std_logic_vector (88 downto 0);
signal ld_xIn_v_to_xOut_v_outputreg_q : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_reset0 : std_logic;
signal ld_xIn_v_to_xOut_v_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdcnt_eq : std_logic;
signal ld_xIn_v_to_xOut_v_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_xIn_v_to_xOut_v_mem_top_q : std_logic_vector (6 downto 0);
signal ld_xIn_v_to_xOut_v_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_xIn_c_to_xOut_c_outputreg_q : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_reset0 : std_logic;
signal ld_xIn_c_to_xOut_c_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_ir : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_inputreg_q : std_logic_vector (78 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_reset0 : std_logic;
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_iq : std_logic_vector (78 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_ia : std_logic_vector (78 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_ir : std_logic_vector (78 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_q : std_logic_vector (78 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_eq : std_logic;
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_wrreg_q : std_logic_vector (4 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_outputreg_q : std_logic_vector (6 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ir : std_logic_vector (6 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_eq : std_logic;
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_outputreg_q : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_eq : std_logic;
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_mem_top_q : std_logic_vector (6 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_eq : std_logic;
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_mem_top_q : std_logic_vector (6 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_reset0 : std_logic;
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_eq : std_logic;
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_mem_top_q : std_logic_vector (6 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_iq : std_logic_vector (46 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_ia : std_logic_vector (46 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_ir : std_logic_vector (46 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_aa : std_logic_vector (1 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_ab : std_logic_vector (1 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_q : std_logic_vector (46 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(1 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i : unsigned(1 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_eq : std_logic;
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (1 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_mem_top_q : std_logic_vector (2 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_iq : std_logic_vector (14 downto 0);
signal ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_ia : std_logic_vector (14 downto 0);
signal ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_ir : std_logic_vector (14 downto 0);
signal ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_aa : std_logic_vector (1 downto 0);
signal ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_ab : std_logic_vector (1 downto 0);
signal ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_q : std_logic_vector (14 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_outputreg_q : std_logic_vector (78 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_reset0 : std_logic;
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_iq : std_logic_vector (78 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_ia : std_logic_vector (78 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_ir : std_logic_vector (78 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_q : std_logic_vector (78 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_outputreg_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_ir : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_outputreg_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_ir : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_outputreg_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_ir : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_outputreg_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_ir : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_outputreg_q : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_iq : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_ia : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_ir : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_q : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_outputreg_q : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_iq : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_ia : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_ir : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_q : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_outputreg_q : std_logic_vector (25 downto 0);
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_iq : std_logic_vector (25 downto 0);
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_ia : std_logic_vector (25 downto 0);
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_ir : std_logic_vector (25 downto 0);
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_q : std_logic_vector (25 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_outputreg_q : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_iq : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_ia : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_ir : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_q : std_logic_vector (44 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_outputreg_q : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_iq : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_ia : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_ir : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_q : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_outputreg_q : std_logic_vector (1 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_reset0 : std_logic;
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_ir : std_logic_vector (1 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_eq : std_logic;
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_mem_top_q : std_logic_vector (6 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal pad_o_uid17_uid49_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal pad_half_uid18_uid54_fpCosPiTest_q : std_logic_vector (79 downto 0);
signal spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_q : std_logic_vector (18 downto 0);
signal pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_q : std_logic_vector (26 downto 0);
signal spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_q : std_logic_vector (25 downto 0);
signal pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_q : std_logic_vector (25 downto 0);
signal pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_q : std_logic_vector (26 downto 0);
signal expHardCase_uid61_fpCosPiTest_a : std_logic_vector(11 downto 0);
signal expHardCase_uid61_fpCosPiTest_b : std_logic_vector(11 downto 0);
signal expHardCase_uid61_fpCosPiTest_o : std_logic_vector (11 downto 0);
signal expHardCase_uid61_fpCosPiTest_q : std_logic_vector (11 downto 0);
signal rndExpUpdate_uid74_uid75_fpCosPiTest_q : std_logic_vector (53 downto 0);
signal expFracComp_uid76_fpCosPiTest_a : std_logic_vector(64 downto 0);
signal expFracComp_uid76_fpCosPiTest_b : std_logic_vector(64 downto 0);
signal expFracComp_uid76_fpCosPiTest_o : std_logic_vector (64 downto 0);
signal expFracComp_uid76_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal fracRPostExc_uid90_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal fracRPostExc_uid90_fpCosPiTest_q : std_logic_vector (51 downto 0);
signal expRPostExc_uid97_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid97_fpCosPiTest_q : std_logic_vector (10 downto 0);
signal leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal memoryC0_uid225_sinPiZTableGenerator_q : std_logic_vector(57 downto 0);
signal memoryC1_uid226_sinPiZTableGenerator_q : std_logic_vector(49 downto 0);
signal memoryC2_uid227_sinPiZTableGenerator_q : std_logic_vector(42 downto 0);
signal sumAHighB_uid241_sinPiZPolyEval_a : std_logic_vector(35 downto 0);
signal sumAHighB_uid241_sinPiZPolyEval_b : std_logic_vector(35 downto 0);
signal sumAHighB_uid241_sinPiZPolyEval_o : std_logic_vector (35 downto 0);
signal sumAHighB_uid241_sinPiZPolyEval_q : std_logic_vector (35 downto 0);
signal ts3_uid247_sinPiZPolyEval_a : std_logic_vector(45 downto 0);
signal ts3_uid247_sinPiZPolyEval_b : std_logic_vector(45 downto 0);
signal ts3_uid247_sinPiZPolyEval_o : std_logic_vector (45 downto 0);
signal ts3_uid247_sinPiZPolyEval_q : std_logic_vector (45 downto 0);
signal ts4_uid253_sinPiZPolyEval_a : std_logic_vector(52 downto 0);
signal ts4_uid253_sinPiZPolyEval_b : std_logic_vector(52 downto 0);
signal ts4_uid253_sinPiZPolyEval_o : std_logic_vector (52 downto 0);
signal ts4_uid253_sinPiZPolyEval_q : std_logic_vector (52 downto 0);
signal ts5_uid259_sinPiZPolyEval_a : std_logic_vector(61 downto 0);
signal ts5_uid259_sinPiZPolyEval_b : std_logic_vector(61 downto 0);
signal ts5_uid259_sinPiZPolyEval_o : std_logic_vector (61 downto 0);
signal ts5_uid259_sinPiZPolyEval_q : std_logic_vector (61 downto 0);
signal sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_q : std_logic_vector (54 downto 0);
signal sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_q : std_logic_vector (54 downto 0);
signal sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_q : std_logic_vector (54 downto 0);
signal cstHalfwSwFP1_uid19_fpCosPiTest_q : std_logic_vector (79 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_xIn_v_to_xOut_v_notEnable_a : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_notEnable_q : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (1 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_q : std_logic_vector(0 downto 0);
signal expX_uid6_fpCosPiTest_in : std_logic_vector (62 downto 0);
signal expX_uid6_fpCosPiTest_b : std_logic_vector (10 downto 0);
signal fracX_uid7_fpCosPiTest_in : std_logic_vector (51 downto 0);
signal fracX_uid7_fpCosPiTest_b : std_logic_vector (51 downto 0);
signal expXIsMax_uid26_fpCosPiTest_a : std_logic_vector(10 downto 0);
signal expXIsMax_uid26_fpCosPiTest_b : std_logic_vector(10 downto 0);
signal expXIsMax_uid26_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid28_fpCosPiTest_a : std_logic_vector(51 downto 0);
signal fracXIsZero_uid28_fpCosPiTest_b : std_logic_vector(51 downto 0);
signal fracXIsZero_uid28_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal xEvenInt_uid37_fpCosPiTest_a : std_logic_vector(13 downto 0);
signal xEvenInt_uid37_fpCosPiTest_b : std_logic_vector(13 downto 0);
signal xEvenInt_uid37_fpCosPiTest_o : std_logic_vector (13 downto 0);
signal xEvenInt_uid37_fpCosPiTest_cin : std_logic_vector (0 downto 0);
signal xEvenInt_uid37_fpCosPiTest_c : std_logic_vector (0 downto 0);
signal cosXIsOne_uid38_fpCosPiTest_a : std_logic_vector(13 downto 0);
signal cosXIsOne_uid38_fpCosPiTest_b : std_logic_vector(13 downto 0);
signal cosXIsOne_uid38_fpCosPiTest_o : std_logic_vector (13 downto 0);
signal cosXIsOne_uid38_fpCosPiTest_cin : std_logic_vector (0 downto 0);
signal cosXIsOne_uid38_fpCosPiTest_c : std_logic_vector (0 downto 0);
signal shiftValFxPX_uid40_fpCosPiTest_a : std_logic_vector(11 downto 0);
signal shiftValFxPX_uid40_fpCosPiTest_b : std_logic_vector(11 downto 0);
signal shiftValFxPX_uid40_fpCosPiTest_o : std_logic_vector (11 downto 0);
signal shiftValFxPX_uid40_fpCosPiTest_q : std_logic_vector (11 downto 0);
signal fxpXFracZero_uid47_fpCosPiTest_a : std_logic_vector(79 downto 0);
signal fxpXFracZero_uid47_fpCosPiTest_b : std_logic_vector(79 downto 0);
signal fxpXFracZero_uid47_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal expXIsZero_uid24_fpCosPiTest_a : std_logic_vector(10 downto 0);
signal expXIsZero_uid24_fpCosPiTest_b : std_logic_vector(10 downto 0);
signal expXIsZero_uid24_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal oMFxpXFrac_uid51_fpCosPiTest_in : std_logic_vector (79 downto 0);
signal oMFxpXFrac_uid51_fpCosPiTest_b : std_logic_vector (79 downto 0);
signal z_uid56_fpCosPiTest_in : std_logic_vector (78 downto 0);
signal z_uid56_fpCosPiTest_b : std_logic_vector (78 downto 0);
signal or_uid95_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal or_uid95_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal or_uid95_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal or_uid95_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vCount_uid154_lzcZ_uid58_fpCosPiTest_a : std_logic_vector(31 downto 0);
signal vCount_uid154_lzcZ_uid58_fpCosPiTest_b : std_logic_vector(31 downto 0);
signal vCount_uid154_lzcZ_uid58_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal vCount_uid166_lzcZ_uid58_fpCosPiTest_a : std_logic_vector(7 downto 0);
signal vCount_uid166_lzcZ_uid58_fpCosPiTest_b : std_logic_vector(7 downto 0);
signal vCount_uid166_lzcZ_uid58_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vCount_uid160_lzcZ_uid58_fpCosPiTest_a : std_logic_vector(15 downto 0);
signal vCount_uid160_lzcZ_uid58_fpCosPiTest_b : std_logic_vector(15 downto 0);
signal vCount_uid160_lzcZ_uid58_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal cIncludingRoundingBit_uid246_sinPiZPolyEval_q : std_logic_vector (44 downto 0);
signal cIncludingRoundingBit_uid252_sinPiZPolyEval_q : std_logic_vector (51 downto 0);
signal cIncludingRoundingBit_uid258_sinPiZPolyEval_q : std_logic_vector (60 downto 0);
signal prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval_in : std_logic_vector (33 downto 0);
signal prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval_b : std_logic_vector (17 downto 0);
signal prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval_in : std_logic_vector (53 downto 0);
signal prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval_b : std_logic_vector (28 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b0_in : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b0_in : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b0_in : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b0_in : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b1_in : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b1_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b1_in : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b1_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b1_in : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b1_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b1_in : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b1_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_joined_BJ_1_q : std_logic_vector (107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_joined_BJ_2_q : std_logic_vector (107 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (36 downto 0);
signal multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (29 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (54 downto 0);
signal multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (46 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (54 downto 0);
signal multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_q : std_logic_vector (108 downto 0);
signal fxpXFracHalf_uid48_fpCosPiTest_a : std_logic_vector(79 downto 0);
signal fxpXFracHalf_uid48_fpCosPiTest_b : std_logic_vector(79 downto 0);
signal fxpXFracHalf_uid48_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid169_lzcZ_uid58_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid169_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal zPPolyEval_uid65_fpCosPiTest_in : std_logic_vector (71 downto 0);
signal zPPolyEval_uid65_fpCosPiTest_b : std_logic_vector (44 downto 0);
signal InvCosXIsOne_uid79_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvCosXIsOne_uid79_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvXEvenInt_uid83_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvXEvenInt_uid83_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal xIsHalf_uid85_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal xIsHalf_uid85_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal xIsHalf_uid85_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal xIsHalf_uid85_fpCosPiTest_d : std_logic_vector(0 downto 0);
signal xIsHalf_uid85_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal join_uid96_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal signRComp_uid101_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal signRComp_uid101_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal signRComp_uid101_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal signRComp_uid101_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid157_lzcZ_uid58_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid157_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal vStagei_uid163_lzcZ_uid58_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid163_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (15 downto 0);
signal s2_uid239_uid242_sinPiZPolyEval_q : std_logic_vector (36 downto 0);
signal add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_q : std_logic_vector (55 downto 0);
signal xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (42 downto 0);
signal xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_q : std_logic_vector (72 downto 0);
signal add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_q : std_logic_vector (79 downto 0);
signal ld_xIn_v_to_xOut_v_cmp_a : std_logic_vector(6 downto 0);
signal ld_xIn_v_to_xOut_v_cmp_b : std_logic_vector(6 downto 0);
signal ld_xIn_v_to_xOut_v_cmp_q : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_nor_a : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_nor_b : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_nor_q : std_logic_vector(0 downto 0);
signal alignedZLow_uid60_fpCosPiTest_in : std_logic_vector (78 downto 0);
signal alignedZLow_uid60_fpCosPiTest_b : std_logic_vector (52 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_a : std_logic_vector(6 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_b : std_logic_vector(6 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_a : std_logic_vector(6 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_b : std_logic_vector(6 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal R_uid104_fpCosPiTest_q : std_logic_vector (63 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_a : std_logic_vector(6 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_b : std_logic_vector(6 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_q : std_logic_vector(0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_a : std_logic_vector(2 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_b : std_logic_vector(2 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal yT3_uid243_sinPiZPolyEval_in : std_logic_vector (44 downto 0);
signal yT3_uid243_sinPiZPolyEval_b : std_logic_vector (34 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal yT4_uid249_sinPiZPolyEval_in : std_logic_vector (44 downto 0);
signal yT4_uid249_sinPiZPolyEval_b : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (44 downto 0);
signal xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_a : std_logic_vector(6 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_b : std_logic_vector(6 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_a : std_logic_vector(0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_b : std_logic_vector(0 downto 0);
signal ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_q : std_logic_vector(0 downto 0);
signal expP_uid62_fpCosPiTest_in : std_logic_vector (10 downto 0);
signal expP_uid62_fpCosPiTest_b : std_logic_vector (10 downto 0);
signal fracRComp_uid77_fpCosPiTest_in : std_logic_vector (52 downto 0);
signal fracRComp_uid77_fpCosPiTest_b : std_logic_vector (51 downto 0);
signal expRComp_uid78_fpCosPiTest_in : std_logic_vector (63 downto 0);
signal expRComp_uid78_fpCosPiTest_b : std_logic_vector (10 downto 0);
signal FxpX80_uid44_fpCosPiTest_in : std_logic_vector (80 downto 0);
signal FxpX80_uid44_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal fxpXFrac_uid45_fpCosPiTest_in : std_logic_vector (79 downto 0);
signal fxpXFrac_uid45_fpCosPiTest_b : std_logic_vector (79 downto 0);
signal s3_uid248_sinPiZPolyEval_in : std_logic_vector (45 downto 0);
signal s3_uid248_sinPiZPolyEval_b : std_logic_vector (44 downto 0);
signal s4_uid254_sinPiZPolyEval_in : std_logic_vector (52 downto 0);
signal s4_uid254_sinPiZPolyEval_b : std_logic_vector (51 downto 0);
signal s5_uid260_sinPiZPolyEval_in : std_logic_vector (61 downto 0);
signal s5_uid260_sinPiZPolyEval_b : std_logic_vector (60 downto 0);
signal oFracX_uid39_uid39_fpCosPiTest_q : std_logic_vector (52 downto 0);
signal And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid30_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid30_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal fxpShifterBits_uid42_fpCosPiTest_in : std_logic_vector (6 downto 0);
signal fxpShifterBits_uid42_fpCosPiTest_b : std_logic_vector (6 downto 0);
signal And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid34_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid34_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal zAddr_uid64_fpCosPiTest_in : std_logic_vector (78 downto 0);
signal zAddr_uid64_fpCosPiTest_b : std_logic_vector (6 downto 0);
signal rVStage_uid145_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (78 downto 0);
signal rVStage_uid145_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (63 downto 0);
signal vStage_uid148_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (14 downto 0);
signal vStage_uid148_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (14 downto 0);
signal X46dto0_uid189_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (46 downto 0);
signal X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (46 downto 0);
signal lowRangeB_uid233_sinPiZPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid233_sinPiZPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid234_sinPiZPolyEval_in : std_logic_vector (17 downto 0);
signal highBBits_uid234_sinPiZPolyEval_b : std_logic_vector (16 downto 0);
signal lowRangeB_uid239_sinPiZPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid239_sinPiZPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid240_sinPiZPolyEval_in : std_logic_vector (28 downto 0);
signal highBBits_uid240_sinPiZPolyEval_b : std_logic_vector (27 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_joined_BJ_0_q : std_logic_vector (107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_a : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_b : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_c : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_q : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_a : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_b : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_q : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_a : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_b : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_q : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_a : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_b : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_q : std_logic_vector(107 downto 0);
signal lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid281_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (29 downto 0);
signal highBBits_uid281_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (28 downto 0);
signal lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (17 downto 0);
signal lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (17 downto 0);
signal highBBits_uid296_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (46 downto 0);
signal highBBits_uid296_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (28 downto 0);
signal lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (24 downto 0);
signal lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (24 downto 0);
signal highBBits_uid311_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (53 downto 0);
signal highBBits_uid311_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (28 downto 0);
signal normBit_uid69_fpCosPiTest_in : std_logic_vector (106 downto 0);
signal normBit_uid69_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal highRes_uid70_fpCosPiTest_in : std_logic_vector (105 downto 0);
signal highRes_uid70_fpCosPiTest_b : std_logic_vector (52 downto 0);
signal lowRes_uid71_fpCosPiTest_in : std_logic_vector (104 downto 0);
signal lowRes_uid71_fpCosPiTest_b : std_logic_vector (52 downto 0);
signal InvFxpXFracHalf_uid102_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvFxpXFracHalf_uid102_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal rVStage_uid171_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid171_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (3 downto 0);
signal vStage_uid173_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal vStage_uid173_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (3 downto 0);
signal LeftShiftStage070dto0_uid198_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (70 downto 0);
signal LeftShiftStage070dto0_uid198_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (70 downto 0);
signal LeftShiftStage062dto0_uid201_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (62 downto 0);
signal LeftShiftStage062dto0_uid201_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (62 downto 0);
signal LeftShiftStage054dto0_uid204_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (54 downto 0);
signal LeftShiftStage054dto0_uid204_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (54 downto 0);
signal yT1_uid231_sinPiZPolyEval_in : std_logic_vector (44 downto 0);
signal yT1_uid231_sinPiZPolyEval_b : std_logic_vector (16 downto 0);
signal yT2_uid237_sinPiZPolyEval_in : std_logic_vector (44 downto 0);
signal yT2_uid237_sinPiZPolyEval_b : std_logic_vector (25 downto 0);
signal xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (17 downto 0);
signal xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (17 downto 0);
signal signR_uid103_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal signR_uid103_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal signR_uid103_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal rVStage_uid159_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid159_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (15 downto 0);
signal vStage_uid161_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (15 downto 0);
signal vStage_uid161_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (15 downto 0);
signal rVStage_uid165_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid165_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal vStage_uid167_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal vStage_uid167_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (36 downto 0);
signal yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid272_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (9 downto 0);
signal yBottomBits_uid272_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (9 downto 0);
signal yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (36 downto 0);
signal yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (17 downto 0);
signal R_uid284_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (54 downto 0);
signal R_uid284_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (36 downto 0);
signal R_uid299_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (71 downto 0);
signal R_uid299_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (45 downto 0);
signal R_uid314_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (78 downto 0);
signal R_uid314_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a_0_in : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a_0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a_1_in : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a_1_b : std_logic_vector (26 downto 0);
signal xTop27Bits_uid268_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (34 downto 0);
signal xTop27Bits_uid268_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal xTop18Bits_uid271_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (34 downto 0);
signal xTop18Bits_uid271_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (17 downto 0);
signal xBottomBits_uid273_pT3_uid244_sinPiZPolyEval_in : std_logic_vector (7 downto 0);
signal xBottomBits_uid273_pT3_uid244_sinPiZPolyEval_b : std_logic_vector (7 downto 0);
signal xBottomBits_uid289_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (15 downto 0);
signal xBottomBits_uid289_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (15 downto 0);
signal FxpXFrac79_uid46_fpCosPiTest_in : std_logic_vector (79 downto 0);
signal FxpXFrac79_uid46_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (44 downto 0);
signal yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_in : std_logic_vector (17 downto 0);
signal yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b : std_logic_vector (17 downto 0);
signal yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (51 downto 0);
signal yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_in : std_logic_vector (24 downto 0);
signal yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b : std_logic_vector (24 downto 0);
signal fxpSinRes_uid67_fpCosPiTest_in : std_logic_vector (58 downto 0);
signal fxpSinRes_uid67_fpCosPiTest_b : std_logic_vector (53 downto 0);
signal oFracXExt_uid41_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal InvAnd2ExpXIsMaxFracXIsZero_uid33_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvAnd2ExpXIsMaxFracXIsZero_uid33_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStageSel6Dto5_uid114_fxpX_uid43_fpCosPiTest_in : std_logic_vector (6 downto 0);
signal leftShiftStageSel6Dto5_uid114_fxpX_uid43_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel4Dto3_uid125_fxpX_uid43_fpCosPiTest_in : std_logic_vector (4 downto 0);
signal leftShiftStageSel4Dto3_uid125_fxpX_uid43_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel2Dto1_uid136_fxpX_uid43_fpCosPiTest_in : std_logic_vector (2 downto 0);
signal leftShiftStageSel2Dto1_uid136_fxpX_uid43_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_in : std_logic_vector (0 downto 0);
signal leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vCount_uid146_lzcZ_uid58_fpCosPiTest_a : std_logic_vector(63 downto 0);
signal vCount_uid146_lzcZ_uid58_fpCosPiTest_b : std_logic_vector(63 downto 0);
signal vCount_uid146_lzcZ_uid58_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal cStage_uid149_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (63 downto 0);
signal sumAHighB_uid235_sinPiZPolyEval_a : std_logic_vector(26 downto 0);
signal sumAHighB_uid235_sinPiZPolyEval_b : std_logic_vector(26 downto 0);
signal sumAHighB_uid235_sinPiZPolyEval_o : std_logic_vector (26 downto 0);
signal sumAHighB_uid235_sinPiZPolyEval_q : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_a_q : std_logic_vector (108 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_a : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_b : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_c : std_logic_vector(107 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_q : std_logic_vector(107 downto 0);
signal fracRCompPreRnd_uid72_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal fracRCompPreRnd_uid72_fpCosPiTest_q : std_logic_vector (52 downto 0);
signal vCount_uid172_lzcZ_uid58_fpCosPiTest_a : std_logic_vector(3 downto 0);
signal vCount_uid172_lzcZ_uid58_fpCosPiTest_b : std_logic_vector(3 downto 0);
signal vCount_uid172_lzcZ_uid58_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid175_lzcZ_uid58_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid175_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage1Idx1_uid199_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal leftShiftStage1Idx2_uid202_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal leftShiftStage1Idx3_uid205_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal spad_yBottomBits_uid272_uid275_pT3_uid244_sinPiZPolyEval_q : std_logic_vector (10 downto 0);
signal pad_xBottomBits_uid273_uid276_pT3_uid244_sinPiZPolyEval_q : std_logic_vector (16 downto 0);
signal pad_xBottomBits_uid289_uid291_pT4_uid250_sinPiZPolyEval_q : std_logic_vector (25 downto 0);
signal Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_b_0_in : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_b_0_b : std_logic_vector (26 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_b_1_in : std_logic_vector (53 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_b_1_b : std_logic_vector (26 downto 0);
signal X48dto0_uid108_fxpX_uid43_fpCosPiTest_in : std_logic_vector (48 downto 0);
signal X48dto0_uid108_fxpX_uid43_fpCosPiTest_b : std_logic_vector (48 downto 0);
signal X16dto0_uid111_fxpX_uid43_fpCosPiTest_in : std_logic_vector (16 downto 0);
signal X16dto0_uid111_fxpX_uid43_fpCosPiTest_b : std_logic_vector (16 downto 0);
signal InvAnd2ExpXIsMaxInvFracXIsZero_uid32_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvAnd2ExpXIsMaxInvFracXIsZero_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal excRNaN_uid86_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid86_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid86_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid151_lzcZ_uid58_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid151_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (63 downto 0);
signal s1_uid233_uid236_sinPiZPolyEval_q : std_logic_vector (27 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_in : std_logic_vector (108 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_b : std_logic_vector (88 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c : std_logic_vector (19 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_lsb_BS_in : std_logic_vector (106 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_lsb_BS_b : std_logic_vector (106 downto 0);
signal expFracPreRnd_uid73_uid73_fpCosPiTest_q : std_logic_vector (63 downto 0);
signal rVStage_uid177_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid177_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal vStage_uid179_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal vStage_uid179_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_q : std_logic_vector (17 downto 0);
signal leftShiftStage0Idx1_uid109_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStage0Idx2_uid112_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal Or2ZeroExcRNaN_uid94_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal Or2ZeroExcRNaN_uid94_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal Or2ZeroExcRNaN_uid94_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal rVStage_uid153_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (63 downto 0);
signal rVStage_uid153_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (31 downto 0);
signal vStage_uid155_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (31 downto 0);
signal vStage_uid155_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (31 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_BJ_q : std_logic_vector (107 downto 0);
signal vCount_uid178_lzcZ_uid58_fpCosPiTest_a : std_logic_vector(1 downto 0);
signal vCount_uid178_lzcZ_uid58_fpCosPiTest_b : std_logic_vector(1 downto 0);
signal vCount_uid178_lzcZ_uid58_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid181_lzcZ_uid58_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid181_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal LeftShiftStage176dto0_uid209_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (76 downto 0);
signal LeftShiftStage176dto0_uid209_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (76 downto 0);
signal LeftShiftStage174dto0_uid212_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (74 downto 0);
signal LeftShiftStage174dto0_uid212_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (74 downto 0);
signal LeftShiftStage172dto0_uid215_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (72 downto 0);
signal LeftShiftStage172dto0_uid215_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (72 downto 0);
signal leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_b_q : std_logic_vector (108 downto 0);
signal rVStage_uid183_lzcZ_uid58_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid183_lzcZ_uid58_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal leftShiftStage2Idx1_uid210_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal leftShiftStage2Idx2_uid213_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal leftShiftStage2Idx3_uid216_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal LeftShiftStage072dto0_uid117_fxpX_uid43_fpCosPiTest_in : std_logic_vector (72 downto 0);
signal LeftShiftStage072dto0_uid117_fxpX_uid43_fpCosPiTest_b : std_logic_vector (72 downto 0);
signal LeftShiftStage064dto0_uid120_fxpX_uid43_fpCosPiTest_in : std_logic_vector (64 downto 0);
signal LeftShiftStage064dto0_uid120_fxpX_uid43_fpCosPiTest_b : std_logic_vector (64 downto 0);
signal LeftShiftStage056dto0_uid123_fxpX_uid43_fpCosPiTest_in : std_logic_vector (56 downto 0);
signal LeftShiftStage056dto0_uid123_fxpX_uid43_fpCosPiTest_b : std_logic_vector (56 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_in : std_logic_vector (108 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_b : std_logic_vector (88 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c : std_logic_vector (19 downto 0);
signal vCount_uid184_lzcZ_uid58_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal vCount_uid184_lzcZ_uid58_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal vCount_uid184_lzcZ_uid58_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal leftShiftStage1Idx1_uid118_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStage1Idx2_uid121_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStage1Idx3_uid124_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal r_uid185_lzcZ_uid58_fpCosPiTest_q : std_logic_vector (6 downto 0);
signal LeftShiftStage277dto0_uid220_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (77 downto 0);
signal LeftShiftStage277dto0_uid220_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (77 downto 0);
signal leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (6 downto 0);
signal leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (4 downto 0);
signal leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (2 downto 0);
signal leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_in : std_logic_vector (0 downto 0);
signal leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal leftShiftStage3Idx1_uid221_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal LeftShiftStage178dto0_uid128_fxpX_uid43_fpCosPiTest_in : std_logic_vector (78 downto 0);
signal LeftShiftStage178dto0_uid128_fxpX_uid43_fpCosPiTest_b : std_logic_vector (78 downto 0);
signal LeftShiftStage176dto0_uid131_fxpX_uid43_fpCosPiTest_in : std_logic_vector (76 downto 0);
signal LeftShiftStage176dto0_uid131_fxpX_uid43_fpCosPiTest_b : std_logic_vector (76 downto 0);
signal LeftShiftStage174dto0_uid134_fxpX_uid43_fpCosPiTest_in : std_logic_vector (74 downto 0);
signal LeftShiftStage174dto0_uid134_fxpX_uid43_fpCosPiTest_b : std_logic_vector (74 downto 0);
signal leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q : std_logic_vector (78 downto 0);
signal leftShiftStage2Idx1_uid129_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStage2Idx2_uid132_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStage2Idx3_uid135_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
signal LeftShiftStage279dto0_uid139_fxpX_uid43_fpCosPiTest_in : std_logic_vector (79 downto 0);
signal LeftShiftStage279dto0_uid139_fxpX_uid43_fpCosPiTest_b : std_logic_vector (79 downto 0);
signal leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_q : std_logic_vector (80 downto 0);
begin
--ld_xIn_v_to_xOut_v_notEnable(LOGICAL,852)
ld_xIn_v_to_xOut_v_notEnable_a <= VCC_q;
ld_xIn_v_to_xOut_v_notEnable_q <= not ld_xIn_v_to_xOut_v_notEnable_a;
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor(LOGICAL,942)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_b <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_sticky_ena_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_q <= not (ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_a or ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_b);
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_mem_top(CONSTANT,938)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_mem_top_q <= "0100101";
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp(LOGICAL,939)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_a <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_mem_top_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_q);
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_q <= "1" when ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_a = ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_b else "0";
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmpReg(REG,940)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmpReg_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmp_q;
END IF;
END PROCESS;
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_sticky_ena(REG,943)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_nor_q = "1") THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_sticky_ena_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd(LOGICAL,944)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_a <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_sticky_ena_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_b <= VCC_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_a and ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_b;
--LeftShiftStage174dto0_uid134_fxpX_uid43_fpCosPiTest(BITSELECT,133)@0
LeftShiftStage174dto0_uid134_fxpX_uid43_fpCosPiTest_in <= leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q(74 downto 0);
LeftShiftStage174dto0_uid134_fxpX_uid43_fpCosPiTest_b <= LeftShiftStage174dto0_uid134_fxpX_uid43_fpCosPiTest_in(74 downto 0);
--leftShiftStage2Idx3Pad6_uid133_fxpX_uid43_fpCosPiTest(CONSTANT,132)
leftShiftStage2Idx3Pad6_uid133_fxpX_uid43_fpCosPiTest_q <= "000000";
--leftShiftStage2Idx3_uid135_fxpX_uid43_fpCosPiTest(BITJOIN,134)@0
leftShiftStage2Idx3_uid135_fxpX_uid43_fpCosPiTest_q <= LeftShiftStage174dto0_uid134_fxpX_uid43_fpCosPiTest_b & leftShiftStage2Idx3Pad6_uid133_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage176dto0_uid131_fxpX_uid43_fpCosPiTest(BITSELECT,130)@0
LeftShiftStage176dto0_uid131_fxpX_uid43_fpCosPiTest_in <= leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q(76 downto 0);
LeftShiftStage176dto0_uid131_fxpX_uid43_fpCosPiTest_b <= LeftShiftStage176dto0_uid131_fxpX_uid43_fpCosPiTest_in(76 downto 0);
--leftShiftStage2Idx2Pad4_uid130_fxpX_uid43_fpCosPiTest(CONSTANT,129)
leftShiftStage2Idx2Pad4_uid130_fxpX_uid43_fpCosPiTest_q <= "0000";
--leftShiftStage2Idx2_uid132_fxpX_uid43_fpCosPiTest(BITJOIN,131)@0
leftShiftStage2Idx2_uid132_fxpX_uid43_fpCosPiTest_q <= LeftShiftStage176dto0_uid131_fxpX_uid43_fpCosPiTest_b & leftShiftStage2Idx2Pad4_uid130_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage178dto0_uid128_fxpX_uid43_fpCosPiTest(BITSELECT,127)@0
LeftShiftStage178dto0_uid128_fxpX_uid43_fpCosPiTest_in <= leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q(78 downto 0);
LeftShiftStage178dto0_uid128_fxpX_uid43_fpCosPiTest_b <= LeftShiftStage178dto0_uid128_fxpX_uid43_fpCosPiTest_in(78 downto 0);
--leftShiftStage2Idx1Pad2_uid127_fxpX_uid43_fpCosPiTest(CONSTANT,126)
leftShiftStage2Idx1Pad2_uid127_fxpX_uid43_fpCosPiTest_q <= "00";
--leftShiftStage2Idx1_uid129_fxpX_uid43_fpCosPiTest(BITJOIN,128)@0
leftShiftStage2Idx1_uid129_fxpX_uid43_fpCosPiTest_q <= LeftShiftStage178dto0_uid128_fxpX_uid43_fpCosPiTest_b & leftShiftStage2Idx1Pad2_uid127_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage056dto0_uid123_fxpX_uid43_fpCosPiTest(BITSELECT,122)@0
LeftShiftStage056dto0_uid123_fxpX_uid43_fpCosPiTest_in <= leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q(56 downto 0);
LeftShiftStage056dto0_uid123_fxpX_uid43_fpCosPiTest_b <= LeftShiftStage056dto0_uid123_fxpX_uid43_fpCosPiTest_in(56 downto 0);
--leftShiftStage1Idx3Pad24_uid122_fxpX_uid43_fpCosPiTest(CONSTANT,121)
leftShiftStage1Idx3Pad24_uid122_fxpX_uid43_fpCosPiTest_q <= "000000000000000000000000";
--leftShiftStage1Idx3_uid124_fxpX_uid43_fpCosPiTest(BITJOIN,123)@0
leftShiftStage1Idx3_uid124_fxpX_uid43_fpCosPiTest_q <= LeftShiftStage056dto0_uid123_fxpX_uid43_fpCosPiTest_b & leftShiftStage1Idx3Pad24_uid122_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage064dto0_uid120_fxpX_uid43_fpCosPiTest(BITSELECT,119)@0
LeftShiftStage064dto0_uid120_fxpX_uid43_fpCosPiTest_in <= leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q(64 downto 0);
LeftShiftStage064dto0_uid120_fxpX_uid43_fpCosPiTest_b <= LeftShiftStage064dto0_uid120_fxpX_uid43_fpCosPiTest_in(64 downto 0);
--leftShiftStage1Idx2Pad16_uid119_fxpX_uid43_fpCosPiTest(CONSTANT,118)
leftShiftStage1Idx2Pad16_uid119_fxpX_uid43_fpCosPiTest_q <= "0000000000000000";
--leftShiftStage1Idx2_uid121_fxpX_uid43_fpCosPiTest(BITJOIN,120)@0
leftShiftStage1Idx2_uid121_fxpX_uid43_fpCosPiTest_q <= LeftShiftStage064dto0_uid120_fxpX_uid43_fpCosPiTest_b & leftShiftStage1Idx2Pad16_uid119_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage072dto0_uid117_fxpX_uid43_fpCosPiTest(BITSELECT,116)@0
LeftShiftStage072dto0_uid117_fxpX_uid43_fpCosPiTest_in <= leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q(72 downto 0);
LeftShiftStage072dto0_uid117_fxpX_uid43_fpCosPiTest_b <= LeftShiftStage072dto0_uid117_fxpX_uid43_fpCosPiTest_in(72 downto 0);
--leftShiftStage1Idx1Pad8_uid116_fxpX_uid43_fpCosPiTest(CONSTANT,115)
leftShiftStage1Idx1Pad8_uid116_fxpX_uid43_fpCosPiTest_q <= "00000000";
--leftShiftStage1Idx1_uid118_fxpX_uid43_fpCosPiTest(BITJOIN,117)@0
leftShiftStage1Idx1_uid118_fxpX_uid43_fpCosPiTest_q <= LeftShiftStage072dto0_uid117_fxpX_uid43_fpCosPiTest_b & leftShiftStage1Idx1Pad8_uid116_fxpX_uid43_fpCosPiTest_q;
--leftShiftStage0Idx3_uid113_fxpX_uid43_fpCosPiTest(CONSTANT,112)
leftShiftStage0Idx3_uid113_fxpX_uid43_fpCosPiTest_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000";
--X16dto0_uid111_fxpX_uid43_fpCosPiTest(BITSELECT,110)@0
X16dto0_uid111_fxpX_uid43_fpCosPiTest_in <= oFracXExt_uid41_fpCosPiTest_q(16 downto 0);
X16dto0_uid111_fxpX_uid43_fpCosPiTest_b <= X16dto0_uid111_fxpX_uid43_fpCosPiTest_in(16 downto 0);
--leftShiftStage0Idx2Pad64_uid110_fxpX_uid43_fpCosPiTest(CONSTANT,109)
leftShiftStage0Idx2Pad64_uid110_fxpX_uid43_fpCosPiTest_q <= "0000000000000000000000000000000000000000000000000000000000000000";
--leftShiftStage0Idx2_uid112_fxpX_uid43_fpCosPiTest(BITJOIN,111)@0
leftShiftStage0Idx2_uid112_fxpX_uid43_fpCosPiTest_q <= X16dto0_uid111_fxpX_uid43_fpCosPiTest_b & leftShiftStage0Idx2Pad64_uid110_fxpX_uid43_fpCosPiTest_q;
--X48dto0_uid108_fxpX_uid43_fpCosPiTest(BITSELECT,107)@0
X48dto0_uid108_fxpX_uid43_fpCosPiTest_in <= oFracXExt_uid41_fpCosPiTest_q(48 downto 0);
X48dto0_uid108_fxpX_uid43_fpCosPiTest_b <= X48dto0_uid108_fxpX_uid43_fpCosPiTest_in(48 downto 0);
--leftShiftStage0Idx1Pad32_uid107_fxpX_uid43_fpCosPiTest(CONSTANT,106)
leftShiftStage0Idx1Pad32_uid107_fxpX_uid43_fpCosPiTest_q <= "00000000000000000000000000000000";
--leftShiftStage0Idx1_uid109_fxpX_uid43_fpCosPiTest(BITJOIN,108)@0
leftShiftStage0Idx1_uid109_fxpX_uid43_fpCosPiTest_q <= X48dto0_uid108_fxpX_uid43_fpCosPiTest_b & leftShiftStage0Idx1Pad32_uid107_fxpX_uid43_fpCosPiTest_q;
--cst01pWShift_uid15_fpCosPiTest(CONSTANT,14)
cst01pWShift_uid15_fpCosPiTest_q <= "0000000000000000000000000000";
--xIn(PORTIN,3)@0
--fracX_uid7_fpCosPiTest(BITSELECT,6)@0
fracX_uid7_fpCosPiTest_in <= xIn_0(51 downto 0);
fracX_uid7_fpCosPiTest_b <= fracX_uid7_fpCosPiTest_in(51 downto 0);
--oFracX_uid39_uid39_fpCosPiTest(BITJOIN,38)@0
oFracX_uid39_uid39_fpCosPiTest_q <= VCC_q & fracX_uid7_fpCosPiTest_b;
--oFracXExt_uid41_fpCosPiTest(BITJOIN,40)@0
oFracXExt_uid41_fpCosPiTest_q <= cst01pWShift_uid15_fpCosPiTest_q & oFracX_uid39_uid39_fpCosPiTest_q;
--biasMwShiftMO_uid14_fpCosPiTest(CONSTANT,13)
biasMwShiftMO_uid14_fpCosPiTest_q <= "01111100011";
--expX_uid6_fpCosPiTest(BITSELECT,5)@0
expX_uid6_fpCosPiTest_in <= xIn_0(62 downto 0);
expX_uid6_fpCosPiTest_b <= expX_uid6_fpCosPiTest_in(62 downto 52);
--shiftValFxPX_uid40_fpCosPiTest(SUB,39)@0
shiftValFxPX_uid40_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpCosPiTest_b);
shiftValFxPX_uid40_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & biasMwShiftMO_uid14_fpCosPiTest_q);
shiftValFxPX_uid40_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftValFxPX_uid40_fpCosPiTest_a) - UNSIGNED(shiftValFxPX_uid40_fpCosPiTest_b));
shiftValFxPX_uid40_fpCosPiTest_q <= shiftValFxPX_uid40_fpCosPiTest_o(11 downto 0);
--fxpShifterBits_uid42_fpCosPiTest(BITSELECT,41)@0
fxpShifterBits_uid42_fpCosPiTest_in <= shiftValFxPX_uid40_fpCosPiTest_q(6 downto 0);
fxpShifterBits_uid42_fpCosPiTest_b <= fxpShifterBits_uid42_fpCosPiTest_in(6 downto 0);
--leftShiftStageSel6Dto5_uid114_fxpX_uid43_fpCosPiTest(BITSELECT,113)@0
leftShiftStageSel6Dto5_uid114_fxpX_uid43_fpCosPiTest_in <= fxpShifterBits_uid42_fpCosPiTest_b;
leftShiftStageSel6Dto5_uid114_fxpX_uid43_fpCosPiTest_b <= leftShiftStageSel6Dto5_uid114_fxpX_uid43_fpCosPiTest_in(6 downto 5);
--leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest(MUX,114)@0
leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_s <= leftShiftStageSel6Dto5_uid114_fxpX_uid43_fpCosPiTest_b;
leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest: PROCESS (leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_s, oFracXExt_uid41_fpCosPiTest_q, leftShiftStage0Idx1_uid109_fxpX_uid43_fpCosPiTest_q, leftShiftStage0Idx2_uid112_fxpX_uid43_fpCosPiTest_q)
BEGIN
CASE leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_s IS
WHEN "00" => leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q <= oFracXExt_uid41_fpCosPiTest_q;
WHEN "01" => leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q <= leftShiftStage0Idx1_uid109_fxpX_uid43_fpCosPiTest_q;
WHEN "10" => leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q <= leftShiftStage0Idx2_uid112_fxpX_uid43_fpCosPiTest_q;
WHEN "11" => leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q <= leftShiftStage0Idx3_uid113_fxpX_uid43_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel4Dto3_uid125_fxpX_uid43_fpCosPiTest(BITSELECT,124)@0
leftShiftStageSel4Dto3_uid125_fxpX_uid43_fpCosPiTest_in <= fxpShifterBits_uid42_fpCosPiTest_b(4 downto 0);
leftShiftStageSel4Dto3_uid125_fxpX_uid43_fpCosPiTest_b <= leftShiftStageSel4Dto3_uid125_fxpX_uid43_fpCosPiTest_in(4 downto 3);
--leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest(MUX,125)@0
leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_s <= leftShiftStageSel4Dto3_uid125_fxpX_uid43_fpCosPiTest_b;
leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest: PROCESS (leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_s, leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q, leftShiftStage1Idx1_uid118_fxpX_uid43_fpCosPiTest_q, leftShiftStage1Idx2_uid121_fxpX_uid43_fpCosPiTest_q, leftShiftStage1Idx3_uid124_fxpX_uid43_fpCosPiTest_q)
BEGIN
CASE leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_s IS
WHEN "00" => leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q <= leftShiftStage0_uid115_fxpX_uid43_fpCosPiTest_q;
WHEN "01" => leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q <= leftShiftStage1Idx1_uid118_fxpX_uid43_fpCosPiTest_q;
WHEN "10" => leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q <= leftShiftStage1Idx2_uid121_fxpX_uid43_fpCosPiTest_q;
WHEN "11" => leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q <= leftShiftStage1Idx3_uid124_fxpX_uid43_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel2Dto1_uid136_fxpX_uid43_fpCosPiTest(BITSELECT,135)@0
leftShiftStageSel2Dto1_uid136_fxpX_uid43_fpCosPiTest_in <= fxpShifterBits_uid42_fpCosPiTest_b(2 downto 0);
leftShiftStageSel2Dto1_uid136_fxpX_uid43_fpCosPiTest_b <= leftShiftStageSel2Dto1_uid136_fxpX_uid43_fpCosPiTest_in(2 downto 1);
--leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest(MUX,136)@0
leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_s <= leftShiftStageSel2Dto1_uid136_fxpX_uid43_fpCosPiTest_b;
leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest: PROCESS (leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_s, leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q, leftShiftStage2Idx1_uid129_fxpX_uid43_fpCosPiTest_q, leftShiftStage2Idx2_uid132_fxpX_uid43_fpCosPiTest_q, leftShiftStage2Idx3_uid135_fxpX_uid43_fpCosPiTest_q)
BEGIN
CASE leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_s IS
WHEN "00" => leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q <= leftShiftStage1_uid126_fxpX_uid43_fpCosPiTest_q;
WHEN "01" => leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q <= leftShiftStage2Idx1_uid129_fxpX_uid43_fpCosPiTest_q;
WHEN "10" => leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q <= leftShiftStage2Idx2_uid132_fxpX_uid43_fpCosPiTest_q;
WHEN "11" => leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q <= leftShiftStage2Idx3_uid135_fxpX_uid43_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage279dto0_uid139_fxpX_uid43_fpCosPiTest(BITSELECT,138)@0
LeftShiftStage279dto0_uid139_fxpX_uid43_fpCosPiTest_in <= leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q(79 downto 0);
LeftShiftStage279dto0_uid139_fxpX_uid43_fpCosPiTest_b <= LeftShiftStage279dto0_uid139_fxpX_uid43_fpCosPiTest_in(79 downto 0);
--GND(CONSTANT,0)
GND_q <= "0";
--leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest(BITJOIN,139)@0
leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_q <= LeftShiftStage279dto0_uid139_fxpX_uid43_fpCosPiTest_b & GND_q;
--reg_leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_3(REG,356)@0
reg_leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_3_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_3_q <= leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_q;
END IF;
END PROCESS;
--reg_leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_2(REG,357)@0
reg_leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_2_q <= leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_q;
END IF;
END PROCESS;
--leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest(BITSELECT,140)@0
leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_in <= fxpShifterBits_uid42_fpCosPiTest_b(0 downto 0);
leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b <= leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_in(0 downto 0);
--ld_leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_b(DELAY,547)@0
ld_leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b, xout => ld_leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_b_q, clk => clk, aclr => areset );
--leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest(MUX,141)@1
leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_s <= ld_leftShiftStageSel0Dto0_uid141_fxpX_uid43_fpCosPiTest_b_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_b_q;
leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest: PROCESS (leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_s, reg_leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_2_q, reg_leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_3_q)
BEGIN
CASE leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_s IS
WHEN "0" => leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_q <= reg_leftShiftStage2_uid137_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_2_q;
WHEN "1" => leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_q <= reg_leftShiftStage3Idx1_uid140_fxpX_uid43_fpCosPiTest_0_to_leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_3_q;
WHEN OTHERS => leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--fxpXFrac_uid45_fpCosPiTest(BITSELECT,44)@1
fxpXFrac_uid45_fpCosPiTest_in <= leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_q(79 downto 0);
fxpXFrac_uid45_fpCosPiTest_b <= fxpXFrac_uid45_fpCosPiTest_in(79 downto 0);
--FxpXFrac79_uid46_fpCosPiTest(BITSELECT,45)@1
FxpXFrac79_uid46_fpCosPiTest_in <= fxpXFrac_uid45_fpCosPiTest_b;
FxpXFrac79_uid46_fpCosPiTest_b <= FxpXFrac79_uid46_fpCosPiTest_in(79 downto 79);
--FxpX80_uid44_fpCosPiTest(BITSELECT,43)@1
FxpX80_uid44_fpCosPiTest_in <= leftShiftStage3_uid142_fxpX_uid43_fpCosPiTest_q;
FxpX80_uid44_fpCosPiTest_b <= FxpX80_uid44_fpCosPiTest_in(80 downto 80);
--Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest(LOGICAL,97)@1
Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_a <= FxpX80_uid44_fpCosPiTest_b;
Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_b <= FxpXFrac79_uid46_fpCosPiTest_b;
Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q <= Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_a xor Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_b;
--ld_Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q_to_signRComp_uid101_fpCosPiTest_c(DELAY,504)@1
ld_Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q_to_signRComp_uid101_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q, xout => ld_Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q_to_signRComp_uid101_fpCosPiTest_c_q, clk => clk, aclr => areset );
--cstBiasPwF_uid12_fpCosPiTest(CONSTANT,11)
cstBiasPwF_uid12_fpCosPiTest_q <= "10000110011";
--xEvenInt_uid37_fpCosPiTest(COMPARE,36)@0
xEvenInt_uid37_fpCosPiTest_cin <= GND_q;
xEvenInt_uid37_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & cstBiasPwF_uid12_fpCosPiTest_q) & '0';
xEvenInt_uid37_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & expX_uid6_fpCosPiTest_b) & xEvenInt_uid37_fpCosPiTest_cin(0);
xEvenInt_uid37_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xEvenInt_uid37_fpCosPiTest_a) - UNSIGNED(xEvenInt_uid37_fpCosPiTest_b));
xEvenInt_uid37_fpCosPiTest_c(0) <= xEvenInt_uid37_fpCosPiTest_o(13);
--ld_xEvenInt_uid37_fpCosPiTest_c_to_Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a(DELAY,470)@0
ld_xEvenInt_uid37_fpCosPiTest_c_to_Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => xEvenInt_uid37_fpCosPiTest_c, xout => ld_xEvenInt_uid37_fpCosPiTest_c_to_Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a_q, clk => clk, aclr => areset );
--InvXEvenInt_uid83_fpCosPiTest(LOGICAL,82)@2
InvXEvenInt_uid83_fpCosPiTest_a <= ld_xEvenInt_uid37_fpCosPiTest_c_to_Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a_q;
InvXEvenInt_uid83_fpCosPiTest_q <= not InvXEvenInt_uid83_fpCosPiTest_a;
--biasMwShift_uid13_fpCosPiTest(CONSTANT,12)
biasMwShift_uid13_fpCosPiTest_q <= "01111100100";
--cosXIsOne_uid38_fpCosPiTest(COMPARE,37)@0
cosXIsOne_uid38_fpCosPiTest_cin <= GND_q;
cosXIsOne_uid38_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpCosPiTest_b) & '0';
cosXIsOne_uid38_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & biasMwShift_uid13_fpCosPiTest_q) & cosXIsOne_uid38_fpCosPiTest_cin(0);
cosXIsOne_uid38_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(cosXIsOne_uid38_fpCosPiTest_a) - UNSIGNED(cosXIsOne_uid38_fpCosPiTest_b));
cosXIsOne_uid38_fpCosPiTest_c(0) <= cosXIsOne_uid38_fpCosPiTest_o(13);
--ld_cosXIsOne_uid38_fpCosPiTest_c_to_InvCosXIsOne_uid79_fpCosPiTest_a(DELAY,467)@0
ld_cosXIsOne_uid38_fpCosPiTest_c_to_InvCosXIsOne_uid79_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => cosXIsOne_uid38_fpCosPiTest_c, xout => ld_cosXIsOne_uid38_fpCosPiTest_c_to_InvCosXIsOne_uid79_fpCosPiTest_a_q, clk => clk, aclr => areset );
--InvCosXIsOne_uid79_fpCosPiTest(LOGICAL,78)@2
InvCosXIsOne_uid79_fpCosPiTest_a <= ld_cosXIsOne_uid38_fpCosPiTest_c_to_InvCosXIsOne_uid79_fpCosPiTest_a_q;
InvCosXIsOne_uid79_fpCosPiTest_q <= not InvCosXIsOne_uid79_fpCosPiTest_a;
--signRComp_uid101_fpCosPiTest(LOGICAL,100)@2
signRComp_uid101_fpCosPiTest_a <= InvCosXIsOne_uid79_fpCosPiTest_q;
signRComp_uid101_fpCosPiTest_b <= InvXEvenInt_uid83_fpCosPiTest_q;
signRComp_uid101_fpCosPiTest_c <= ld_Xor2FxpXParityFxpXHalfParity_uid98_fpCosPiTest_q_to_signRComp_uid101_fpCosPiTest_c_q;
signRComp_uid101_fpCosPiTest_q <= signRComp_uid101_fpCosPiTest_a and signRComp_uid101_fpCosPiTest_b and signRComp_uid101_fpCosPiTest_c;
--cstZwSwF_uid16_fpCosPiTest(CONSTANT,15)
cstZwSwF_uid16_fpCosPiTest_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000000";
--cstHalfwSwFP1_uid19_fpCosPiTest(BITJOIN,18)@2
cstHalfwSwFP1_uid19_fpCosPiTest_q <= VCC_q & cstZwSwF_uid16_fpCosPiTest_q;
--reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracHalf_uid48_fpCosPiTest_0(REG,359)@1
reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracHalf_uid48_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracHalf_uid48_fpCosPiTest_0_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracHalf_uid48_fpCosPiTest_0_q <= fxpXFrac_uid45_fpCosPiTest_b;
END IF;
END PROCESS;
--fxpXFracHalf_uid48_fpCosPiTest(LOGICAL,47)@2
fxpXFracHalf_uid48_fpCosPiTest_a <= reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracHalf_uid48_fpCosPiTest_0_q;
fxpXFracHalf_uid48_fpCosPiTest_b <= cstHalfwSwFP1_uid19_fpCosPiTest_q;
fxpXFracHalf_uid48_fpCosPiTest_q <= "1" when fxpXFracHalf_uid48_fpCosPiTest_a = fxpXFracHalf_uid48_fpCosPiTest_b else "0";
--InvFxpXFracHalf_uid102_fpCosPiTest(LOGICAL,101)@2
InvFxpXFracHalf_uid102_fpCosPiTest_a <= fxpXFracHalf_uid48_fpCosPiTest_q;
InvFxpXFracHalf_uid102_fpCosPiTest_q <= not InvFxpXFracHalf_uid102_fpCosPiTest_a;
--signR_uid103_fpCosPiTest(LOGICAL,102)@2
signR_uid103_fpCosPiTest_a <= InvFxpXFracHalf_uid102_fpCosPiTest_q;
signR_uid103_fpCosPiTest_b <= signRComp_uid101_fpCosPiTest_q;
signR_uid103_fpCosPiTest_q <= signR_uid103_fpCosPiTest_a and signR_uid103_fpCosPiTest_b;
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_inputreg(DELAY,932)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => signR_uid103_fpCosPiTest_q, xout => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_inputreg_q, clk => clk, aclr => areset );
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt(COUNTER,934)
-- every=1, low=0, high=37, step=1, init=1
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i = 36 THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_eq <= '1';
ELSE
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_eq <= '0';
END IF;
IF (ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_eq = '1') THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i - 37;
ELSE
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_i,6));
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg(REG,935)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg_q <= "000000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_q;
END IF;
END PROCESS;
--VCC(CONSTANT,1)
VCC_q <= "1";
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux(MUX,936)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_s <= VCC_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux: PROCESS (ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_s, ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg_q, ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_q)
BEGIN
CASE ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_s IS
WHEN "0" => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg_q;
WHEN "1" => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem(DUALMEM,933)
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_reset0 <= areset;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_ia <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_inputreg_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_aa <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdreg_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_ab <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_rdmux_q;
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 38,
width_b => 1,
widthad_b => 6,
numwords_b => 38,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_iq,
address_a => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_aa,
data_a => ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_ia
);
ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_iq(0 downto 0);
--cstBias_uid10_fpCosPiTest(CONSTANT,9)
cstBias_uid10_fpCosPiTest_q <= "01111111111";
--cstAllOWE_uid8_fpCosPiTest(CONSTANT,7)
cstAllOWE_uid8_fpCosPiTest_q <= "11111111111";
--cstAllZWE_uid22_fpCosPiTest(CONSTANT,21)
cstAllZWE_uid22_fpCosPiTest_q <= "00000000000";
--reg_fxpXFrac_uid45_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_1(REG,361)@1
reg_fxpXFrac_uid45_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fxpXFrac_uid45_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_1_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_fxpXFrac_uid45_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_1_q <= fxpXFrac_uid45_fpCosPiTest_b;
END IF;
END PROCESS;
--pad_o_uid17_uid49_fpCosPiTest(BITJOIN,48)@1
pad_o_uid17_uid49_fpCosPiTest_q <= VCC_q & STD_LOGIC_VECTOR((79 downto 1 => GND_q(0)) & GND_q);
--reg_pad_o_uid17_uid49_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_0(REG,362)@1
reg_pad_o_uid17_uid49_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_o_uid17_uid49_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_0_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_pad_o_uid17_uid49_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_0_q <= pad_o_uid17_uid49_fpCosPiTest_q;
END IF;
END PROCESS;
--oMFxpXFrac_uid49_fpCosPiTest(SUB,49)@2
oMFxpXFrac_uid49_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_o_uid17_uid49_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_0_q);
oMFxpXFrac_uid49_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & reg_fxpXFrac_uid45_fpCosPiTest_0_to_oMFxpXFrac_uid49_fpCosPiTest_1_q);
oMFxpXFrac_uid49_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oMFxpXFrac_uid49_fpCosPiTest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
oMFxpXFrac_uid49_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oMFxpXFrac_uid49_fpCosPiTest_a) - UNSIGNED(oMFxpXFrac_uid49_fpCosPiTest_b));
END IF;
END PROCESS;
oMFxpXFrac_uid49_fpCosPiTest_q <= oMFxpXFrac_uid49_fpCosPiTest_o(81 downto 0);
--oMFxpXFrac_uid51_fpCosPiTest(BITSELECT,50)@3
oMFxpXFrac_uid51_fpCosPiTest_in <= oMFxpXFrac_uid49_fpCosPiTest_q(79 downto 0);
oMFxpXFrac_uid51_fpCosPiTest_b <= oMFxpXFrac_uid51_fpCosPiTest_in(79 downto 0);
--ld_fxpXFrac_uid45_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_c(DELAY,443)@1
ld_fxpXFrac_uid45_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 80, depth => 2 )
PORT MAP ( xin => fxpXFrac_uid45_fpCosPiTest_b, xout => ld_fxpXFrac_uid45_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_c_q, clk => clk, aclr => areset );
--ld_FxpXFrac79_uid46_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_b(DELAY,442)@1
ld_FxpXFrac79_uid46_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => FxpXFrac79_uid46_fpCosPiTest_b, xout => ld_FxpXFrac79_uid46_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_b_q, clk => clk, aclr => areset );
--rangeReducedFxPX_uid53_fpCosPiTest(MUX,52)@3
rangeReducedFxPX_uid53_fpCosPiTest_s <= ld_FxpXFrac79_uid46_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_b_q;
rangeReducedFxPX_uid53_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
rangeReducedFxPX_uid53_fpCosPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
CASE rangeReducedFxPX_uid53_fpCosPiTest_s IS
WHEN "0" => rangeReducedFxPX_uid53_fpCosPiTest_q <= ld_fxpXFrac_uid45_fpCosPiTest_b_to_rangeReducedFxPX_uid53_fpCosPiTest_c_q;
WHEN "1" => rangeReducedFxPX_uid53_fpCosPiTest_q <= oMFxpXFrac_uid51_fpCosPiTest_b;
WHEN OTHERS => rangeReducedFxPX_uid53_fpCosPiTest_q <= (others => '0');
END CASE;
END IF;
END PROCESS;
--pad_half_uid18_uid54_fpCosPiTest(BITJOIN,53)@3
pad_half_uid18_uid54_fpCosPiTest_q <= VCC_q & STD_LOGIC_VECTOR((78 downto 1 => GND_q(0)) & GND_q);
--reg_pad_half_uid18_uid54_fpCosPiTest_0_to_z_halfMRRFxPXE_uid54_fpCosPiTest_0(REG,363)@3
reg_pad_half_uid18_uid54_fpCosPiTest_0_to_z_halfMRRFxPXE_uid54_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_half_uid18_uid54_fpCosPiTest_0_to_z_halfMRRFxPXE_uid54_fpCosPiTest_0_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_pad_half_uid18_uid54_fpCosPiTest_0_to_z_halfMRRFxPXE_uid54_fpCosPiTest_0_q <= pad_half_uid18_uid54_fpCosPiTest_q;
END IF;
END PROCESS;
--z_halfMRRFxPXE_uid54_fpCosPiTest(SUB,54)@4
z_halfMRRFxPXE_uid54_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_half_uid18_uid54_fpCosPiTest_0_to_z_halfMRRFxPXE_uid54_fpCosPiTest_0_q);
z_halfMRRFxPXE_uid54_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & rangeReducedFxPX_uid53_fpCosPiTest_q);
z_halfMRRFxPXE_uid54_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
z_halfMRRFxPXE_uid54_fpCosPiTest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
z_halfMRRFxPXE_uid54_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(z_halfMRRFxPXE_uid54_fpCosPiTest_a) - UNSIGNED(z_halfMRRFxPXE_uid54_fpCosPiTest_b));
END IF;
END PROCESS;
z_halfMRRFxPXE_uid54_fpCosPiTest_q <= z_halfMRRFxPXE_uid54_fpCosPiTest_o(80 downto 0);
--z_uid56_fpCosPiTest(BITSELECT,55)@5
z_uid56_fpCosPiTest_in <= z_halfMRRFxPXE_uid54_fpCosPiTest_q(78 downto 0);
z_uid56_fpCosPiTest_b <= z_uid56_fpCosPiTest_in(78 downto 0);
--zAddr_uid64_fpCosPiTest(BITSELECT,63)@5
zAddr_uid64_fpCosPiTest_in <= z_uid56_fpCosPiTest_b;
zAddr_uid64_fpCosPiTest_b <= zAddr_uid64_fpCosPiTest_in(78 downto 72);
--memoryC5_uid230_sinPiZTableGenerator(LOOKUP,229)@5
memoryC5_uid230_sinPiZTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC5_uid230_sinPiZTableGenerator_q <= "11111111101000001";
ELSIF (clk'EVENT AND clk = '1'AND VCC_q = "1") THEN
CASE (zAddr_uid64_fpCosPiTest_b) IS
WHEN "0000000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111111101000001";
WHEN "0000001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111110101101000";
WHEN "0000010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111101101011101";
WHEN "0000011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111100110001110";
WHEN "0000100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111100000000010";
WHEN "0000101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111011000000000";
WHEN "0000110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111010001101101";
WHEN "0000111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111001010010111";
WHEN "0001000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11111000010110010";
WHEN "0001001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110111100010100";
WHEN "0001010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110110100011111";
WHEN "0001011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110101100101101";
WHEN "0001100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110100101101011";
WHEN "0001101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110011111011101";
WHEN "0001110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110010111001010";
WHEN "0001111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110010001011011";
WHEN "0010000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110001010101011";
WHEN "0010001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11110000011011001";
WHEN "0010010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101111011000001";
WHEN "0010011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101110101001010";
WHEN "0010100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101101110100110";
WHEN "0010101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101100111100011";
WHEN "0010110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101011111111111";
WHEN "0010111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101011001001010";
WHEN "0011000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101010010100110";
WHEN "0011001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101001010011011";
WHEN "0011010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11101000100011100";
WHEN "0011011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100111101010110";
WHEN "0011100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100110110111000";
WHEN "0011101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100101111110010";
WHEN "0011110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100100111110010";
WHEN "0011111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100100001010101";
WHEN "0100000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100011011011111";
WHEN "0100001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100010011110111";
WHEN "0100010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100001110001010";
WHEN "0100011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11100000111000100";
WHEN "0100100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011111111110101";
WHEN "0100101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011111001010110";
WHEN "0100110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011110011000111";
WHEN "0100111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011101101000000";
WHEN "0101000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011100110000011";
WHEN "0101001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011011111111001";
WHEN "0101010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011011000100110";
WHEN "0101011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011010010101100";
WHEN "0101100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011001100010010";
WHEN "0101101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11011000101010111";
WHEN "0101110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010111111101010";
WHEN "0101111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010111001010000";
WHEN "0110000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010110011010011";
WHEN "0110001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010101100101011";
WHEN "0110010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010100110111110";
WHEN "0110011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010011111111000";
WHEN "0110100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010011010110010";
WHEN "0110101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010010101001110";
WHEN "0110110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010001110010011";
WHEN "0110111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010001000111011";
WHEN "0111000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11010000001111011";
WHEN "0111001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001111011111110";
WHEN "0111010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001110111001010";
WHEN "0111011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001110001110111";
WHEN "0111100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001101011000100";
WHEN "0111101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001100101010010";
WHEN "0111110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001100000011111";
WHEN "0111111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001011010001010";
WHEN "1000000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001010100111110";
WHEN "1000001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001001110110010";
WHEN "1000010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001001010111000";
WHEN "1000011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11001000100111111";
WHEN "1000100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000111111001100";
WHEN "1000101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000111010001001";
WHEN "1000110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000110101010110";
WHEN "1000111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000110000011101";
WHEN "1001000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000101001110100";
WHEN "1001001" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000100101111001";
WHEN "1001010" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000011111111010";
WHEN "1001011" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000011011110110";
WHEN "1001100" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000010110001100";
WHEN "1001101" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000010001001000";
WHEN "1001110" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000001101111001";
WHEN "1001111" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000001000000111";
WHEN "1010000" => memoryC5_uid230_sinPiZTableGenerator_q <= "11000000010111001";
WHEN "1010001" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111111110110110";
WHEN "1010010" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111111001111111";
WHEN "1010011" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111110110010100";
WHEN "1010100" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111110001011000";
WHEN "1010101" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111101100010111";
WHEN "1010110" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111101000010010";
WHEN "1010111" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111100100010000";
WHEN "1011000" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111100000111100";
WHEN "1011001" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111011011111111";
WHEN "1011010" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111010111100000";
WHEN "1011011" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111010011110100";
WHEN "1011100" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111010000011100";
WHEN "1011101" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111001011011110";
WHEN "1011110" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111000111111101";
WHEN "1011111" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111000100101011";
WHEN "1100000" => memoryC5_uid230_sinPiZTableGenerator_q <= "10111000001100100";
WHEN "1100001" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110111101101110";
WHEN "1100010" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110111010011000";
WHEN "1100011" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110110110110000";
WHEN "1100100" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110110010011010";
WHEN "1100101" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110110000011110";
WHEN "1100110" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110101100101100";
WHEN "1100111" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110101000101110";
WHEN "1101000" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110100110100000";
WHEN "1101001" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110100100010001";
WHEN "1101010" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110100001000000";
WHEN "1101011" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110011101101110";
WHEN "1101100" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110011011011111";
WHEN "1101101" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110010111000100";
WHEN "1101110" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110010101110011";
WHEN "1101111" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110010001111100";
WHEN "1110000" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110010000001111";
WHEN "1110001" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110001110011001";
WHEN "1110010" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110001010100010";
WHEN "1110011" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110000111111000";
WHEN "1110100" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110000101110110";
WHEN "1110101" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110000101000100";
WHEN "1110110" => memoryC5_uid230_sinPiZTableGenerator_q <= "10110000010100010";
WHEN "1110111" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101111111110011";
WHEN "1111000" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101111110010000";
WHEN "1111001" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101111100110111";
WHEN "1111010" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101111010111000";
WHEN "1111011" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101111000101000";
WHEN "1111100" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101110110110100";
WHEN "1111101" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101110101011010";
WHEN "1111110" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101110100000011";
WHEN "1111111" => memoryC5_uid230_sinPiZTableGenerator_q <= "10101110010001011";
WHEN OTHERS =>
memoryC5_uid230_sinPiZTableGenerator_q <= "11111111101000001";
END CASE;
END IF;
END PROCESS;
--ld_z_uid56_fpCosPiTest_b_to_zPPolyEval_uid65_fpCosPiTest_a(DELAY,452)@5
ld_z_uid56_fpCosPiTest_b_to_zPPolyEval_uid65_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 79, depth => 1 )
PORT MAP ( xin => z_uid56_fpCosPiTest_b, xout => ld_z_uid56_fpCosPiTest_b_to_zPPolyEval_uid65_fpCosPiTest_a_q, clk => clk, aclr => areset );
--zPPolyEval_uid65_fpCosPiTest(BITSELECT,64)@6
zPPolyEval_uid65_fpCosPiTest_in <= ld_z_uid56_fpCosPiTest_b_to_zPPolyEval_uid65_fpCosPiTest_a_q(71 downto 0);
zPPolyEval_uid65_fpCosPiTest_b <= zPPolyEval_uid65_fpCosPiTest_in(71 downto 27);
--yT1_uid231_sinPiZPolyEval(BITSELECT,230)@6
yT1_uid231_sinPiZPolyEval_in <= zPPolyEval_uid65_fpCosPiTest_b;
yT1_uid231_sinPiZPolyEval_b <= yT1_uid231_sinPiZPolyEval_in(44 downto 28);
--prodXY_uid262_pT1_uid232_sinPiZPolyEval(MULT,261)@6
prodXY_uid262_pT1_uid232_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid262_pT1_uid232_sinPiZPolyEval_a),18)) * SIGNED(prodXY_uid262_pT1_uid232_sinPiZPolyEval_b);
prodXY_uid262_pT1_uid232_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid262_pT1_uid232_sinPiZPolyEval_a <= (others => '0');
prodXY_uid262_pT1_uid232_sinPiZPolyEval_b <= (others => '0');
prodXY_uid262_pT1_uid232_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid262_pT1_uid232_sinPiZPolyEval_a <= yT1_uid231_sinPiZPolyEval_b;
prodXY_uid262_pT1_uid232_sinPiZPolyEval_b <= memoryC5_uid230_sinPiZTableGenerator_q;
prodXY_uid262_pT1_uid232_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid262_pT1_uid232_sinPiZPolyEval_pr,34));
END IF;
END PROCESS;
prodXY_uid262_pT1_uid232_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid262_pT1_uid232_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid262_pT1_uid232_sinPiZPolyEval_q <= prodXY_uid262_pT1_uid232_sinPiZPolyEval_s1;
END IF;
END PROCESS;
--prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval(BITSELECT,262)@9
prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval_in <= prodXY_uid262_pT1_uid232_sinPiZPolyEval_q;
prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval_b <= prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval_in(33 downto 16);
--highBBits_uid234_sinPiZPolyEval(BITSELECT,233)@9
highBBits_uid234_sinPiZPolyEval_in <= prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval_b;
highBBits_uid234_sinPiZPolyEval_b <= highBBits_uid234_sinPiZPolyEval_in(17 downto 1);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC4_uid229_sinPiZTableGenerator_a(DELAY,638)@5
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC4_uid229_sinPiZTableGenerator_a : dspba_delay
GENERIC MAP ( width => 7, depth => 3 )
PORT MAP ( xin => zAddr_uid64_fpCosPiTest_b, xout => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC4_uid229_sinPiZTableGenerator_a_q, clk => clk, aclr => areset );
--memoryC4_uid229_sinPiZTableGenerator(LOOKUP,228)@8
memoryC4_uid229_sinPiZTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC4_uid229_sinPiZTableGenerator_q <= "01010001100110110001011110";
ELSIF (clk'EVENT AND clk = '1'AND VCC_q = "1") THEN
CASE (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC4_uid229_sinPiZTableGenerator_a_q) IS
WHEN "0000000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001100110110001011110";
WHEN "0000001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001100110011111111100";
WHEN "0000010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001100101101100110011";
WHEN "0000011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001100100010011000101";
WHEN "0000100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001100010010010010110";
WHEN "0000101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001011111110011000100";
WHEN "0000110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001011100101011001100";
WHEN "0000111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001011001000001111000";
WHEN "0001000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001010100110101100100";
WHEN "0001001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001010000000010110001";
WHEN "0001010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001001010101111100100";
WHEN "0001011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010001000100111000100010";
WHEN "0001100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000111110011011110101";
WHEN "0001101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000110111011001010101";
WHEN "0001110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000101111111000110001";
WHEN "0001111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000100111101101111110";
WHEN "0010000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000011111000010010110";
WHEN "0010001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000010101110100101111";
WHEN "0010010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000001100000110110101";
WHEN "0010011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01010000000001101111000000";
WHEN "0010100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001111110110110101110011";
WHEN "0010101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001111101011011010111001";
WHEN "0010110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001111011111011110000110";
WHEN "0010111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001111010010111100110100";
WHEN "0011000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001111000101110111111001";
WHEN "0011001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001110111000010100011101";
WHEN "0011010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001110101010001000110011";
WHEN "0011011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001110011011011101100111";
WHEN "0011100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001110001100001110001111";
WHEN "0011101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001101111100011110001010";
WHEN "0011110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001101101100001110100101";
WHEN "0011111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001101011011011000110110";
WHEN "0100000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001101001001111111101110";
WHEN "0100001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001100111000001001001111";
WHEN "0100010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001100100101101100011001";
WHEN "0100011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001100010010110001110000";
WHEN "0100100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001011111111010101110110";
WHEN "0100101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001011101011010111000111";
WHEN "0100110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001011010110110110011110";
WHEN "0100111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001011000001110100011110";
WHEN "0101000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001010101100010100010011";
WHEN "0101001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001010010110010001000111";
WHEN "0101010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001001111111110001000100";
WHEN "0101011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001001101000101100110110";
WHEN "0101100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001001010001001001111011";
WHEN "0101101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001000111001001000001110";
WHEN "0101110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001000100000100011110110";
WHEN "0101111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01001000000111100001111001";
WHEN "0110000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000111101101111111011111";
WHEN "0110001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000111010011111111100110";
WHEN "0110010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000110111001011110100100";
WHEN "0110011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000110011110100010000111";
WHEN "0110100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000110000011000010001001";
WHEN "0110101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000101100111000101000011";
WHEN "0110110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000101001010101101011111";
WHEN "0110111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000100101101110011101110";
WHEN "0111000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000100010000100000111110";
WHEN "0111001" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000011110010101101110100";
WHEN "0111010" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000011010100011010011110";
WHEN "0111011" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000010110101101011010111";
WHEN "0111100" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000010010110100011011101";
WHEN "0111101" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000001110110111100001001";
WHEN "0111110" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000001010110110110010000";
WHEN "0111111" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000000110110011000001101";
WHEN "1000000" => memoryC4_uid229_sinPiZTableGenerator_q <= "01000000010101011011011001";
WHEN "1000001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111111110100000101110010";
WHEN "1000010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111111010010001110101001";
WHEN "1000011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111110110000000001101011";
WHEN "1000100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111110001101011001110011";
WHEN "1000101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111101101010010101011101";
WHEN "1000110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111101000110110101111111";
WHEN "1000111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111100100010111100111100";
WHEN "1001000" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111011111110101110100100";
WHEN "1001001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111011011001111111110100";
WHEN "1001010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111010110100111101000111";
WHEN "1001011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111010001111011100101001";
WHEN "1001100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111001101001100111100001";
WHEN "1001101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111001000011011000101011";
WHEN "1001110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00111000011100101100110010";
WHEN "1001111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110111110101110000000011";
WHEN "1010000" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110111001110011001111101";
WHEN "1010001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110110100110101001110001";
WHEN "1010010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110101111110100101001011";
WHEN "1010011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110101010110000110010110";
WHEN "1010100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110100101101010100111010";
WHEN "1010101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110100000100001101101101";
WHEN "1010110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110011011010101110011000";
WHEN "1010111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110010110000111001010011";
WHEN "1011000" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110010000110101101010010";
WHEN "1011001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110001011100010001000010";
WHEN "1011010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110000110001011111001110";
WHEN "1011011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00110000000110010110110100";
WHEN "1011100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101111011010111010001000";
WHEN "1011101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101110101111001110001011";
WHEN "1011110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101110000011001011001100";
WHEN "1011111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101101010110110100111111";
WHEN "1100000" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101100101010001011011001";
WHEN "1100001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101011111101010010000000";
WHEN "1100010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101011010000000101001000";
WHEN "1100011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101010100010100111110111";
WHEN "1100100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101001110100111011010110";
WHEN "1100101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101001000110110111101100";
WHEN "1100110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00101000011000101000001000";
WHEN "1100111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100111101010001001010101";
WHEN "1101000" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100110111011010110000011";
WHEN "1101001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100110001100010011011010";
WHEN "1101010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100101011101000100011001";
WHEN "1101011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100100101101100110100111";
WHEN "1101100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100011111101110111111010";
WHEN "1101101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100011001110000000101100";
WHEN "1101110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100010011101110011110101";
WHEN "1101111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100001101101100000010000";
WHEN "1110000" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100000111100111010001011";
WHEN "1110001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00100000001100000111111010";
WHEN "1110010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011111011011001110101000";
WHEN "1110011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011110101010000110010111";
WHEN "1110100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011101111000110001000001";
WHEN "1110101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011101000111001101001001";
WHEN "1110110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011100010101100011001010";
WHEN "1110111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011011100011101111010110";
WHEN "1111000" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011010110001101110011110";
WHEN "1111001" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011001111111100011010111";
WHEN "1111010" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011001001101010000100101";
WHEN "1111011" => memoryC4_uid229_sinPiZTableGenerator_q <= "00011000011010110101101110";
WHEN "1111100" => memoryC4_uid229_sinPiZTableGenerator_q <= "00010111101000010001000000";
WHEN "1111101" => memoryC4_uid229_sinPiZTableGenerator_q <= "00010110110101100011000101";
WHEN "1111110" => memoryC4_uid229_sinPiZTableGenerator_q <= "00010110000010101101010111";
WHEN "1111111" => memoryC4_uid229_sinPiZTableGenerator_q <= "00010101001111110001011010";
WHEN OTHERS =>
memoryC4_uid229_sinPiZTableGenerator_q <= "01010001100110110001011110";
END CASE;
END IF;
END PROCESS;
--sumAHighB_uid235_sinPiZPolyEval(ADD,234)@9
sumAHighB_uid235_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((26 downto 26 => memoryC4_uid229_sinPiZTableGenerator_q(25)) & memoryC4_uid229_sinPiZTableGenerator_q);
sumAHighB_uid235_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((26 downto 17 => highBBits_uid234_sinPiZPolyEval_b(16)) & highBBits_uid234_sinPiZPolyEval_b);
sumAHighB_uid235_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid235_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid235_sinPiZPolyEval_b));
sumAHighB_uid235_sinPiZPolyEval_q <= sumAHighB_uid235_sinPiZPolyEval_o(26 downto 0);
--lowRangeB_uid233_sinPiZPolyEval(BITSELECT,232)@9
lowRangeB_uid233_sinPiZPolyEval_in <= prodXYTruncFR_uid263_pT1_uid232_sinPiZPolyEval_b(0 downto 0);
lowRangeB_uid233_sinPiZPolyEval_b <= lowRangeB_uid233_sinPiZPolyEval_in(0 downto 0);
--s1_uid233_uid236_sinPiZPolyEval(BITJOIN,235)@9
s1_uid233_uid236_sinPiZPolyEval_q <= sumAHighB_uid235_sinPiZPolyEval_q & lowRangeB_uid233_sinPiZPolyEval_b;
--reg_s1_uid233_uid236_sinPiZPolyEval_0_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_1(REG,373)@9
reg_s1_uid233_uid236_sinPiZPolyEval_0_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid233_uid236_sinPiZPolyEval_0_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_1_q <= "0000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_s1_uid233_uid236_sinPiZPolyEval_0_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_1_q <= s1_uid233_uid236_sinPiZPolyEval_q;
END IF;
END PROCESS;
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor(LOGICAL,977)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_b <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_sticky_ena_q;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_q <= not (ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_a or ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_b);
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_cmpReg(REG,975)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_cmpReg_q <= VCC_q;
END IF;
END PROCESS;
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_sticky_ena(REG,978)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_nor_q = "1") THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_sticky_ena_q <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd(LOGICAL,979)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_a <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_sticky_ena_q;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_b <= VCC_q;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_q <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_a and ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_b;
--yT2_uid237_sinPiZPolyEval(BITSELECT,236)@6
yT2_uid237_sinPiZPolyEval_in <= zPPolyEval_uid65_fpCosPiTest_b;
yT2_uid237_sinPiZPolyEval_b <= yT2_uid237_sinPiZPolyEval_in(44 downto 19);
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt(COUNTER,971)
-- every=1, low=0, high=1, step=1, init=1
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_i <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_i + 1;
END IF;
END PROCESS;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_i,1));
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg(REG,972)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg_q <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux(MUX,973)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_s <= VCC_q;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux: PROCESS (ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_s, ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg_q, ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_q)
BEGIN
CASE ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_s IS
WHEN "0" => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_q <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg_q;
WHEN "1" => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_q <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem(DUALMEM,1059)
ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_reset0 <= areset;
ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_ia <= yT2_uid237_sinPiZPolyEval_b;
ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_aa <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg_q;
ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_ab <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_q;
ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 26,
widthad_a => 1,
numwords_a => 2,
width_b => 26,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_iq,
address_a => ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_aa,
data_a => ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_ia
);
ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_q <= ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_iq(25 downto 0);
--ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_outputreg(DELAY,1058)
ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_outputreg : dspba_delay
GENERIC MAP ( width => 26, depth => 1 )
PORT MAP ( xin => ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_replace_mem_q, xout => ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_outputreg_q, clk => clk, aclr => areset );
--prodXY_uid265_pT2_uid238_sinPiZPolyEval(MULT,264)@10
prodXY_uid265_pT2_uid238_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid265_pT2_uid238_sinPiZPolyEval_a),27)) * SIGNED(prodXY_uid265_pT2_uid238_sinPiZPolyEval_b);
prodXY_uid265_pT2_uid238_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid265_pT2_uid238_sinPiZPolyEval_a <= (others => '0');
prodXY_uid265_pT2_uid238_sinPiZPolyEval_b <= (others => '0');
prodXY_uid265_pT2_uid238_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid265_pT2_uid238_sinPiZPolyEval_a <= ld_yT2_uid237_sinPiZPolyEval_b_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_a_outputreg_q;
prodXY_uid265_pT2_uid238_sinPiZPolyEval_b <= reg_s1_uid233_uid236_sinPiZPolyEval_0_to_prodXY_uid265_pT2_uid238_sinPiZPolyEval_1_q;
prodXY_uid265_pT2_uid238_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid265_pT2_uid238_sinPiZPolyEval_pr,54));
END IF;
END PROCESS;
prodXY_uid265_pT2_uid238_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid265_pT2_uid238_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid265_pT2_uid238_sinPiZPolyEval_q <= prodXY_uid265_pT2_uid238_sinPiZPolyEval_s1;
END IF;
END PROCESS;
--prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval(BITSELECT,265)@13
prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval_in <= prodXY_uid265_pT2_uid238_sinPiZPolyEval_q;
prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval_b <= prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval_in(53 downto 25);
--highBBits_uid240_sinPiZPolyEval(BITSELECT,239)@13
highBBits_uid240_sinPiZPolyEval_in <= prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval_b;
highBBits_uid240_sinPiZPolyEval_b <= highBBits_uid240_sinPiZPolyEval_in(28 downto 1);
--reg_highBBits_uid240_sinPiZPolyEval_0_to_sumAHighB_uid241_sinPiZPolyEval_1(REG,374)@13
reg_highBBits_uid240_sinPiZPolyEval_0_to_sumAHighB_uid241_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid240_sinPiZPolyEval_0_to_sumAHighB_uid241_sinPiZPolyEval_1_q <= "0000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid240_sinPiZPolyEval_0_to_sumAHighB_uid241_sinPiZPolyEval_1_q <= highBBits_uid240_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor(LOGICAL,1029)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_b <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_q <= not (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_a or ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_b);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_mem_top(CONSTANT,1025)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_mem_top_q <= "0101";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp(LOGICAL,1026)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_mem_top_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_q);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_q <= "1" when ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_a = ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_b else "0";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmpReg(REG,1027)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmpReg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_sticky_ena(REG,1030)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_nor_q = "1") THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_sticky_ena_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd(LOGICAL,1031)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_b <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_a and ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_b;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt(COUNTER,1021)
-- every=1, low=0, high=5, step=1, init=1
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i = 4 THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i - 5;
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_i,3));
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg(REG,1022)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg_q <= "000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux(MUX,1023)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux: PROCESS (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_s, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg_q, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem(DUALMEM,1020)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_reset0 <= areset;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_ia <= zAddr_uid64_fpCosPiTest_b;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_aa <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdreg_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_ab <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_rdmux_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 3,
numwords_a => 6,
width_b => 7,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_iq,
address_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_aa,
data_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_ia
);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_outputreg(DELAY,1019)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_replace_mem_q, xout => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC3_uid228_sinPiZTableGenerator(LOOKUP,227)@13
memoryC3_uid228_sinPiZTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC3_uid228_sinPiZTableGenerator_q <= "11111111111111111111111111111001011";
ELSIF (clk'EVENT AND clk = '1'AND VCC_q = "1") THEN
CASE (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC3_uid228_sinPiZTableGenerator_a_outputreg_q) IS
WHEN "0000000" => memoryC3_uid228_sinPiZTableGenerator_q <= "11111111111111111111111111111001011";
WHEN "0000001" => memoryC3_uid228_sinPiZTableGenerator_q <= "00000001010001100110101000111010101";
WHEN "0000010" => memoryC3_uid228_sinPiZTableGenerator_q <= "00000010100011001100101101010110011";
WHEN "0000011" => memoryC3_uid228_sinPiZTableGenerator_q <= "00000011110100110001101010100011011";
WHEN "0000100" => memoryC3_uid228_sinPiZTableGenerator_q <= "00000101000110010100111100101110011";
WHEN "0000101" => memoryC3_uid228_sinPiZTableGenerator_q <= "00000110010111110101111101101101000";
WHEN "0000110" => memoryC3_uid228_sinPiZTableGenerator_q <= "00000111101001010100001100001001101";
WHEN "0000111" => memoryC3_uid228_sinPiZTableGenerator_q <= "00001000111010101111000010100001000";
WHEN "0001000" => memoryC3_uid228_sinPiZTableGenerator_q <= "00001010001100000101111101001011010";
WHEN "0001001" => memoryC3_uid228_sinPiZTableGenerator_q <= "00001011011101011000011001000111110";
WHEN "0001010" => memoryC3_uid228_sinPiZTableGenerator_q <= "00001100101110100101110001001110101";
WHEN "0001011" => memoryC3_uid228_sinPiZTableGenerator_q <= "00001101111111101101100010100000001";
WHEN "0001100" => memoryC3_uid228_sinPiZTableGenerator_q <= "00001111010000101111001001101010110";
WHEN "0001101" => memoryC3_uid228_sinPiZTableGenerator_q <= "00010000100001101010000011000110101";
WHEN "0001110" => memoryC3_uid228_sinPiZTableGenerator_q <= "00010001110010011101101001010101000";
WHEN "0001111" => memoryC3_uid228_sinPiZTableGenerator_q <= "00010011000011001001011011101011111";
WHEN "0010000" => memoryC3_uid228_sinPiZTableGenerator_q <= "00010100010011101100110100011101011";
WHEN "0010001" => memoryC3_uid228_sinPiZTableGenerator_q <= "00010101100100000111010000011110001";
WHEN "0010010" => memoryC3_uid228_sinPiZTableGenerator_q <= "00010110110100011000001011111010110";
WHEN "0010011" => memoryC3_uid228_sinPiZTableGenerator_q <= "00011000000100011111000101110110101";
WHEN "0010100" => memoryC3_uid228_sinPiZTableGenerator_q <= "00011001010100011011011001000001011";
WHEN "0010101" => memoryC3_uid228_sinPiZTableGenerator_q <= "00011010100100001100100010010100101";
WHEN "0010110" => memoryC3_uid228_sinPiZTableGenerator_q <= "00011011110011110001111110110101010";
WHEN "0010111" => memoryC3_uid228_sinPiZTableGenerator_q <= "00011101000011001011001100000011011";
WHEN "0011000" => memoryC3_uid228_sinPiZTableGenerator_q <= "00011110010010010111100111000010100";
WHEN "0011001" => memoryC3_uid228_sinPiZTableGenerator_q <= "00011111100001010110101011110000110";
WHEN "0011010" => memoryC3_uid228_sinPiZTableGenerator_q <= "00100000110000000111111001110100010";
WHEN "0011011" => memoryC3_uid228_sinPiZTableGenerator_q <= "00100001111110101010101100100101101";
WHEN "0011100" => memoryC3_uid228_sinPiZTableGenerator_q <= "00100011001100111110100010110001111";
WHEN "0011101" => memoryC3_uid228_sinPiZTableGenerator_q <= "00100100011011000010111001001011111";
WHEN "0011110" => memoryC3_uid228_sinPiZTableGenerator_q <= "00100101101000110111001101001101001";
WHEN "0011111" => memoryC3_uid228_sinPiZTableGenerator_q <= "00100110110110011010111110011001100";
WHEN "0100000" => memoryC3_uid228_sinPiZTableGenerator_q <= "00101000000011101101101010000100110";
WHEN "0100001" => memoryC3_uid228_sinPiZTableGenerator_q <= "00101001010000101110101101000010110";
WHEN "0100010" => memoryC3_uid228_sinPiZTableGenerator_q <= "00101010011101011101100111100100100";
WHEN "0100011" => memoryC3_uid228_sinPiZTableGenerator_q <= "00101011101001111001110110000110010";
WHEN "0100100" => memoryC3_uid228_sinPiZTableGenerator_q <= "00101100110110000010111000000110011";
WHEN "0100101" => memoryC3_uid228_sinPiZTableGenerator_q <= "00101110000001111000001100011110100";
WHEN "0100110" => memoryC3_uid228_sinPiZTableGenerator_q <= "00101111001101011001010010000000001";
WHEN "0100111" => memoryC3_uid228_sinPiZTableGenerator_q <= "00110000011000100101100111100001110";
WHEN "0101000" => memoryC3_uid228_sinPiZTableGenerator_q <= "00110001100011011100101011010100101";
WHEN "0101001" => memoryC3_uid228_sinPiZTableGenerator_q <= "00110010101101111101111110000010101";
WHEN "0101010" => memoryC3_uid228_sinPiZTableGenerator_q <= "00110011111000001000111101011101100";
WHEN "0101011" => memoryC3_uid228_sinPiZTableGenerator_q <= "00110101000001111101001011001100010";
WHEN "0101100" => memoryC3_uid228_sinPiZTableGenerator_q <= "00110110001011011010000101101010010";
WHEN "0101101" => memoryC3_uid228_sinPiZTableGenerator_q <= "00110111010100011111001100111101100";
WHEN "0101110" => memoryC3_uid228_sinPiZTableGenerator_q <= "00111000011101001100000010000100010";
WHEN "0101111" => memoryC3_uid228_sinPiZTableGenerator_q <= "00111001100101100000000100001010000";
WHEN "0110000" => memoryC3_uid228_sinPiZTableGenerator_q <= "00111010101101011010110100011101100";
WHEN "0110001" => memoryC3_uid228_sinPiZTableGenerator_q <= "00111011110100111011110011000011110";
WHEN "0110010" => memoryC3_uid228_sinPiZTableGenerator_q <= "00111100111100000010100001101010000";
WHEN "0110011" => memoryC3_uid228_sinPiZTableGenerator_q <= "00111110000010101110100000001010000";
WHEN "0110100" => memoryC3_uid228_sinPiZTableGenerator_q <= "00111111001000111111010001101010001";
WHEN "0110101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000000001110110100010110001111010";
WHEN "0110110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000001010100001101001110111110101";
WHEN "0110111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000010011001001001011111110000001";
WHEN "0111000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000011011101101000101000010101111";
WHEN "0111001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000100100001101010001101000100100";
WHEN "0111010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000101100101001101110000011010101";
WHEN "0111011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000110101000010010110100001011010";
WHEN "0111100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01000111101010111000111010101011110";
WHEN "0111101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001000101100111111101000110111000";
WHEN "0111110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001001101110100110100001111001100";
WHEN "0111111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001010101111101101001000001001010";
WHEN "1000000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001011110000010011000001001000011";
WHEN "1000001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001100110000010111101111101010011";
WHEN "1000010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001101101111111010111010011001111";
WHEN "1000011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001110101110111100000011010000110";
WHEN "1000100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01001111101101011010110000011010010";
WHEN "1000101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010000101011010110100111100000101";
WHEN "1000110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010001101000101111001101110100011";
WHEN "1000111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010010100101100100001000101010010";
WHEN "1001000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010011100001110100111101001010100";
WHEN "1001001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010100011101100001010100001011100";
WHEN "1001010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010101011000101000110001011100100";
WHEN "1001011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010110010011001010111110000100100";
WHEN "1001100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01010111001101000111011111001000111";
WHEN "1001101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011000000110011101111101001011111";
WHEN "1001110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011000111111001110000000110011110";
WHEN "1001111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011001110111010111001110111111110";
WHEN "1010000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011010101110111001010010001110110";
WHEN "1010001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011011100101110011110011000111101";
WHEN "1010010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011100011100000110011001001001001";
WHEN "1010011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011101010001110000101111000101100";
WHEN "1010100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011110000110110010011100011000111";
WHEN "1010101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011110111011001011001011101010011";
WHEN "1010110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01011111101110111010100111100011100";
WHEN "1010111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100000100010000000011001111101101";
WHEN "1011000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100001010100011100001101110011100";
WHEN "1011001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100010000110001101101100011100011";
WHEN "1011010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100010110111010100100010100000100";
WHEN "1011011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100011100111110000011100000001010";
WHEN "1011100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100100010111100001000100001101001";
WHEN "1011101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100101000110100110000110001111011";
WHEN "1011110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100101110100111111010000100111001";
WHEN "1011111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100110100010101100001111010001101";
WHEN "1100000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100111001111101100101111101110000";
WHEN "1100001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01100111111100000000011110011100101";
WHEN "1100010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101000100111100111001010011110100";
WHEN "1100011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101001010010100000100001001001101";
WHEN "1100100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101001111100101100010000111001000";
WHEN "1100101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101010100110001010001010100001101";
WHEN "1100110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101011001110111001111010101110101";
WHEN "1100111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101011110110111011010001101111010";
WHEN "1101000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101100011110001110000000111001111";
WHEN "1101001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101101000100110001110111010010001";
WHEN "1101010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101101101010100110100100110101101";
WHEN "1101011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101110001111101011111011001010101";
WHEN "1101100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101110110100000001101100000100000";
WHEN "1101101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101111010111100111100111010111000";
WHEN "1101110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01101111111010011101100010000110110";
WHEN "1101111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110000011100100011001011010100000";
WHEN "1110000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110000111101111000011000011110011";
WHEN "1110001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110001011110011100111011010000000";
WHEN "1110010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110001111110010000100101111100001";
WHEN "1110011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110010011101010011001110010000111";
WHEN "1110100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110010111011100100100111111111001";
WHEN "1110101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110011011001000100101000000101011";
WHEN "1110110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110011110101110011000001011101010";
WHEN "1110111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110100010001101111101010010100010";
WHEN "1111000" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110100101100111010011001000110011";
WHEN "1111001" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110101000111010011000011010000011";
WHEN "1111010" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110101100000111001011110100010010";
WHEN "1111011" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110101111001101101100001110011100";
WHEN "1111100" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110110010001101111000100101110110";
WHEN "1111101" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110110101000111101111110101001010";
WHEN "1111110" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110110111111011010000111000110000";
WHEN "1111111" => memoryC3_uid228_sinPiZTableGenerator_q <= "01110111010101000011010110000100000";
WHEN OTHERS =>
memoryC3_uid228_sinPiZTableGenerator_q <= "11111111111111111111111111111001011";
END CASE;
END IF;
END PROCESS;
--sumAHighB_uid241_sinPiZPolyEval(ADD,240)@14
sumAHighB_uid241_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((35 downto 35 => memoryC3_uid228_sinPiZTableGenerator_q(34)) & memoryC3_uid228_sinPiZTableGenerator_q);
sumAHighB_uid241_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((35 downto 28 => reg_highBBits_uid240_sinPiZPolyEval_0_to_sumAHighB_uid241_sinPiZPolyEval_1_q(27)) & reg_highBBits_uid240_sinPiZPolyEval_0_to_sumAHighB_uid241_sinPiZPolyEval_1_q);
sumAHighB_uid241_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid241_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid241_sinPiZPolyEval_b));
sumAHighB_uid241_sinPiZPolyEval_q <= sumAHighB_uid241_sinPiZPolyEval_o(35 downto 0);
--lowRangeB_uid239_sinPiZPolyEval(BITSELECT,238)@13
lowRangeB_uid239_sinPiZPolyEval_in <= prodXYTruncFR_uid266_pT2_uid238_sinPiZPolyEval_b(0 downto 0);
lowRangeB_uid239_sinPiZPolyEval_b <= lowRangeB_uid239_sinPiZPolyEval_in(0 downto 0);
--ld_lowRangeB_uid239_sinPiZPolyEval_b_to_s2_uid239_uid242_sinPiZPolyEval_a(DELAY,652)@13
ld_lowRangeB_uid239_sinPiZPolyEval_b_to_s2_uid239_uid242_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => lowRangeB_uid239_sinPiZPolyEval_b, xout => ld_lowRangeB_uid239_sinPiZPolyEval_b_to_s2_uid239_uid242_sinPiZPolyEval_a_q, clk => clk, aclr => areset );
--s2_uid239_uid242_sinPiZPolyEval(BITJOIN,241)@14
s2_uid239_uid242_sinPiZPolyEval_q <= sumAHighB_uid241_sinPiZPolyEval_q & ld_lowRangeB_uid239_sinPiZPolyEval_b_to_s2_uid239_uid242_sinPiZPolyEval_a_q;
--yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval(BITSELECT,273)@14
yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_in <= s2_uid239_uid242_sinPiZPolyEval_q;
yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_b <= yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_in(36 downto 19);
--reg_yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_9(REG,375)@14
reg_yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_9_q <= "000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_9_q <= yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor(LOGICAL,1042)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_b <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_q <= not (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_a or ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_b);
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_mem_top(CONSTANT,1038)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_mem_top_q <= "0110";
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp(LOGICAL,1039)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_a <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_mem_top_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_q);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_q <= "1" when ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_a = ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_b else "0";
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmpReg(REG,1040)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmpReg_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmp_q;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_sticky_ena(REG,1043)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_nor_q = "1") THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_sticky_ena_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd(LOGICAL,1044)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_a <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_b <= VCC_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_a and ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_b;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt(COUNTER,1034)
-- every=1, low=0, high=6, step=1, init=1
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i = 5 THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i - 6;
ELSE
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_i,3));
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg(REG,1035)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg_q <= "000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux(MUX,1036)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_s <= VCC_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux: PROCESS (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_s, ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg_q, ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem(DUALMEM,1033)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_reset0 <= areset;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_ia <= zPPolyEval_uid65_fpCosPiTest_b;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_aa <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdreg_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_ab <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_rdmux_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 45,
widthad_a => 3,
numwords_a => 7,
width_b => 45,
widthad_b => 3,
numwords_b => 7,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_iq,
address_a => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_aa,
data_a => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_ia
);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_iq(44 downto 0);
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_outputreg(DELAY,1032)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_outputreg : dspba_delay
GENERIC MAP ( width => 45, depth => 1 )
PORT MAP ( xin => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_replace_mem_q, xout => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_outputreg_q, clk => clk, aclr => areset );
--yT3_uid243_sinPiZPolyEval(BITSELECT,242)@15
yT3_uid243_sinPiZPolyEval_in <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT3_uid243_sinPiZPolyEval_a_outputreg_q;
yT3_uid243_sinPiZPolyEval_b <= yT3_uid243_sinPiZPolyEval_in(44 downto 10);
--xBottomBits_uid273_pT3_uid244_sinPiZPolyEval(BITSELECT,272)@15
xBottomBits_uid273_pT3_uid244_sinPiZPolyEval_in <= yT3_uid243_sinPiZPolyEval_b(7 downto 0);
xBottomBits_uid273_pT3_uid244_sinPiZPolyEval_b <= xBottomBits_uid273_pT3_uid244_sinPiZPolyEval_in(7 downto 0);
--pad_xBottomBits_uid273_uid276_pT3_uid244_sinPiZPolyEval(BITJOIN,275)@15
pad_xBottomBits_uid273_uid276_pT3_uid244_sinPiZPolyEval_q <= xBottomBits_uid273_pT3_uid244_sinPiZPolyEval_b & STD_LOGIC_VECTOR((8 downto 1 => GND_q(0)) & GND_q);
--yBottomBits_uid272_pT3_uid244_sinPiZPolyEval(BITSELECT,271)@14
yBottomBits_uid272_pT3_uid244_sinPiZPolyEval_in <= s2_uid239_uid242_sinPiZPolyEval_q(9 downto 0);
yBottomBits_uid272_pT3_uid244_sinPiZPolyEval_b <= yBottomBits_uid272_pT3_uid244_sinPiZPolyEval_in(9 downto 0);
--spad_yBottomBits_uid272_uid275_pT3_uid244_sinPiZPolyEval(BITJOIN,274)@14
spad_yBottomBits_uid272_uid275_pT3_uid244_sinPiZPolyEval_q <= GND_q & yBottomBits_uid272_pT3_uid244_sinPiZPolyEval_b;
--pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval(BITJOIN,276)@14
pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_q <= spad_yBottomBits_uid272_uid275_pT3_uid244_sinPiZPolyEval_q & STD_LOGIC_VECTOR((6 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_6(REG,376)@14
reg_pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_6_q <= "000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_6_q <= pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_q;
END IF;
END PROCESS;
--xTop18Bits_uid271_pT3_uid244_sinPiZPolyEval(BITSELECT,270)@15
xTop18Bits_uid271_pT3_uid244_sinPiZPolyEval_in <= yT3_uid243_sinPiZPolyEval_b;
xTop18Bits_uid271_pT3_uid244_sinPiZPolyEval_b <= xTop18Bits_uid271_pT3_uid244_sinPiZPolyEval_in(34 downto 17);
--multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma(CHAINMULTADD,344)@15
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_p(0) <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a(0) * multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c(0);
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_p(1) <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a(1) * multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c(1);
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_w(0) <= RESIZE(multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_p(0),38) + RESIZE(multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_p(1),38);
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_x(0) <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_w(0);
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_y(0) <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_x(0);
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a(0) <= SIGNED(RESIZE(UNSIGNED(xTop18Bits_uid271_pT3_uid244_sinPiZPolyEval_b),19));
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_a(1) <= SIGNED(RESIZE(UNSIGNED(pad_xBottomBits_uid273_uid276_pT3_uid244_sinPiZPolyEval_q),19));
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c(0) <= SIGNED(RESIZE(SIGNED(reg_pad_yBottomBits_uid272_uid277_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_6_q),18));
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_c(1) <= SIGNED(RESIZE(SIGNED(reg_yTop18Bits_uid274_pT3_uid244_sinPiZPolyEval_0_to_multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_9_q),18));
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s(0) <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_y(0);
END IF;
END PROCESS;
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s0 <= STD_LOGIC_VECTOR(RESIZE(multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s(0),37));
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_q <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_s0;
END IF;
END PROCESS;
--multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval(BITSELECT,278)@18
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_in <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_cma_q;
multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_b <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_in(36 downto 7);
--highBBits_uid281_pT3_uid244_sinPiZPolyEval(BITSELECT,280)@18
highBBits_uid281_pT3_uid244_sinPiZPolyEval_in <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_b;
highBBits_uid281_pT3_uid244_sinPiZPolyEval_b <= highBBits_uid281_pT3_uid244_sinPiZPolyEval_in(29 downto 1);
--reg_highBBits_uid281_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_1(REG,378)@18
reg_highBBits_uid281_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid281_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_1_q <= "00000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid281_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_1_q <= highBBits_uid281_pT3_uid244_sinPiZPolyEval_b;
END IF;
END PROCESS;
--yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval(BITSELECT,268)@14
yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_in <= s2_uid239_uid242_sinPiZPolyEval_q;
yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_b <= yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_in(36 downto 10);
--reg_yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_0_to_topProd_uid270_pT3_uid244_sinPiZPolyEval_1(REG,377)@14
reg_yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_0_to_topProd_uid270_pT3_uid244_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_0_to_topProd_uid270_pT3_uid244_sinPiZPolyEval_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_0_to_topProd_uid270_pT3_uid244_sinPiZPolyEval_1_q <= yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_b;
END IF;
END PROCESS;
--xTop27Bits_uid268_pT3_uid244_sinPiZPolyEval(BITSELECT,267)@15
xTop27Bits_uid268_pT3_uid244_sinPiZPolyEval_in <= yT3_uid243_sinPiZPolyEval_b;
xTop27Bits_uid268_pT3_uid244_sinPiZPolyEval_b <= xTop27Bits_uid268_pT3_uid244_sinPiZPolyEval_in(34 downto 8);
--topProd_uid270_pT3_uid244_sinPiZPolyEval(MULT,269)@15
topProd_uid270_pT3_uid244_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid270_pT3_uid244_sinPiZPolyEval_a),28)) * SIGNED(topProd_uid270_pT3_uid244_sinPiZPolyEval_b);
topProd_uid270_pT3_uid244_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid270_pT3_uid244_sinPiZPolyEval_a <= (others => '0');
topProd_uid270_pT3_uid244_sinPiZPolyEval_b <= (others => '0');
topProd_uid270_pT3_uid244_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid270_pT3_uid244_sinPiZPolyEval_a <= xTop27Bits_uid268_pT3_uid244_sinPiZPolyEval_b;
topProd_uid270_pT3_uid244_sinPiZPolyEval_b <= reg_yTop27Bits_uid269_pT3_uid244_sinPiZPolyEval_0_to_topProd_uid270_pT3_uid244_sinPiZPolyEval_1_q;
topProd_uid270_pT3_uid244_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid270_pT3_uid244_sinPiZPolyEval_pr,54));
END IF;
END PROCESS;
topProd_uid270_pT3_uid244_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid270_pT3_uid244_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid270_pT3_uid244_sinPiZPolyEval_q <= topProd_uid270_pT3_uid244_sinPiZPolyEval_s1;
END IF;
END PROCESS;
--reg_topProd_uid270_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_0(REG,379)@18
reg_topProd_uid270_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_topProd_uid270_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_topProd_uid270_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_0_q <= topProd_uid270_pT3_uid244_sinPiZPolyEval_q;
END IF;
END PROCESS;
--sumAHighB_uid282_pT3_uid244_sinPiZPolyEval(ADD,281)@19
sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => reg_topProd_uid270_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_0_q(53)) & reg_topProd_uid270_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_0_q);
sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => reg_highBBits_uid281_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_1_q(28)) & reg_highBBits_uid281_pT3_uid244_sinPiZPolyEval_0_to_sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_1_q);
sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_b));
sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_q <= sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_o(54 downto 0);
--lowRangeB_uid280_pT3_uid244_sinPiZPolyEval(BITSELECT,279)@18
lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_in <= multSumOfTwo18_uid275_pT3_uid244_sinPiZPolyEval_b(0 downto 0);
lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b <= lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_in(0 downto 0);
--ld_lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b_to_add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_a(DELAY,690)@18
ld_lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b_to_add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b, xout => ld_lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b_to_add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_a_q, clk => clk, aclr => areset );
--add0_uid280_uid283_pT3_uid244_sinPiZPolyEval(BITJOIN,282)@19
add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_q <= sumAHighB_uid282_pT3_uid244_sinPiZPolyEval_q & ld_lowRangeB_uid280_pT3_uid244_sinPiZPolyEval_b_to_add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_a_q;
--R_uid284_pT3_uid244_sinPiZPolyEval(BITSELECT,283)@19
R_uid284_pT3_uid244_sinPiZPolyEval_in <= add0_uid280_uid283_pT3_uid244_sinPiZPolyEval_q(54 downto 0);
R_uid284_pT3_uid244_sinPiZPolyEval_b <= R_uid284_pT3_uid244_sinPiZPolyEval_in(54 downto 18);
--reg_R_uid284_pT3_uid244_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_1(REG,380)@19
reg_R_uid284_pT3_uid244_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid284_pT3_uid244_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_1_q <= "0000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_R_uid284_pT3_uid244_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_1_q <= R_uid284_pT3_uid244_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor(LOGICAL,1016)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_b <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_q <= not (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_a or ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_b);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_mem_top(CONSTANT,1012)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_mem_top_q <= "01011";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp(LOGICAL,1013)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_mem_top_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_q);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_q <= "1" when ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_a = ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_b else "0";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmpReg(REG,1014)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmpReg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_sticky_ena(REG,1017)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_nor_q = "1") THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_sticky_ena_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd(LOGICAL,1018)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_b <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_a and ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_b;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt(COUNTER,1008)
-- every=1, low=0, high=11, step=1, init=1
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i = 10 THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i - 11;
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_i,4));
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg(REG,1009)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg_q <= "0000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux(MUX,1010)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux: PROCESS (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_s, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg_q, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem(DUALMEM,1007)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_reset0 <= areset;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_ia <= zAddr_uid64_fpCosPiTest_b;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_aa <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdreg_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_ab <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_rdmux_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 4,
numwords_a => 12,
width_b => 7,
widthad_b => 4,
numwords_b => 12,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_iq,
address_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_aa,
data_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_ia
);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_outputreg(DELAY,1006)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_replace_mem_q, xout => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC2_uid227_sinPiZTableGenerator(LOOKUP,226)@19
memoryC2_uid227_sinPiZTableGenerator: PROCESS (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_outputreg_q)
BEGIN
-- Begin reserved scope level
CASE (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC2_uid227_sinPiZTableGenerator_a_outputreg_q) IS
WHEN "0000000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101010001000011000110011101100111000";
WHEN "0000001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101010010000000010011011110101101000";
WHEN "0000010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101010100110111111010000111001000101";
WHEN "0000011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101011001101001111001000101101101011";
WHEN "0000100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101100000010110001110010011100100110";
WHEN "0000101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101101000111100110110110100100001100";
WHEN "0000110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101110011011101101110110101011000001";
WHEN "0000111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101111111111000110001101110000001000";
WHEN "0001000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110110001110001101111010000000001001001";
WHEN "0001001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110110011110011101000001010111100010011";
WHEN "0001010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110110110000100110000000101010101010010";
WHEN "0001011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110111000100101000101111111001111001110";
WHEN "0001100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110111011010100101000110010000000110000";
WHEN "0001101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010110111110010011010111010000010100101101";
WHEN "0001110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111000001100001010000000110001101010110";
WHEN "0001111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111000100111110010001111000111001110001";
WHEN "0010000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111001000101010011011000111000110111111";
WHEN "0010001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111001100100101101010001000110100001110";
WHEN "0010010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111010000101111111101001111011000110110";
WHEN "0010011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111010101001001010010100101011111010000";
WHEN "0010100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111011001110001101000001111010001111100";
WHEN "0010101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111011110101000111100001010010001101000";
WHEN "0010110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111100011101111001100001101011001010011";
WHEN "0010111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111101001000100010110001000111111011001";
WHEN "0011000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111101110101000010111100110110111011101";
WHEN "0011001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111110100011011001110001010010101001001";
WHEN "0011010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1010111111010011100110111010000000101010000";
WHEN "0011011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000000000101101010000001110011011110111";
WHEN "0011100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000000111001100010110010101001001001010";
WHEN "0011101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000001101111010000110101101100011101100";
WHEN "0011110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000010100110110011110011010100101011100";
WHEN "0011111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000011100000001011010011000101010010101";
WHEN "0100000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000100011011010110111011101111001100010";
WHEN "0100001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000101011000010110010011010000011100100";
WHEN "0100010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000110010111001000111110110011110000010";
WHEN "0100011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011000111010111101110100010110010000011111";
WHEN "0100100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011001000011010000110100010110001010111111";
WHEN "0100101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011001001011110010000100001100101110011011";
WHEN "0100110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011001010100100001100000001010001100011000";
WHEN "0100111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011001011101011111000100011000101001100001";
WHEN "0101000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011001100110101010101100111100000000011011";
WHEN "0101001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011001110000000100010101110001111101110010";
WHEN "0101010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011001111001101011111010110010001100011010";
WHEN "0101011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011010000011100001010111101110001010011110";
WHEN "0101100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011010001101100100101000010001011000100111";
WHEN "0101101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011010010111110101101000000001010011000010";
WHEN "0101110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011010100010010100010010011101010101101010";
WHEN "0101111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011010101101000000100010111111000010000011";
WHEN "0110000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011010110111111010010100111001111011100110";
WHEN "0110001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011011000011000001100011011011101110111001";
WHEN "0110010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011011001110010110001001101100001111110101";
WHEN "0110011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011011011001111000000010101101100000111100";
WHEN "0110100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011011100101100111001001011011101110011000";
WHEN "0110101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011011110001100011011000101101011001110101";
WHEN "0110110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011011111101101100101011010011010110110001";
WHEN "0110111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011100001010000010111011111000101001101100";
WHEN "0111000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011100010110100110000101000010110110110100";
WHEN "0111001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011100100011010110000001010001110101000110";
WHEN "0111010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011100110000010010101010111111111100101100";
WHEN "0111011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011100111101011011111100100010000110111111";
WHEN "0111100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011101001010110001110000000111101111100101";
WHEN "0111101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011101011000010011111111111010110011011100";
WHEN "0111110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011101100110000010100101111111111011010100";
WHEN "0111111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011101110011111101011100010110011100010110";
WHEN "1000000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011110000010000100011100111000010100111110";
WHEN "1000001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011110010000010111100001011010011001010101";
WHEN "1000010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011110011110110110100011101100001011001000";
WHEN "1000011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011110101101100001011101011000001011011001";
WHEN "1000100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011110111100011000001000000011101110001111";
WHEN "1000101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011111001011011010011101001111000101110001";
WHEN "1000110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011111011010101000010110010101100101000110";
WHEN "1000111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011111101010000001101100101101100010111111";
WHEN "1001000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1011111111001100110011001101000011100111011";
WHEN "1001001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100000001001010110010110010010110100011100";
WHEN "1001010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100000011001010001011011110100100000011000";
WHEN "1001011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100000101001010111100011010000011111011101";
WHEN "1001100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100000111001101000100101100101001010011111";
WHEN "1001101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100001001010000100011011101100001100000010";
WHEN "1001110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100001011010101010111110011010101000110000";
WHEN "1001111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100001101011011100000110100001001001100100";
WHEN "1010000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100001111100010111101100101011101111001100";
WHEN "1010001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100010001101011101101001100010000010111010";
WHEN "1010010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100010011110101101110101100111011000000101";
WHEN "1010011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100010110000001000001001011010101000000100";
WHEN "1010100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100011000001101100011101010110100010000110";
WHEN "1010101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100011010011011010101001110001100010110011";
WHEN "1010110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100011100101010010100110111101111101101001";
WHEN "1010111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100011110111010100001101001010000001101110";
WHEN "1011000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100100001001011111010100011111111010110111";
WHEN "1011001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100100011011110011110101000101111001011001";
WHEN "1011010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100100101110010001100110111110001101101110";
WHEN "1011011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100101000000111000100010000111010010100101";
WHEN "1011100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100101010011101000011110011011110001111001";
WHEN "1011101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100101100110100001010011110010100110010101";
WHEN "1011110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100101111001100010111001111110111010001011";
WHEN "1011111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100110001100101101001000110000010101000001";
WHEN "1100000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100110011111111111110111110010111000010010";
WHEN "1100001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100110110011011010111110101111001000100111";
WHEN "1100010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100111000110111110010101001010001010011010";
WHEN "1100011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100111011010101001110010100101101110010111";
WHEN "1100100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1100111101110011101001110100000001111101010";
WHEN "1100101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101000000010011000100000010100110110100000";
WHEN "1100110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101000010110011011011111011011100110110011";
WHEN "1100111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101000101010100110000011001001011000000001";
WHEN "1101000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101000111110111000000010101111111100010101";
WHEN "1101001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101001010011010001010101011110001011010100";
WHEN "1101010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101001100111110001110010100000000000010111";
WHEN "1101011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101001111100011001010000111110011101110000";
WHEN "1101100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101010010001000111100111111111110011011101";
WHEN "1101101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101010100101111100101110100111100110010101";
WHEN "1101110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101010111010111000011011110110101001010101";
WHEN "1101111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101011001111111010100110101011010011011011";
WHEN "1110000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101011100101000011000110000001010000000100";
WHEN "1110001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101011111010010001110000110001110011111011";
WHEN "1110010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101100001111100110011101110011111001111111";
WHEN "1110011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101100100101000001000011111100000011011011";
WHEN "1110100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101100111010100001011001111100100100101001";
WHEN "1110101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101101010000000111010110100101100100010111";
WHEN "1110110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101101100101110010110000100101000101101101";
WHEN "1110111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101101111011100011011110100111000011010111";
WHEN "1111000" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101110010001011001010111010101011001010001";
WHEN "1111001" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101110100111010100010001011000001010110100";
WHEN "1111010" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101110111101010100000011010101100101100011";
WHEN "1111011" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101111010011011000100011110010000011111011";
WHEN "1111100" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101111101001100001101001010000010010001011";
WHEN "1111101" => memoryC2_uid227_sinPiZTableGenerator_q <= "1101111111111101111001010010001010101000011";
WHEN "1111110" => memoryC2_uid227_sinPiZTableGenerator_q <= "1110000010110000000111101010100101101001001";
WHEN "1111111" => memoryC2_uid227_sinPiZTableGenerator_q <= "1110000101100010110111000111000011010110101";
WHEN OTHERS =>
memoryC2_uid227_sinPiZTableGenerator_q <= "1010110101010001000011000110011101100111000";
END CASE;
-- End reserved scope level
END PROCESS;
--rndBit_uid245_sinPiZPolyEval(CONSTANT,244)
rndBit_uid245_sinPiZPolyEval_q <= "01";
--cIncludingRoundingBit_uid246_sinPiZPolyEval(BITJOIN,245)@19
cIncludingRoundingBit_uid246_sinPiZPolyEval_q <= memoryC2_uid227_sinPiZTableGenerator_q & rndBit_uid245_sinPiZPolyEval_q;
--reg_cIncludingRoundingBit_uid246_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_0(REG,381)@19
reg_cIncludingRoundingBit_uid246_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid246_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_0_q <= "000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_cIncludingRoundingBit_uid246_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_0_q <= cIncludingRoundingBit_uid246_sinPiZPolyEval_q;
END IF;
END PROCESS;
--ts3_uid247_sinPiZPolyEval(ADD,246)@20
ts3_uid247_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((45 downto 45 => reg_cIncludingRoundingBit_uid246_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_0_q(44)) & reg_cIncludingRoundingBit_uid246_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_0_q);
ts3_uid247_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((45 downto 37 => reg_R_uid284_pT3_uid244_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_1_q(36)) & reg_R_uid284_pT3_uid244_sinPiZPolyEval_0_to_ts3_uid247_sinPiZPolyEval_1_q);
ts3_uid247_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts3_uid247_sinPiZPolyEval_a) + SIGNED(ts3_uid247_sinPiZPolyEval_b));
ts3_uid247_sinPiZPolyEval_q <= ts3_uid247_sinPiZPolyEval_o(45 downto 0);
--s3_uid248_sinPiZPolyEval(BITSELECT,247)@20
s3_uid248_sinPiZPolyEval_in <= ts3_uid247_sinPiZPolyEval_q;
s3_uid248_sinPiZPolyEval_b <= s3_uid248_sinPiZPolyEval_in(45 downto 1);
--yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval(BITSELECT,285)@20
yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_in <= s3_uid248_sinPiZPolyEval_b;
yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_b <= yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_in(44 downto 18);
--reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_9(REG,382)@20
reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_9_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_9_q <= yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor(LOGICAL,1055)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_b <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_q <= not (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_a or ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_b);
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_mem_top(CONSTANT,1051)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_mem_top_q <= "01100";
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp(LOGICAL,1052)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_a <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_mem_top_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_q);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_q <= "1" when ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_a = ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_b else "0";
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmpReg(REG,1053)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmpReg_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmp_q;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_sticky_ena(REG,1056)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_nor_q = "1") THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_sticky_ena_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd(LOGICAL,1057)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_a <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_b <= VCC_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_a and ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_b;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt(COUNTER,1047)
-- every=1, low=0, high=12, step=1, init=1
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i = 11 THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i - 12;
ELSE
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_i,4));
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg(REG,1048)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg_q <= "0000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux(MUX,1049)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_s <= VCC_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux: PROCESS (ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_s, ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg_q, ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem(DUALMEM,1046)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_reset0 <= areset;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_ia <= zPPolyEval_uid65_fpCosPiTest_b;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_aa <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdreg_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_ab <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_rdmux_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 45,
widthad_a => 4,
numwords_a => 13,
width_b => 45,
widthad_b => 4,
numwords_b => 13,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_iq,
address_a => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_aa,
data_a => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_ia
);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_iq(44 downto 0);
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_outputreg(DELAY,1045)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_outputreg : dspba_delay
GENERIC MAP ( width => 45, depth => 1 )
PORT MAP ( xin => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_replace_mem_q, xout => ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_outputreg_q, clk => clk, aclr => areset );
--yT4_uid249_sinPiZPolyEval(BITSELECT,248)@21
yT4_uid249_sinPiZPolyEval_in <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_yT4_uid249_sinPiZPolyEval_a_outputreg_q;
yT4_uid249_sinPiZPolyEval_b <= yT4_uid249_sinPiZPolyEval_in(44 downto 2);
--xBottomBits_uid289_pT4_uid250_sinPiZPolyEval(BITSELECT,288)@21
xBottomBits_uid289_pT4_uid250_sinPiZPolyEval_in <= yT4_uid249_sinPiZPolyEval_b(15 downto 0);
xBottomBits_uid289_pT4_uid250_sinPiZPolyEval_b <= xBottomBits_uid289_pT4_uid250_sinPiZPolyEval_in(15 downto 0);
--pad_xBottomBits_uid289_uid291_pT4_uid250_sinPiZPolyEval(BITJOIN,290)@21
pad_xBottomBits_uid289_uid291_pT4_uid250_sinPiZPolyEval_q <= xBottomBits_uid289_pT4_uid250_sinPiZPolyEval_b & STD_LOGIC_VECTOR((9 downto 1 => GND_q(0)) & GND_q);
--yBottomBits_uid288_pT4_uid250_sinPiZPolyEval(BITSELECT,287)@20
yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_in <= s3_uid248_sinPiZPolyEval_b(17 downto 0);
yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b <= yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_in(17 downto 0);
--ld_yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b_to_spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_a(DELAY,699)@20
ld_yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b_to_spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 18, depth => 1 )
PORT MAP ( xin => yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b, xout => ld_yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b_to_spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_a_q, clk => clk, aclr => areset );
--spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval(BITJOIN,289)@21
spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_q <= GND_q & ld_yBottomBits_uid288_pT4_uid250_sinPiZPolyEval_b_to_spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_a_q;
--pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval(BITJOIN,291)@21
pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_q <= spad_yBottomBits_uid288_uid290_pT4_uid250_sinPiZPolyEval_q & STD_LOGIC_VECTOR((7 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_6(REG,383)@21
reg_pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_6_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_6_q <= pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_q;
END IF;
END PROCESS;
--ld_yT4_uid249_sinPiZPolyEval_b_to_xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_a(DELAY,693)@21
ld_yT4_uid249_sinPiZPolyEval_b_to_xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 43, depth => 1 )
PORT MAP ( xin => yT4_uid249_sinPiZPolyEval_b, xout => ld_yT4_uid249_sinPiZPolyEval_b_to_xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_a_q, clk => clk, aclr => areset );
--xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval(BITSELECT,284)@22
xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_in <= ld_yT4_uid249_sinPiZPolyEval_b_to_xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_a_q;
xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_b <= xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_in(42 downto 16);
--multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma(CHAINMULTADD,345)@22
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_p(0) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a(0) * multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c(0);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_p(1) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a(1) * multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c(1);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_w(0) <= RESIZE(multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_p(0),56);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_w(1) <= RESIZE(multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_p(1),56);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_x(0) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_w(0);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_x(1) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_w(1);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_y(0) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s(1) + multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_x(0);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_y(1) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_x(1);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a(0) <= SIGNED(RESIZE(UNSIGNED(xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_b),28));
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_a(1) <= SIGNED(RESIZE(UNSIGNED(pad_xBottomBits_uid289_uid291_pT4_uid250_sinPiZPolyEval_q),28));
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c(0) <= SIGNED(RESIZE(SIGNED(reg_pad_yBottomBits_uid288_uid292_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_6_q),27));
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_c(1) <= SIGNED(RESIZE(SIGNED(reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_9_q),27));
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s(0) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_y(0);
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s(1) <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_y(1);
END IF;
END PROCESS;
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s0 <= STD_LOGIC_VECTOR(RESIZE(multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s(0),55));
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_q <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_s0;
END IF;
END PROCESS;
--multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval(BITSELECT,293)@25
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_in <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_cma_q;
multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_b <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_in(54 downto 8);
--highBBits_uid296_pT4_uid250_sinPiZPolyEval(BITSELECT,295)@25
highBBits_uid296_pT4_uid250_sinPiZPolyEval_in <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_b;
highBBits_uid296_pT4_uid250_sinPiZPolyEval_b <= highBBits_uid296_pT4_uid250_sinPiZPolyEval_in(46 downto 18);
--reg_highBBits_uid296_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_1(REG,385)@25
reg_highBBits_uid296_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid296_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_1_q <= "00000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid296_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_1_q <= highBBits_uid296_pT4_uid250_sinPiZPolyEval_b;
END IF;
END PROCESS;
--reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1(REG,384)@20
reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q <= yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_b(DELAY,696)@21
ld_reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_b : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q, xout => ld_reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_b_q, clk => clk, aclr => areset );
--topProd_uid287_pT4_uid250_sinPiZPolyEval(MULT,286)@22
topProd_uid287_pT4_uid250_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid287_pT4_uid250_sinPiZPolyEval_a),28)) * SIGNED(topProd_uid287_pT4_uid250_sinPiZPolyEval_b);
topProd_uid287_pT4_uid250_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid287_pT4_uid250_sinPiZPolyEval_a <= (others => '0');
topProd_uid287_pT4_uid250_sinPiZPolyEval_b <= (others => '0');
topProd_uid287_pT4_uid250_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid287_pT4_uid250_sinPiZPolyEval_a <= xTop27Bits_uid285_pT4_uid250_sinPiZPolyEval_b;
topProd_uid287_pT4_uid250_sinPiZPolyEval_b <= ld_reg_yTop27Bits_uid286_pT4_uid250_sinPiZPolyEval_0_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_1_q_to_topProd_uid287_pT4_uid250_sinPiZPolyEval_b_q;
topProd_uid287_pT4_uid250_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid287_pT4_uid250_sinPiZPolyEval_pr,54));
END IF;
END PROCESS;
topProd_uid287_pT4_uid250_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid287_pT4_uid250_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid287_pT4_uid250_sinPiZPolyEval_q <= topProd_uid287_pT4_uid250_sinPiZPolyEval_s1;
END IF;
END PROCESS;
--reg_topProd_uid287_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_0(REG,386)@25
reg_topProd_uid287_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_topProd_uid287_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_topProd_uid287_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_0_q <= topProd_uid287_pT4_uid250_sinPiZPolyEval_q;
END IF;
END PROCESS;
--sumAHighB_uid297_pT4_uid250_sinPiZPolyEval(ADD,296)@26
sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => reg_topProd_uid287_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_0_q(53)) & reg_topProd_uid287_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_0_q);
sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => reg_highBBits_uid296_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_1_q(28)) & reg_highBBits_uid296_pT4_uid250_sinPiZPolyEval_0_to_sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_1_q);
sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_b));
sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_q <= sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_o(54 downto 0);
--lowRangeB_uid295_pT4_uid250_sinPiZPolyEval(BITSELECT,294)@25
lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_in <= multSumOfTwo27_uid290_pT4_uid250_sinPiZPolyEval_b(17 downto 0);
lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b <= lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_in(17 downto 0);
--ld_lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b_to_add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_a(DELAY,707)@25
ld_lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b_to_add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 18, depth => 1 )
PORT MAP ( xin => lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b, xout => ld_lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b_to_add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_a_q, clk => clk, aclr => areset );
--add0_uid295_uid298_pT4_uid250_sinPiZPolyEval(BITJOIN,297)@26
add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_q <= sumAHighB_uid297_pT4_uid250_sinPiZPolyEval_q & ld_lowRangeB_uid295_pT4_uid250_sinPiZPolyEval_b_to_add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_a_q;
--R_uid299_pT4_uid250_sinPiZPolyEval(BITSELECT,298)@26
R_uid299_pT4_uid250_sinPiZPolyEval_in <= add0_uid295_uid298_pT4_uid250_sinPiZPolyEval_q(71 downto 0);
R_uid299_pT4_uid250_sinPiZPolyEval_b <= R_uid299_pT4_uid250_sinPiZPolyEval_in(71 downto 26);
--reg_R_uid299_pT4_uid250_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_1(REG,387)@26
reg_R_uid299_pT4_uid250_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid299_pT4_uid250_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_1_q <= "0000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_R_uid299_pT4_uid250_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_1_q <= R_uid299_pT4_uid250_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor(LOGICAL,1003)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_b <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_q <= not (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_a or ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_b);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_mem_top(CONSTANT,999)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_mem_top_q <= "010010";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp(LOGICAL,1000)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_mem_top_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_q);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_q <= "1" when ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_a = ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_b else "0";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmpReg(REG,1001)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmpReg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_sticky_ena(REG,1004)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_nor_q = "1") THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_sticky_ena_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd(LOGICAL,1005)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_b <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_a and ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_b;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt(COUNTER,995)
-- every=1, low=0, high=18, step=1, init=1
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i = 17 THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i - 18;
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_i,5));
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg(REG,996)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux(MUX,997)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux: PROCESS (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_s, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg_q, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem(DUALMEM,994)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_reset0 <= areset;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_ia <= zAddr_uid64_fpCosPiTest_b;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_aa <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdreg_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_ab <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_rdmux_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 5,
numwords_a => 19,
width_b => 7,
widthad_b => 5,
numwords_b => 19,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_iq,
address_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_aa,
data_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_ia
);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_outputreg(DELAY,993)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_replace_mem_q, xout => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC1_uid226_sinPiZTableGenerator(LOOKUP,225)@26
memoryC1_uid226_sinPiZTableGenerator: PROCESS (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_outputreg_q)
BEGIN
-- Begin reserved scope level
CASE (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC1_uid226_sinPiZTableGenerator_a_outputreg_q) IS
WHEN "0000000" => memoryC1_uid226_sinPiZTableGenerator_q <= "00000000000000000000000000000000000000000000000110";
WHEN "0000001" => memoryC1_uid226_sinPiZTableGenerator_q <= "11111110101101010100010101111000000010001111100011";
WHEN "0000010" => memoryC1_uid226_sinPiZTableGenerator_q <= "11111101011010101001001010010110100010110001011110";
WHEN "0000011" => memoryC1_uid226_sinPiZTableGenerator_q <= "11111100000111111110111100000001110010011010000110";
WHEN "0000100" => memoryC1_uid226_sinPiZTableGenerator_q <= "11111010110101010110001001011111100110111111100011";
WHEN "0000101" => memoryC1_uid226_sinPiZTableGenerator_q <= "11111001100010101111010001010101001101111010110100";
WHEN "0000110" => memoryC1_uid226_sinPiZTableGenerator_q <= "11111000010000001010110010000110111110101011111000";
WHEN "0000111" => memoryC1_uid226_sinPiZTableGenerator_q <= "11110110111101101001001010011000001101011000111010";
WHEN "0001000" => memoryC1_uid226_sinPiZTableGenerator_q <= "11110101101011001010111000101010111101010010100111";
WHEN "0001001" => memoryC1_uid226_sinPiZTableGenerator_q <= "11110100011000110000011011011111110011010111001110";
WHEN "0001010" => memoryC1_uid226_sinPiZTableGenerator_q <= "11110011000110011010010001010101101000110101101101";
WHEN "0001011" => memoryC1_uid226_sinPiZTableGenerator_q <= "11110001110100001000111000101001011101110011011101";
WHEN "0001100" => memoryC1_uid226_sinPiZTableGenerator_q <= "11110000100001111100101111110110001011110001011001";
WHEN "0001101" => memoryC1_uid226_sinPiZTableGenerator_q <= "11101111001111110110010101010100011000010010100110";
WHEN "0001110" => memoryC1_uid226_sinPiZTableGenerator_q <= "11101101111101110110000111011010000111100011100100";
WHEN "0001111" => memoryC1_uid226_sinPiZTableGenerator_q <= "11101100101011111100100100011010101111000101000111";
WHEN "0010000" => memoryC1_uid226_sinPiZTableGenerator_q <= "11101011011010001010001010100110101000010011111000";
WHEN "0010001" => memoryC1_uid226_sinPiZTableGenerator_q <= "11101010001000011111011000001011000011010110000100";
WHEN "0010010" => memoryC1_uid226_sinPiZTableGenerator_q <= "11101000110110111100101011010001111001100111110010";
WHEN "0010011" => memoryC1_uid226_sinPiZTableGenerator_q <= "11100111100101100010100010000001100000101010111010";
WHEN "0010100" => memoryC1_uid226_sinPiZTableGenerator_q <= "11100110010100010001011010011100011100110101011100";
WHEN "0010101" => memoryC1_uid226_sinPiZTableGenerator_q <= "11100101000011001001110010100001010100000101101100";
WHEN "0010110" => memoryC1_uid226_sinPiZTableGenerator_q <= "11100011110010001100001000001010100000110110000000";
WHEN "0010111" => memoryC1_uid226_sinPiZTableGenerator_q <= "11100010100001011000111001001110000100110010111000";
WHEN "0011000" => memoryC1_uid226_sinPiZTableGenerator_q <= "11100001010000110000100011011101011011110011010110";
WHEN "0011001" => memoryC1_uid226_sinPiZTableGenerator_q <= "11100000000000010011100100100101001110110011000010";
WHEN "0011010" => memoryC1_uid226_sinPiZTableGenerator_q <= "11011110110000000010011010001101000110110000011100";
WHEN "0011011" => memoryC1_uid226_sinPiZTableGenerator_q <= "11011101011111111101100001110111011111101010000000";
WHEN "0011100" => memoryC1_uid226_sinPiZTableGenerator_q <= "11011100010000000101011001000001011011100001111010";
WHEN "0011101" => memoryC1_uid226_sinPiZTableGenerator_q <= "11011011000000011010011101000010010101100000110110";
WHEN "0011110" => memoryC1_uid226_sinPiZTableGenerator_q <= "11011001110000111101001011001011110100111101110010";
WHEN "0011111" => memoryC1_uid226_sinPiZTableGenerator_q <= "11011000100001101110000000101001100000101000010010";
WHEN "0100000" => memoryC1_uid226_sinPiZTableGenerator_q <= "11010111010010101101011010100000110001110011110001";
WHEN "0100001" => memoryC1_uid226_sinPiZTableGenerator_q <= "11010110000011111011110101110000100111100111110101";
WHEN "0100010" => memoryC1_uid226_sinPiZTableGenerator_q <= "11010100110101011001101111010001011010010011110011";
WHEN "0100011" => memoryC1_uid226_sinPiZTableGenerator_q <= "11010011100111000111100011110100101110100010111000";
WHEN "0100100" => memoryC1_uid226_sinPiZTableGenerator_q <= "11010010011001000101110000000101001000110111000111";
WHEN "0100101" => memoryC1_uid226_sinPiZTableGenerator_q <= "11010001001011010100110000100110000001000100101001";
WHEN "0100110" => memoryC1_uid226_sinPiZTableGenerator_q <= "11001111111101110101000001110011010101110010001110";
WHEN "0100111" => memoryC1_uid226_sinPiZTableGenerator_q <= "11001110110000100111000000000001011111111100011001";
WHEN "0101000" => memoryC1_uid226_sinPiZTableGenerator_q <= "11001101100011101011000111011101000110011100100000";
WHEN "0101001" => memoryC1_uid226_sinPiZTableGenerator_q <= "11001100010111000001110100001010110001110100101001";
WHEN "0101010" => memoryC1_uid226_sinPiZTableGenerator_q <= "11001011001010101011100010000110111111111100011001";
WHEN "0101011" => memoryC1_uid226_sinPiZTableGenerator_q <= "11001001111110101000101101000101110111110101110110";
WHEN "0101100" => memoryC1_uid226_sinPiZTableGenerator_q <= "11001000110010111001110000110010111101100001101110";
WHEN "0101101" => memoryC1_uid226_sinPiZTableGenerator_q <= "11000111100111011111001000110001000101111011110000";
WHEN "0101110" => memoryC1_uid226_sinPiZTableGenerator_q <= "11000110011100011001010000011010001010111001000100";
WHEN "0101111" => memoryC1_uid226_sinPiZTableGenerator_q <= "11000101010001101000100010111110111111001010110011";
WHEN "0110000" => memoryC1_uid226_sinPiZTableGenerator_q <= "11000100000111001101011011100111000010100110100100";
WHEN "0110001" => memoryC1_uid226_sinPiZTableGenerator_q <= "11000010111101001000010101010000010110010001100101";
WHEN "0110010" => memoryC1_uid226_sinPiZTableGenerator_q <= "11000001110011011001101010101111010000110001110001";
WHEN "0110011" => memoryC1_uid226_sinPiZTableGenerator_q <= "11000000101010000001110110101110010010100010001010";
WHEN "0110100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10111111100001000001010011101101111010001101110100";
WHEN "0110101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10111110011000011000011100000100011001001100111111";
WHEN "0110110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10111101010000000111101001111101101000001001100111";
WHEN "0110111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10111100001000001111010111011010111011101000011000";
WHEN "0111000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10111011000000101111111110010010111000110100000100";
WHEN "0111001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10111001111001101001111000010001001010010011000111";
WHEN "0111010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10111000110010111101011110110110010100111101001111";
WHEN "0111011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110111101100101011001011010111101100111001001111";
WHEN "0111100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110110100110110011010110111111001010011111110011";
WHEN "0111101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110101100001010110011010101010111111100100001011";
WHEN "0111110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110100011100010100101111001101101100100000011010";
WHEN "0111111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110011010111101110101101001101110101101001000101";
WHEN "1000000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110010010011100100101101000101111000100111000101";
WHEN "1000001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110001001111110111000111000100000001110100110010";
WHEN "1000010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10110000001100100110010011001010000010000100100001";
WHEN "1000011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101111001001110010101001001101000100001000011111";
WHEN "1000100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101110000111011100100000110101100010100101111011";
WHEN "1000101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101101000101100100010001011110111101101010011001";
WHEN "1000110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101100000100001010010010010111110001001000100100";
WHEN "1000111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101011000011001110111010100001001010011011010001";
WHEN "1001000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101010000010110010100000101110111110101110111011";
WHEN "1001001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101001000010110101011011100111100001010010001010";
WHEN "1001010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10101000000011011000000001100011011001101001101101";
WHEN "1001011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100111000100011010101000101101011010001111110100";
WHEN "1001100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100110000101111101100111000010010110110101001010";
WHEN "1001101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100101001000000001010010010000111011001100101001";
WHEN "1001110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100100001010100101111111111001100001111011011000";
WHEN "1001111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100011001101101100000101001110001011001110111100";
WHEN "1010000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100010010001010011110111010010010011111110100100";
WHEN "1010001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100001010101011101101010111010101100101110000010";
WHEN "1010010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10100000011010001001110100101101010000111010011101";
WHEN "1010011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011111011111011000101001000000111110001110111111";
WHEN "1010100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011110100101001010011011111101101011111101000010";
WHEN "1010101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011101101011011111100001011100000010100000001101";
WHEN "1010110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011100110010011000001101000101010011000110001101";
WHEN "1010111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011011111001110100110010010011001111011110111011";
WHEN "1011000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011011000001110101100100010000000001110100011101";
WHEN "1011001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011010001010011010110101110110000100101001100010";
WHEN "1011010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011001010011100100111001101111111011000001100001";
WHEN "1011011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10011000011101010100000010011000001000101101011001";
WHEN "1011100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010111100111101000100001111001001010100001001100";
WHEN "1011101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010110110010100010101010001101001110110001111011";
WHEN "1011110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010101111110000010101100111110001101111011011100";
WHEN "1011111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010101001010001000111011100101100011001011100101";
WHEN "1100000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010100010110110101100111001100000101011000010000";
WHEN "1100001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010011100100001001000000101001111111111010110011";
WHEN "1100010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010010110010000011011000100110101011110110000011";
WHEN "1100011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010010000000100100111111011000101001000001000001";
WHEN "1100100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010001001111101110000101000101010111011100100101";
WHEN "1100101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10010000011111011110111001100001010000110000001000";
WHEN "1100110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001111101111110111101100001111100001101100011111";
WHEN "1100111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001111000000111000101100100010000011111010101010";
WHEN "1101000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001110010010100010001001011001010111110000100010";
WHEN "1101001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001101100100110100010001100100011110001100110110";
WHEN "1101010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001100110111101111010011100000110010111101110011";
WHEN "1101011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001100001011010011011101011010000110101111000110";
WHEN "1101100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001011011111100000111101001010011001011111101101";
WHEN "1101101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001010110100011000000000011001110101000000001010";
WHEN "1101110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001010001001111000110100011110100111011011010110";
WHEN "1101111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001001100000000011100110011100111110000011010110";
WHEN "1110000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001000110110111000100011000111000000001100101100";
WHEN "1110001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10001000001110010111110110111100101010001100011100";
WHEN "1110010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000111100110100001101110001011101000100010101000";
WHEN "1110011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000110111111010110010100101111010011001100111001";
WHEN "1110100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000110011000110101110110010000101000111111101001";
WHEN "1110101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000101110011000000011110000110001011001010001101";
WHEN "1110110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000101001101110110010111010011111001000001110011";
WHEN "1110111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000100101001010111101100101011001011110111111001";
WHEN "1111000" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000100000101100100101000101010110010110110110011";
WHEN "1111001" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000011100010011101010101011110101111000111101111";
WHEN "1111010" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000011000000000001111101000000010000000010001101";
WHEN "1111011" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000010011110010010101000110101101111100100010110";
WHEN "1111100" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000001111101001111100010010010101110110011110010";
WHEN "1111101" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000001011100111000110010010111110010100110111110";
WHEN "1111110" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000000111101001110100001110010100000011000001001";
WHEN "1111111" => memoryC1_uid226_sinPiZTableGenerator_q <= "10000000011110010000111000111101011011000001000111";
WHEN OTHERS =>
memoryC1_uid226_sinPiZTableGenerator_q <= "00000000000000000000000000000000000000000000000110";
END CASE;
-- End reserved scope level
END PROCESS;
--cIncludingRoundingBit_uid252_sinPiZPolyEval(BITJOIN,251)@26
cIncludingRoundingBit_uid252_sinPiZPolyEval_q <= memoryC1_uid226_sinPiZTableGenerator_q & rndBit_uid245_sinPiZPolyEval_q;
--reg_cIncludingRoundingBit_uid252_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_0(REG,388)@26
reg_cIncludingRoundingBit_uid252_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid252_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_0_q <= "0000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_cIncludingRoundingBit_uid252_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_0_q <= cIncludingRoundingBit_uid252_sinPiZPolyEval_q;
END IF;
END PROCESS;
--ts4_uid253_sinPiZPolyEval(ADD,252)@27
ts4_uid253_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((52 downto 52 => reg_cIncludingRoundingBit_uid252_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_0_q(51)) & reg_cIncludingRoundingBit_uid252_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_0_q);
ts4_uid253_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((52 downto 46 => reg_R_uid299_pT4_uid250_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_1_q(45)) & reg_R_uid299_pT4_uid250_sinPiZPolyEval_0_to_ts4_uid253_sinPiZPolyEval_1_q);
ts4_uid253_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts4_uid253_sinPiZPolyEval_a) + SIGNED(ts4_uid253_sinPiZPolyEval_b));
ts4_uid253_sinPiZPolyEval_q <= ts4_uid253_sinPiZPolyEval_o(52 downto 0);
--s4_uid254_sinPiZPolyEval(BITSELECT,253)@27
s4_uid254_sinPiZPolyEval_in <= ts4_uid253_sinPiZPolyEval_q;
s4_uid254_sinPiZPolyEval_b <= s4_uid254_sinPiZPolyEval_in(52 downto 1);
--yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval(BITSELECT,300)@27
yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_in <= s4_uid254_sinPiZPolyEval_b;
yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_b <= yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_in(51 downto 25);
--reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_9(REG,389)@27
reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_9_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_9_q <= yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor(LOGICAL,1092)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_b <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_sticky_ena_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_q <= not (ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_a or ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_b);
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_mem_top(CONSTANT,1088)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_mem_top_q <= "010011";
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp(LOGICAL,1089)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_a <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_mem_top_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_q);
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_q <= "1" when ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_a = ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_b else "0";
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmpReg(REG,1090)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmpReg_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmp_q;
END IF;
END PROCESS;
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_sticky_ena(REG,1093)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_nor_q = "1") THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_sticky_ena_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd(LOGICAL,1094)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_a <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_sticky_ena_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_b <= VCC_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_a and ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_b;
--xBottomBits_uid304_pT5_uid256_sinPiZPolyEval(BITSELECT,303)@6
xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_in <= zPPolyEval_uid65_fpCosPiTest_b(17 downto 0);
xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b <= xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_in(17 downto 0);
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt(COUNTER,1084)
-- every=1, low=0, high=19, step=1, init=1
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i = 18 THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i - 19;
ELSE
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_i,5));
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg(REG,1085)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux(MUX,1086)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_s <= VCC_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux: PROCESS (ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_s, ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg_q, ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem(DUALMEM,1083)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_reset0 <= areset;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_ia <= xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_aa <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdreg_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_ab <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_rdmux_q;
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 18,
widthad_a => 5,
numwords_a => 20,
width_b => 18,
widthad_b => 5,
numwords_b => 20,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_iq,
address_a => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_aa,
data_a => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_ia
);
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_iq(17 downto 0);
--ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_outputreg(DELAY,1082)
ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_outputreg : dspba_delay
GENERIC MAP ( width => 18, depth => 1 )
PORT MAP ( xin => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_replace_mem_q, xout => ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_outputreg_q, clk => clk, aclr => areset );
--pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval(BITJOIN,305)@28
pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_q <= ld_xBottomBits_uid304_pT5_uid256_sinPiZPolyEval_b_to_pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_b_outputreg_q & STD_LOGIC_VECTOR((7 downto 1 => GND_q(0)) & GND_q);
--yBottomBits_uid303_pT5_uid256_sinPiZPolyEval(BITSELECT,302)@27
yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_in <= s4_uid254_sinPiZPolyEval_b(24 downto 0);
yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b <= yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_in(24 downto 0);
--ld_yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b_to_spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_a(DELAY,716)@27
ld_yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b_to_spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 25, depth => 1 )
PORT MAP ( xin => yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b, xout => ld_yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b_to_spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_a_q, clk => clk, aclr => areset );
--spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval(BITJOIN,304)@28
spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_q <= GND_q & ld_yBottomBits_uid303_pT5_uid256_sinPiZPolyEval_b_to_spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_a_q;
--pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval(BITJOIN,306)@28
pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_q <= spad_yBottomBits_uid303_uid305_pT5_uid256_sinPiZPolyEval_q & GND_q;
--reg_pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_6(REG,390)@28
reg_pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_6_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_6_q <= pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_q;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor(LOGICAL,1079)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_b <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_q <= not (ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_a or ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_b);
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_mem_top(CONSTANT,1075)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_mem_top_q <= "010100";
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp(LOGICAL,1076)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_a <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_mem_top_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_q);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_q <= "1" when ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_a = ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_b else "0";
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmpReg(REG,1077)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmpReg_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmp_q;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_sticky_ena(REG,1080)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_nor_q = "1") THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_sticky_ena_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd(LOGICAL,1081)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_a <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_b <= VCC_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_a and ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_b;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt(COUNTER,1071)
-- every=1, low=0, high=20, step=1, init=1
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i = 19 THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i - 20;
ELSE
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_i,5));
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg(REG,1072)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux(MUX,1073)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_s <= VCC_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux: PROCESS (ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_s, ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg_q, ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem(DUALMEM,1070)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_reset0 <= areset;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_ia <= zPPolyEval_uid65_fpCosPiTest_b;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_aa <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdreg_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_ab <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_rdmux_q;
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 45,
widthad_a => 5,
numwords_a => 21,
width_b => 45,
widthad_b => 5,
numwords_b => 21,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_iq,
address_a => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_aa,
data_a => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_ia
);
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_q <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_iq(44 downto 0);
--ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_outputreg(DELAY,1069)
ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_outputreg : dspba_delay
GENERIC MAP ( width => 45, depth => 1 )
PORT MAP ( xin => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_replace_mem_q, xout => ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_outputreg_q, clk => clk, aclr => areset );
--xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval(BITSELECT,299)@29
xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_in <= ld_zPPolyEval_uid65_fpCosPiTest_b_to_xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_a_outputreg_q;
xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_b <= xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_in(44 downto 18);
--multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma(CHAINMULTADD,346)@29
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_p(0) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a(0) * multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c(0);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_p(1) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a(1) * multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c(1);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_w(0) <= RESIZE(multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_p(0),56);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_w(1) <= RESIZE(multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_p(1),56);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_x(0) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_w(0);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_x(1) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_w(1);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_y(0) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s(1) + multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_x(0);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_y(1) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_x(1);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a(0) <= SIGNED(RESIZE(UNSIGNED(xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_b),28));
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_a(1) <= SIGNED(RESIZE(UNSIGNED(pad_xBottomBits_uid304_uid306_pT5_uid256_sinPiZPolyEval_q),28));
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c(0) <= SIGNED(RESIZE(SIGNED(reg_pad_yBottomBits_uid303_uid307_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_6_q),27));
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_c(1) <= SIGNED(RESIZE(SIGNED(reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_9_q),27));
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s(0) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_y(0);
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s(1) <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_y(1);
END IF;
END PROCESS;
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s0 <= STD_LOGIC_VECTOR(RESIZE(multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s(0),55));
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_q <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_s0;
END IF;
END PROCESS;
--multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval(BITSELECT,308)@32
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_in <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_cma_q;
multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_b <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_in(54 downto 1);
--highBBits_uid311_pT5_uid256_sinPiZPolyEval(BITSELECT,310)@32
highBBits_uid311_pT5_uid256_sinPiZPolyEval_in <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_b;
highBBits_uid311_pT5_uid256_sinPiZPolyEval_b <= highBBits_uid311_pT5_uid256_sinPiZPolyEval_in(53 downto 25);
--reg_highBBits_uid311_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_1(REG,392)@32
reg_highBBits_uid311_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid311_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_1_q <= "00000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid311_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_1_q <= highBBits_uid311_pT5_uid256_sinPiZPolyEval_b;
END IF;
END PROCESS;
--reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1(REG,391)@27
reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q <= yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_b(DELAY,713)@28
ld_reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_b : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q, xout => ld_reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_b_q, clk => clk, aclr => areset );
--topProd_uid302_pT5_uid256_sinPiZPolyEval(MULT,301)@29
topProd_uid302_pT5_uid256_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid302_pT5_uid256_sinPiZPolyEval_a),28)) * SIGNED(topProd_uid302_pT5_uid256_sinPiZPolyEval_b);
topProd_uid302_pT5_uid256_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid302_pT5_uid256_sinPiZPolyEval_a <= (others => '0');
topProd_uid302_pT5_uid256_sinPiZPolyEval_b <= (others => '0');
topProd_uid302_pT5_uid256_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid302_pT5_uid256_sinPiZPolyEval_a <= xTop27Bits_uid300_pT5_uid256_sinPiZPolyEval_b;
topProd_uid302_pT5_uid256_sinPiZPolyEval_b <= ld_reg_yTop27Bits_uid301_pT5_uid256_sinPiZPolyEval_0_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_1_q_to_topProd_uid302_pT5_uid256_sinPiZPolyEval_b_q;
topProd_uid302_pT5_uid256_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid302_pT5_uid256_sinPiZPolyEval_pr,54));
END IF;
END PROCESS;
topProd_uid302_pT5_uid256_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid302_pT5_uid256_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid302_pT5_uid256_sinPiZPolyEval_q <= topProd_uid302_pT5_uid256_sinPiZPolyEval_s1;
END IF;
END PROCESS;
--reg_topProd_uid302_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_0(REG,393)@32
reg_topProd_uid302_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_topProd_uid302_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_topProd_uid302_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_0_q <= topProd_uid302_pT5_uid256_sinPiZPolyEval_q;
END IF;
END PROCESS;
--sumAHighB_uid312_pT5_uid256_sinPiZPolyEval(ADD,311)@33
sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => reg_topProd_uid302_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_0_q(53)) & reg_topProd_uid302_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_0_q);
sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => reg_highBBits_uid311_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_1_q(28)) & reg_highBBits_uid311_pT5_uid256_sinPiZPolyEval_0_to_sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_1_q);
sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_b));
sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_q <= sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_o(54 downto 0);
--lowRangeB_uid310_pT5_uid256_sinPiZPolyEval(BITSELECT,309)@32
lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_in <= multSumOfTwo27_uid305_pT5_uid256_sinPiZPolyEval_b(24 downto 0);
lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b <= lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_in(24 downto 0);
--ld_lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b_to_add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_a(DELAY,724)@32
ld_lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b_to_add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 25, depth => 1 )
PORT MAP ( xin => lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b, xout => ld_lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b_to_add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_a_q, clk => clk, aclr => areset );
--add0_uid310_uid313_pT5_uid256_sinPiZPolyEval(BITJOIN,312)@33
add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_q <= sumAHighB_uid312_pT5_uid256_sinPiZPolyEval_q & ld_lowRangeB_uid310_pT5_uid256_sinPiZPolyEval_b_to_add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_a_q;
--R_uid314_pT5_uid256_sinPiZPolyEval(BITSELECT,313)@33
R_uid314_pT5_uid256_sinPiZPolyEval_in <= add0_uid310_uid313_pT5_uid256_sinPiZPolyEval_q(78 downto 0);
R_uid314_pT5_uid256_sinPiZPolyEval_b <= R_uid314_pT5_uid256_sinPiZPolyEval_in(78 downto 25);
--reg_R_uid314_pT5_uid256_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_1(REG,394)@33
reg_R_uid314_pT5_uid256_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid314_pT5_uid256_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_1_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_R_uid314_pT5_uid256_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_1_q <= R_uid314_pT5_uid256_sinPiZPolyEval_b;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor(LOGICAL,990)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_b <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_q <= not (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_a or ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_b);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_mem_top(CONSTANT,986)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_mem_top_q <= "011001";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp(LOGICAL,987)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_mem_top_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_q);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_q <= "1" when ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_a = ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_b else "0";
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmpReg(REG,988)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmpReg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_sticky_ena(REG,991)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_nor_q = "1") THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_sticky_ena_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd(LOGICAL,992)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_a <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_b <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_a and ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_b;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt(COUNTER,982)
-- every=1, low=0, high=25, step=1, init=1
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i = 24 THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i - 25;
ELSE
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_i,5));
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg(REG,983)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux(MUX,984)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux: PROCESS (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_s, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg_q, ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem(DUALMEM,981)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_reset0 <= areset;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_ia <= zAddr_uid64_fpCosPiTest_b;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_aa <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdreg_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_ab <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_rdmux_q;
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 5,
numwords_a => 26,
width_b => 7,
widthad_b => 5,
numwords_b => 26,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_iq,
address_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_aa,
data_a => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_ia
);
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_q <= ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0);
--ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_outputreg(DELAY,980)
ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_replace_mem_q, xout => ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC0_uid225_sinPiZTableGenerator(LOOKUP,224)@33
memoryC0_uid225_sinPiZTableGenerator: PROCESS (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_outputreg_q)
BEGIN
-- Begin reserved scope level
CASE (ld_zAddr_uid64_fpCosPiTest_b_to_memoryC0_uid225_sinPiZTableGenerator_a_outputreg_q) IS
WHEN "0000000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010010000111111011010101000100010000101101000110001000";
WHEN "0000001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010010000111010001111111001101111011000111100001001010";
WHEN "0000010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010010000101010101111101111010001101100110011111100100";
WHEN "0000011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010010000010000111010001110111000001110010011001110001";
WHEN "0000100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010001111101100101111100010000110111011000000101000010";
WHEN "0000101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010001110111110001111110110010110011100111000110011101";
WHEN "0000110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010001110000101011011011100110100000100010100111010101";
WHEN "0000111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010001101000010010010101010100001100000100101011101101";
WHEN "0001000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010001011110100110101111000010100110110100001011000010";
WHEN "0001001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010001010011101000101100010111000010101101001011110101";
WHEN "0001010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010001000111011000010001010101010001011100000010111010";
WHEN "0001011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010000111001110101100010011111100010101010110110110111";
WHEN "0001100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010000101011000000100100110110100010000001101000110010";
WHEN "0001101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010000011010111001011101111001010100111001000011000110";
WHEN "0001110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110010000001001100000010011100101010111111111101111100110";
WHEN "0001111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001111110110110101001100010110011100110010010101101111";
WHEN "0010000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001111100010111000001111000110100110100110000010101111";
WHEN "0010001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001111001101101001100011001110000111100101111100011100";
WHEN "0010010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001110110111001001010000100011011101100011000000101111";
WHEN "0010011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001110011111010111011111011011001110010110110010111000";
WHEN "0010100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001110000110010100011000101000000100011000111000100110";
WHEN "0010101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001101101100000000000101011010101010100111001000011100";
WHEN "0010110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001101010000011010101111100001101000100000101011011110";
WHEN "0010111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001100110011100100100001001001011101110011110100001011";
WHEN "0011000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001100010101011101100100111100011101111110101100100111";
WHEN "0011001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001011110110000110000110000010101011100010111110000011";
WHEN "0011010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001011010101011110010000000001110011001100010100001001";
WHEN "0011011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001010110011100110001110111101000110101001111110010101";
WHEN "0011100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001010010000011110001111010101010111011011010001011111";
WHEN "0011101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001001101100000110011110001000110001001111001100110001";
WHEN "0011110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001001000110011111001000110010110100010111000011111111";
WHEN "0011111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110001000011111101000011101001100001111101100010010011001";
WHEN "0100000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000111110111100010101001101010111010101001011000110001";
WHEN "0100001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000111001110001101111101000001101110110110001001100100";
WHEN "0100010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000110100011101010100110100000100001100111000110001110";
WHEN "0100011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000101110111111000110101110011111101010000010000111000";
WHEN "0100100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000101001010111000111011000101011010001011010101011100";
WHEN "0100101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000100011100101011000110111010110111110001001101100111";
WHEN "0100110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000011101101001111101010010110110101000111000011000010";
WHEN "0100111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000010111100100110110110111000001001011110110011001101";
WHEN "0101000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000010001010110000111110011001111100101011011000101100";
WHEN "0101001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000001010111101110010011010011011111001000011101001111";
WHEN "0101010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0110000000100011011111001000011000000001110101111000110101";
WHEN "0101011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111111101110000011110000110110101110000111000001001000";
WHEN "0101100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111110110111011100100000011010011101000101101101101111";
WHEN "0101101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111101111111101001101011001001101111001001010100111010";
WHEN "0101110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111101000110101011100101100110100011000001100101000111";
WHEN "0101111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111100001100100010100100101110001100110101011111100110";
WHEN "0110000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111011010001001110111101111001001100110110011000001101";
WHEN "0110001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111010010100110001000110111011000110000110111110111111";
WHEN "0110010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111001010111001001010110000010010100110110110111111101";
WHEN "0110011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101111000011000011000000001111000000100110010000101101101";
WHEN "0110100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101110111011000011101100001100000000111000101001011011010";
WHEN "0110101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101110110010111011010001100011000101000010101101011001001";
WHEN "0110110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101110101010101001110011010011010000110001111000101001011";
WHEN "0110111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101110100010001111010100011110111000101000100011101001111";
WHEN "0111000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101110011001101011111000001011100000101000110101010111101";
WHEN "0111001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101110010000111111100001100001111010111101111011010000110";
WHEN "0111010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101110001000001010010011101110000110100100001000000010111";
WHEN "0111011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101101111111001100010001111111001101101111011001101100100";
WHEN "0111100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101101110110000101011111100111100100110000100111011101101";
WHEN "0111101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101101101100110101111111111100101000011001011000100010101";
WHEN "0111110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101101100011011101110110010110111100011110100100000110011";
WHEN "0111111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101101011001111101000110010010001010011001011011010110110";
WHEN "1000000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101101010000010011110011001100111111100111011110011001101";
WHEN "1000001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101101000110100010000000101001001100001000111100100001001";
WHEN "1000010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101100111100100111110010001011100000111110000000101011101";
WHEN "1000011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101100110010100101001011011011101110100010101011000001110";
WHEN "1000100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101100101000011010010000000100100011001001010111111110011";
WHEN "1000101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101100011110000111000011110011101001010100010101110100101";
WHEN "1000110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101100010011101011101010011001100110001101101001100010111";
WHEN "1000111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101100001001001000000111101001110111111110000011100100111";
WHEN "1001000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101011111110011100011111011010110100000010100101010111001";
WHEN "1001001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101011110011101000110101100101100101100000111000011110001";
WHEN "1001010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101011101000101101001110000110001011011010011000000110010";
WHEN "1001011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101011011101101001101100111011010110111110001101101101010";
WHEN "1001100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101011010010011110010110000110101001111010000001101101100";
WHEN "1001101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101011000111001011001101101100010100101001100000111100110";
WHEN "1001110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101010111011110000010111110011010100100100110111110110011";
WHEN "1001111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101010110000001101111000100101010010001110000100000110101";
WHEN "1010000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101010100100100011110100001110011111011100111101101011110";
WHEN "1010001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101010011000110010001110111101110101101010011000000111111";
WHEN "1010010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101010001100111001001101000100110011111001111100111000001";
WHEN "1010011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101010000000111000110010110111011101000010111111101010001";
WHEN "1010100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101001110100110001000100101100010101111000001101101010100";
WHEN "1010101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101001101000100010000110111100100011001110011000100010010";
WHEN "1010110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101001011100001011111110000011101000000001111110000000000";
WHEN "1010111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101001001111101110101110011111100011011011101101000101110";
WHEN "1011000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101001000011001010011100110000101110110100001001110110000";
WHEN "1011001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101000110110011111001101011001111011110110010000011100000";
WHEN "1011010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101000101001101101000101000000010010100000111000101001110";
WHEN "1011011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101000011100110100001000001011001111000111011010101001101";
WHEN "1011100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101000001111110100011011100100100000010001010101111111000";
WHEN "1011101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0101000000010101110000011111000000100111000111011110010110";
WHEN "1011110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100111110101100001000101110100001010001000111101001001101";
WHEN "1011111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100111101000001101100110001001001001011001011110100011010";
WHEN "1100000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100111011010110011101001101001100110001011110001011110000";
WHEN "1100001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100111001101010011010101001010001100000101010101000000111";
WHEN "1100010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100110111111101100101101100001101100101001111110101000001";
WHEN "1100011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100110110001111111110111101000111101010101001011110111000";
WHEN "1100100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100110100100001100111000011010110101010010011110001011110";
WHEN "1100101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100110010110010011110100110100001011010101000001111000001";
WHEN "1100110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100110001000010100110001110011110011101110100000111111001";
WHEN "1100111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100101111010001111110100011010011110000101000011010111010";
WHEN "1101000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100101101100000101000001101010110011001000011100110100101";
WHEN "1101001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100101011101110100011110101001010010100110101010011100110";
WHEN "1101010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100101001111011110010000011100010000111111100000000100011";
WHEN "1101011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100101000001000010011100001011110101010111100110111011100";
WHEN "1101100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100100110010100001000111000001110111001010101110101010001";
WHEN "1101101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100100100011111010010110001001111011111101010001100000100";
WHEN "1101110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100100010101001110001110110001010101001101001100111101111";
WHEN "1101111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100100000110011100110110000110111110000010001111110011101";
WHEN "1110000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100011110111100110010001011011011000111101011110100101010";
WHEN "1110001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100011101000101010100110000000101101101000001111101101101";
WHEN "1110010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100011011001101001111001001010100110100010100000001010111";
WHEN "1110011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100011001010100100010000001110001110110000100001110111001";
WHEN "1110100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100010111011011001110000100010001111101000000100110100000";
WHEN "1110101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100010101100001010011111011110101110011100111100001100101";
WHEN "1110110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100010011100110110100010011101001010001101000000010101011";
WHEN "1110111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100010001101011101111110111000011001001011101110101101100";
WHEN "1111000" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100001111110000000111010001100100110101101001001001010110";
WHEN "1111001" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100001101110011111011001110111010000110000010101010100001";
WHEN "1111010" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100001011110111001100011010111000101101001011101010010011";
WHEN "1111011" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100001001111001111011100001100000001101011010010111101001";
WHEN "1111100" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100000111111100001001001110111001100110000010110101011110";
WHEN "1111101" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100000101111101110110001111010111000000011100010110001010";
WHEN "1111110" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100000011111111000011001111010011011101000011100101001111";
WHEN "1111111" => memoryC0_uid225_sinPiZTableGenerator_q <= "0100000001111111110000111011010010100000011001101000010011";
WHEN OTHERS =>
memoryC0_uid225_sinPiZTableGenerator_q <= "0110010010000111111011010101000100010000101101000110001000";
END CASE;
-- End reserved scope level
END PROCESS;
--rndBit_uid257_sinPiZPolyEval(CONSTANT,256)
rndBit_uid257_sinPiZPolyEval_q <= "001";
--cIncludingRoundingBit_uid258_sinPiZPolyEval(BITJOIN,257)@33
cIncludingRoundingBit_uid258_sinPiZPolyEval_q <= memoryC0_uid225_sinPiZTableGenerator_q & rndBit_uid257_sinPiZPolyEval_q;
--reg_cIncludingRoundingBit_uid258_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_0(REG,395)@33
reg_cIncludingRoundingBit_uid258_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid258_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_0_q <= "0000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_cIncludingRoundingBit_uid258_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_0_q <= cIncludingRoundingBit_uid258_sinPiZPolyEval_q;
END IF;
END PROCESS;
--ts5_uid259_sinPiZPolyEval(ADD,258)@34
ts5_uid259_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((61 downto 61 => reg_cIncludingRoundingBit_uid258_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_0_q(60)) & reg_cIncludingRoundingBit_uid258_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_0_q);
ts5_uid259_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((61 downto 54 => reg_R_uid314_pT5_uid256_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_1_q(53)) & reg_R_uid314_pT5_uid256_sinPiZPolyEval_0_to_ts5_uid259_sinPiZPolyEval_1_q);
ts5_uid259_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts5_uid259_sinPiZPolyEval_a) + SIGNED(ts5_uid259_sinPiZPolyEval_b));
ts5_uid259_sinPiZPolyEval_q <= ts5_uid259_sinPiZPolyEval_o(61 downto 0);
--s5_uid260_sinPiZPolyEval(BITSELECT,259)@34
s5_uid260_sinPiZPolyEval_in <= ts5_uid259_sinPiZPolyEval_q;
s5_uid260_sinPiZPolyEval_b <= s5_uid260_sinPiZPolyEval_in(61 downto 1);
--fxpSinRes_uid67_fpCosPiTest(BITSELECT,66)@34
fxpSinRes_uid67_fpCosPiTest_in <= s5_uid260_sinPiZPolyEval_b(58 downto 0);
fxpSinRes_uid67_fpCosPiTest_b <= fxpSinRes_uid67_fpCosPiTest_in(58 downto 5);
--mul2xSinRes_uid68_fpCosPiTest_b_1(BITSELECT,317)@34
mul2xSinRes_uid68_fpCosPiTest_b_1_in <= fxpSinRes_uid67_fpCosPiTest_b;
mul2xSinRes_uid68_fpCosPiTest_b_1_b <= mul2xSinRes_uid68_fpCosPiTest_b_1_in(53 downto 27);
--reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_1(REG,400)@34
reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_1_q <= mul2xSinRes_uid68_fpCosPiTest_b_1_b;
END IF;
END PROCESS;
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor(LOGICAL,877)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_b <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_sticky_ena_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_q <= not (ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_a or ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_b);
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_mem_top(CONSTANT,873)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_mem_top_q <= "010110";
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp(LOGICAL,874)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_a <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_mem_top_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_q);
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_q <= "1" when ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_a = ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_b else "0";
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmpReg(REG,875)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmpReg_q <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmp_q;
END IF;
END PROCESS;
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_sticky_ena(REG,878)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_nor_q = "1") THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_sticky_ena_q <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd(LOGICAL,879)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_a <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_sticky_ena_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_b <= VCC_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_q <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_a and ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_b;
--LeftShiftStage277dto0_uid220_alignedZ_uid59_fpCosPiTest(BITSELECT,219)@9
LeftShiftStage277dto0_uid220_alignedZ_uid59_fpCosPiTest_in <= leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q(77 downto 0);
LeftShiftStage277dto0_uid220_alignedZ_uid59_fpCosPiTest_b <= LeftShiftStage277dto0_uid220_alignedZ_uid59_fpCosPiTest_in(77 downto 0);
--leftShiftStage3Idx1_uid221_alignedZ_uid59_fpCosPiTest(BITJOIN,220)@9
leftShiftStage3Idx1_uid221_alignedZ_uid59_fpCosPiTest_q <= LeftShiftStage277dto0_uid220_alignedZ_uid59_fpCosPiTest_b & GND_q;
--LeftShiftStage172dto0_uid215_alignedZ_uid59_fpCosPiTest(BITSELECT,214)@9
LeftShiftStage172dto0_uid215_alignedZ_uid59_fpCosPiTest_in <= leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q(72 downto 0);
LeftShiftStage172dto0_uid215_alignedZ_uid59_fpCosPiTest_b <= LeftShiftStage172dto0_uid215_alignedZ_uid59_fpCosPiTest_in(72 downto 0);
--leftShiftStage2Idx3_uid216_alignedZ_uid59_fpCosPiTest(BITJOIN,215)@9
leftShiftStage2Idx3_uid216_alignedZ_uid59_fpCosPiTest_q <= LeftShiftStage172dto0_uid215_alignedZ_uid59_fpCosPiTest_b & leftShiftStage2Idx3Pad6_uid133_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage174dto0_uid212_alignedZ_uid59_fpCosPiTest(BITSELECT,211)@9
LeftShiftStage174dto0_uid212_alignedZ_uid59_fpCosPiTest_in <= leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q(74 downto 0);
LeftShiftStage174dto0_uid212_alignedZ_uid59_fpCosPiTest_b <= LeftShiftStage174dto0_uid212_alignedZ_uid59_fpCosPiTest_in(74 downto 0);
--leftShiftStage2Idx2_uid213_alignedZ_uid59_fpCosPiTest(BITJOIN,212)@9
leftShiftStage2Idx2_uid213_alignedZ_uid59_fpCosPiTest_q <= LeftShiftStage174dto0_uid212_alignedZ_uid59_fpCosPiTest_b & leftShiftStage2Idx2Pad4_uid130_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage176dto0_uid209_alignedZ_uid59_fpCosPiTest(BITSELECT,208)@9
LeftShiftStage176dto0_uid209_alignedZ_uid59_fpCosPiTest_in <= leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q(76 downto 0);
LeftShiftStage176dto0_uid209_alignedZ_uid59_fpCosPiTest_b <= LeftShiftStage176dto0_uid209_alignedZ_uid59_fpCosPiTest_in(76 downto 0);
--leftShiftStage2Idx1_uid210_alignedZ_uid59_fpCosPiTest(BITJOIN,209)@9
leftShiftStage2Idx1_uid210_alignedZ_uid59_fpCosPiTest_q <= LeftShiftStage176dto0_uid209_alignedZ_uid59_fpCosPiTest_b & leftShiftStage2Idx1Pad2_uid127_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage054dto0_uid204_alignedZ_uid59_fpCosPiTest(BITSELECT,203)@9
LeftShiftStage054dto0_uid204_alignedZ_uid59_fpCosPiTest_in <= leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q(54 downto 0);
LeftShiftStage054dto0_uid204_alignedZ_uid59_fpCosPiTest_b <= LeftShiftStage054dto0_uid204_alignedZ_uid59_fpCosPiTest_in(54 downto 0);
--leftShiftStage1Idx3_uid205_alignedZ_uid59_fpCosPiTest(BITJOIN,204)@9
leftShiftStage1Idx3_uid205_alignedZ_uid59_fpCosPiTest_q <= LeftShiftStage054dto0_uid204_alignedZ_uid59_fpCosPiTest_b & leftShiftStage1Idx3Pad24_uid122_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage062dto0_uid201_alignedZ_uid59_fpCosPiTest(BITSELECT,200)@9
LeftShiftStage062dto0_uid201_alignedZ_uid59_fpCosPiTest_in <= leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q(62 downto 0);
LeftShiftStage062dto0_uid201_alignedZ_uid59_fpCosPiTest_b <= LeftShiftStage062dto0_uid201_alignedZ_uid59_fpCosPiTest_in(62 downto 0);
--leftShiftStage1Idx2_uid202_alignedZ_uid59_fpCosPiTest(BITJOIN,201)@9
leftShiftStage1Idx2_uid202_alignedZ_uid59_fpCosPiTest_q <= LeftShiftStage062dto0_uid201_alignedZ_uid59_fpCosPiTest_b & leftShiftStage1Idx2Pad16_uid119_fxpX_uid43_fpCosPiTest_q;
--LeftShiftStage070dto0_uid198_alignedZ_uid59_fpCosPiTest(BITSELECT,197)@9
LeftShiftStage070dto0_uid198_alignedZ_uid59_fpCosPiTest_in <= leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q(70 downto 0);
LeftShiftStage070dto0_uid198_alignedZ_uid59_fpCosPiTest_b <= LeftShiftStage070dto0_uid198_alignedZ_uid59_fpCosPiTest_in(70 downto 0);
--leftShiftStage1Idx1_uid199_alignedZ_uid59_fpCosPiTest(BITJOIN,198)@9
leftShiftStage1Idx1_uid199_alignedZ_uid59_fpCosPiTest_q <= LeftShiftStage070dto0_uid198_alignedZ_uid59_fpCosPiTest_b & leftShiftStage1Idx1Pad8_uid116_fxpX_uid43_fpCosPiTest_q;
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor(LOGICAL,954)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_b <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_sticky_ena_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_q <= not (ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_a or ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_b);
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_mem_top(CONSTANT,950)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_mem_top_q <= "010";
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp(LOGICAL,951)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_a <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_mem_top_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_q);
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_q <= "1" when ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_a = ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_b else "0";
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmpReg(REG,952)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmpReg_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmp_q;
END IF;
END PROCESS;
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_sticky_ena(REG,955)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_nor_q = "1") THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_sticky_ena_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd(LOGICAL,956)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_a <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_sticky_ena_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_b <= VCC_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_a and ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_b;
--vStage_uid148_lzcZ_uid58_fpCosPiTest(BITSELECT,147)@5
vStage_uid148_lzcZ_uid58_fpCosPiTest_in <= z_uid56_fpCosPiTest_b(14 downto 0);
vStage_uid148_lzcZ_uid58_fpCosPiTest_b <= vStage_uid148_lzcZ_uid58_fpCosPiTest_in(14 downto 0);
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt(COUNTER,946)
-- every=1, low=0, high=2, step=1, init=1
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,2);
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i = 1 THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i - 2;
ELSE
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_i,2));
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg(REG,947)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg_q <= "00";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux(MUX,948)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_s <= VCC_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux: PROCESS (ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_s, ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg_q, ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg_q;
WHEN "1" => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem(DUALMEM,957)
ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_ia <= vStage_uid148_lzcZ_uid58_fpCosPiTest_b;
ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_aa <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg_q;
ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_ab <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_q;
ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 15,
widthad_a => 2,
numwords_a => 3,
width_b => 15,
widthad_b => 2,
numwords_b => 3,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_iq,
address_a => ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_aa,
data_a => ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_ia
);
ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_q <= ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_iq(14 downto 0);
--leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest(BITJOIN,192)@9
leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_q <= ld_vStage_uid148_lzcZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_b_replace_mem_q & leftShiftStage0Idx2Pad64_uid110_fxpX_uid43_fpCosPiTest_q;
--X46dto0_uid189_alignedZ_uid59_fpCosPiTest(BITSELECT,188)@5
X46dto0_uid189_alignedZ_uid59_fpCosPiTest_in <= z_uid56_fpCosPiTest_b(46 downto 0);
X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b <= X46dto0_uid189_alignedZ_uid59_fpCosPiTest_in(46 downto 0);
--ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem(DUALMEM,945)
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_ia <= X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_aa <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdreg_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_ab <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_rdmux_q;
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 47,
widthad_a => 2,
numwords_a => 3,
width_b => 47,
widthad_b => 2,
numwords_b => 3,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_iq,
address_a => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_aa,
data_a => ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_ia
);
ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_iq(46 downto 0);
--leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest(BITJOIN,189)@9
leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_q <= ld_X46dto0_uid189_alignedZ_uid59_fpCosPiTest_b_to_leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_b_replace_mem_q & leftShiftStage0Idx1Pad32_uid107_fxpX_uid43_fpCosPiTest_q;
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem(DUALMEM,970)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_reset0 <= areset;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_ia <= z_uid56_fpCosPiTest_b;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_aa <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdreg_q;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_ab <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_rdmux_q;
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 79,
widthad_a => 1,
numwords_a => 2,
width_b => 79,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_iq,
address_a => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_aa,
data_a => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_ia
);
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_q <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_iq(78 downto 0);
--ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_outputreg(DELAY,969)
ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_outputreg : dspba_delay
GENERIC MAP ( width => 79, depth => 1 )
PORT MAP ( xin => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_replace_mem_q, xout => ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_outputreg_q, clk => clk, aclr => areset );
--rVStage_uid145_lzcZ_uid58_fpCosPiTest(BITSELECT,144)@5
rVStage_uid145_lzcZ_uid58_fpCosPiTest_in <= z_uid56_fpCosPiTest_b;
rVStage_uid145_lzcZ_uid58_fpCosPiTest_b <= rVStage_uid145_lzcZ_uid58_fpCosPiTest_in(78 downto 15);
--vCount_uid146_lzcZ_uid58_fpCosPiTest(LOGICAL,145)@5
vCount_uid146_lzcZ_uid58_fpCosPiTest_a <= rVStage_uid145_lzcZ_uid58_fpCosPiTest_b;
vCount_uid146_lzcZ_uid58_fpCosPiTest_b <= leftShiftStage0Idx2Pad64_uid110_fxpX_uid43_fpCosPiTest_q;
vCount_uid146_lzcZ_uid58_fpCosPiTest_q <= "1" when vCount_uid146_lzcZ_uid58_fpCosPiTest_a = vCount_uid146_lzcZ_uid58_fpCosPiTest_b else "0";
--ld_vCount_uid146_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_g(DELAY,595)@5
ld_vCount_uid146_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_g : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => vCount_uid146_lzcZ_uid58_fpCosPiTest_q, xout => ld_vCount_uid146_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_g_q, clk => clk, aclr => areset );
--mO_uid147_lzcZ_uid58_fpCosPiTest(CONSTANT,146)
mO_uid147_lzcZ_uid58_fpCosPiTest_q <= "1111111111111111111111111111111111111111111111111";
--cStage_uid149_lzcZ_uid58_fpCosPiTest(BITJOIN,148)@5
cStage_uid149_lzcZ_uid58_fpCosPiTest_q <= vStage_uid148_lzcZ_uid58_fpCosPiTest_b & mO_uid147_lzcZ_uid58_fpCosPiTest_q;
--vStagei_uid151_lzcZ_uid58_fpCosPiTest(MUX,150)@5
vStagei_uid151_lzcZ_uid58_fpCosPiTest_s <= vCount_uid146_lzcZ_uid58_fpCosPiTest_q;
vStagei_uid151_lzcZ_uid58_fpCosPiTest: PROCESS (vStagei_uid151_lzcZ_uid58_fpCosPiTest_s, rVStage_uid145_lzcZ_uid58_fpCosPiTest_b, cStage_uid149_lzcZ_uid58_fpCosPiTest_q)
BEGIN
CASE vStagei_uid151_lzcZ_uid58_fpCosPiTest_s IS
WHEN "0" => vStagei_uid151_lzcZ_uid58_fpCosPiTest_q <= rVStage_uid145_lzcZ_uid58_fpCosPiTest_b;
WHEN "1" => vStagei_uid151_lzcZ_uid58_fpCosPiTest_q <= cStage_uid149_lzcZ_uid58_fpCosPiTest_q;
WHEN OTHERS => vStagei_uid151_lzcZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid153_lzcZ_uid58_fpCosPiTest(BITSELECT,152)@5
rVStage_uid153_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid151_lzcZ_uid58_fpCosPiTest_q;
rVStage_uid153_lzcZ_uid58_fpCosPiTest_b <= rVStage_uid153_lzcZ_uid58_fpCosPiTest_in(63 downto 32);
--reg_rVStage_uid153_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid154_lzcZ_uid58_fpCosPiTest_0(REG,364)@5
reg_rVStage_uid153_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid154_lzcZ_uid58_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid153_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid154_lzcZ_uid58_fpCosPiTest_0_q <= "00000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_rVStage_uid153_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid154_lzcZ_uid58_fpCosPiTest_0_q <= rVStage_uid153_lzcZ_uid58_fpCosPiTest_b;
END IF;
END PROCESS;
--vCount_uid154_lzcZ_uid58_fpCosPiTest(LOGICAL,153)@6
vCount_uid154_lzcZ_uid58_fpCosPiTest_a <= reg_rVStage_uid153_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid154_lzcZ_uid58_fpCosPiTest_0_q;
vCount_uid154_lzcZ_uid58_fpCosPiTest_b <= leftShiftStage0Idx1Pad32_uid107_fxpX_uid43_fpCosPiTest_q;
vCount_uid154_lzcZ_uid58_fpCosPiTest_q <= "1" when vCount_uid154_lzcZ_uid58_fpCosPiTest_a = vCount_uid154_lzcZ_uid58_fpCosPiTest_b else "0";
--ld_vCount_uid154_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_f(DELAY,594)@6
ld_vCount_uid154_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_f : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid154_lzcZ_uid58_fpCosPiTest_q, xout => ld_vCount_uid154_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_f_q, clk => clk, aclr => areset );
--vStage_uid155_lzcZ_uid58_fpCosPiTest(BITSELECT,154)@5
vStage_uid155_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid151_lzcZ_uid58_fpCosPiTest_q(31 downto 0);
vStage_uid155_lzcZ_uid58_fpCosPiTest_b <= vStage_uid155_lzcZ_uid58_fpCosPiTest_in(31 downto 0);
--ld_vStage_uid155_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_d(DELAY,562)@5
ld_vStage_uid155_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_d : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => vStage_uid155_lzcZ_uid58_fpCosPiTest_b, xout => ld_vStage_uid155_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_d_q, clk => clk, aclr => areset );
--ld_rVStage_uid153_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_c(DELAY,561)@5
ld_rVStage_uid153_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => rVStage_uid153_lzcZ_uid58_fpCosPiTest_b, xout => ld_rVStage_uid153_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_c_q, clk => clk, aclr => areset );
--vStagei_uid157_lzcZ_uid58_fpCosPiTest(MUX,156)@6
vStagei_uid157_lzcZ_uid58_fpCosPiTest_s <= vCount_uid154_lzcZ_uid58_fpCosPiTest_q;
vStagei_uid157_lzcZ_uid58_fpCosPiTest: PROCESS (vStagei_uid157_lzcZ_uid58_fpCosPiTest_s, ld_rVStage_uid153_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_c_q, ld_vStage_uid155_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_d_q)
BEGIN
CASE vStagei_uid157_lzcZ_uid58_fpCosPiTest_s IS
WHEN "0" => vStagei_uid157_lzcZ_uid58_fpCosPiTest_q <= ld_rVStage_uid153_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_c_q;
WHEN "1" => vStagei_uid157_lzcZ_uid58_fpCosPiTest_q <= ld_vStage_uid155_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid157_lzcZ_uid58_fpCosPiTest_d_q;
WHEN OTHERS => vStagei_uid157_lzcZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid159_lzcZ_uid58_fpCosPiTest(BITSELECT,158)@6
rVStage_uid159_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid157_lzcZ_uid58_fpCosPiTest_q;
rVStage_uid159_lzcZ_uid58_fpCosPiTest_b <= rVStage_uid159_lzcZ_uid58_fpCosPiTest_in(31 downto 16);
--reg_rVStage_uid159_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid160_lzcZ_uid58_fpCosPiTest_0(REG,365)@6
reg_rVStage_uid159_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid160_lzcZ_uid58_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid159_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid160_lzcZ_uid58_fpCosPiTest_0_q <= "0000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_rVStage_uid159_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid160_lzcZ_uid58_fpCosPiTest_0_q <= rVStage_uid159_lzcZ_uid58_fpCosPiTest_b;
END IF;
END PROCESS;
--vCount_uid160_lzcZ_uid58_fpCosPiTest(LOGICAL,159)@7
vCount_uid160_lzcZ_uid58_fpCosPiTest_a <= reg_rVStage_uid159_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid160_lzcZ_uid58_fpCosPiTest_0_q;
vCount_uid160_lzcZ_uid58_fpCosPiTest_b <= leftShiftStage1Idx2Pad16_uid119_fxpX_uid43_fpCosPiTest_q;
vCount_uid160_lzcZ_uid58_fpCosPiTest_q <= "1" when vCount_uid160_lzcZ_uid58_fpCosPiTest_a = vCount_uid160_lzcZ_uid58_fpCosPiTest_b else "0";
--ld_vCount_uid160_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_e(DELAY,593)@7
ld_vCount_uid160_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_e : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid160_lzcZ_uid58_fpCosPiTest_q, xout => ld_vCount_uid160_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_e_q, clk => clk, aclr => areset );
--vStage_uid161_lzcZ_uid58_fpCosPiTest(BITSELECT,160)@6
vStage_uid161_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid157_lzcZ_uid58_fpCosPiTest_q(15 downto 0);
vStage_uid161_lzcZ_uid58_fpCosPiTest_b <= vStage_uid161_lzcZ_uid58_fpCosPiTest_in(15 downto 0);
--ld_vStage_uid161_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_d(DELAY,568)@6
ld_vStage_uid161_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_d : dspba_delay
GENERIC MAP ( width => 16, depth => 1 )
PORT MAP ( xin => vStage_uid161_lzcZ_uid58_fpCosPiTest_b, xout => ld_vStage_uid161_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_d_q, clk => clk, aclr => areset );
--ld_rVStage_uid159_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_c(DELAY,567)@6
ld_rVStage_uid159_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 16, depth => 1 )
PORT MAP ( xin => rVStage_uid159_lzcZ_uid58_fpCosPiTest_b, xout => ld_rVStage_uid159_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_c_q, clk => clk, aclr => areset );
--vStagei_uid163_lzcZ_uid58_fpCosPiTest(MUX,162)@7
vStagei_uid163_lzcZ_uid58_fpCosPiTest_s <= vCount_uid160_lzcZ_uid58_fpCosPiTest_q;
vStagei_uid163_lzcZ_uid58_fpCosPiTest: PROCESS (vStagei_uid163_lzcZ_uid58_fpCosPiTest_s, ld_rVStage_uid159_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_c_q, ld_vStage_uid161_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_d_q)
BEGIN
CASE vStagei_uid163_lzcZ_uid58_fpCosPiTest_s IS
WHEN "0" => vStagei_uid163_lzcZ_uid58_fpCosPiTest_q <= ld_rVStage_uid159_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_c_q;
WHEN "1" => vStagei_uid163_lzcZ_uid58_fpCosPiTest_q <= ld_vStage_uid161_lzcZ_uid58_fpCosPiTest_b_to_vStagei_uid163_lzcZ_uid58_fpCosPiTest_d_q;
WHEN OTHERS => vStagei_uid163_lzcZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid165_lzcZ_uid58_fpCosPiTest(BITSELECT,164)@7
rVStage_uid165_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid163_lzcZ_uid58_fpCosPiTest_q;
rVStage_uid165_lzcZ_uid58_fpCosPiTest_b <= rVStage_uid165_lzcZ_uid58_fpCosPiTest_in(15 downto 8);
--reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid166_lzcZ_uid58_fpCosPiTest_0(REG,366)@7
reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid166_lzcZ_uid58_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid166_lzcZ_uid58_fpCosPiTest_0_q <= "00000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid166_lzcZ_uid58_fpCosPiTest_0_q <= rVStage_uid165_lzcZ_uid58_fpCosPiTest_b;
END IF;
END PROCESS;
--vCount_uid166_lzcZ_uid58_fpCosPiTest(LOGICAL,165)@8
vCount_uid166_lzcZ_uid58_fpCosPiTest_a <= reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vCount_uid166_lzcZ_uid58_fpCosPiTest_0_q;
vCount_uid166_lzcZ_uid58_fpCosPiTest_b <= leftShiftStage1Idx1Pad8_uid116_fxpX_uid43_fpCosPiTest_q;
vCount_uid166_lzcZ_uid58_fpCosPiTest_q <= "1" when vCount_uid166_lzcZ_uid58_fpCosPiTest_a = vCount_uid166_lzcZ_uid58_fpCosPiTest_b else "0";
--vStage_uid167_lzcZ_uid58_fpCosPiTest(BITSELECT,166)@7
vStage_uid167_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid163_lzcZ_uid58_fpCosPiTest_q(7 downto 0);
vStage_uid167_lzcZ_uid58_fpCosPiTest_b <= vStage_uid167_lzcZ_uid58_fpCosPiTest_in(7 downto 0);
--reg_vStage_uid167_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_3(REG,367)@7
reg_vStage_uid167_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid167_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_3_q <= "00000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_vStage_uid167_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_3_q <= vStage_uid167_lzcZ_uid58_fpCosPiTest_b;
END IF;
END PROCESS;
--reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_2(REG,368)@7
reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_2_q <= "00000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_2_q <= rVStage_uid165_lzcZ_uid58_fpCosPiTest_b;
END IF;
END PROCESS;
--vStagei_uid169_lzcZ_uid58_fpCosPiTest(MUX,168)@8
vStagei_uid169_lzcZ_uid58_fpCosPiTest_s <= vCount_uid166_lzcZ_uid58_fpCosPiTest_q;
vStagei_uid169_lzcZ_uid58_fpCosPiTest: PROCESS (vStagei_uid169_lzcZ_uid58_fpCosPiTest_s, reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_2_q, reg_vStage_uid167_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_3_q)
BEGIN
CASE vStagei_uid169_lzcZ_uid58_fpCosPiTest_s IS
WHEN "0" => vStagei_uid169_lzcZ_uid58_fpCosPiTest_q <= reg_rVStage_uid165_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_2_q;
WHEN "1" => vStagei_uid169_lzcZ_uid58_fpCosPiTest_q <= reg_vStage_uid167_lzcZ_uid58_fpCosPiTest_0_to_vStagei_uid169_lzcZ_uid58_fpCosPiTest_3_q;
WHEN OTHERS => vStagei_uid169_lzcZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid171_lzcZ_uid58_fpCosPiTest(BITSELECT,170)@8
rVStage_uid171_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid169_lzcZ_uid58_fpCosPiTest_q;
rVStage_uid171_lzcZ_uid58_fpCosPiTest_b <= rVStage_uid171_lzcZ_uid58_fpCosPiTest_in(7 downto 4);
--vCount_uid172_lzcZ_uid58_fpCosPiTest(LOGICAL,171)@8
vCount_uid172_lzcZ_uid58_fpCosPiTest_a <= rVStage_uid171_lzcZ_uid58_fpCosPiTest_b;
vCount_uid172_lzcZ_uid58_fpCosPiTest_b <= leftShiftStage2Idx2Pad4_uid130_fxpX_uid43_fpCosPiTest_q;
vCount_uid172_lzcZ_uid58_fpCosPiTest_q <= "1" when vCount_uid172_lzcZ_uid58_fpCosPiTest_a = vCount_uid172_lzcZ_uid58_fpCosPiTest_b else "0";
--vStage_uid173_lzcZ_uid58_fpCosPiTest(BITSELECT,172)@8
vStage_uid173_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid169_lzcZ_uid58_fpCosPiTest_q(3 downto 0);
vStage_uid173_lzcZ_uid58_fpCosPiTest_b <= vStage_uid173_lzcZ_uid58_fpCosPiTest_in(3 downto 0);
--vStagei_uid175_lzcZ_uid58_fpCosPiTest(MUX,174)@8
vStagei_uid175_lzcZ_uid58_fpCosPiTest_s <= vCount_uid172_lzcZ_uid58_fpCosPiTest_q;
vStagei_uid175_lzcZ_uid58_fpCosPiTest: PROCESS (vStagei_uid175_lzcZ_uid58_fpCosPiTest_s, rVStage_uid171_lzcZ_uid58_fpCosPiTest_b, vStage_uid173_lzcZ_uid58_fpCosPiTest_b)
BEGIN
CASE vStagei_uid175_lzcZ_uid58_fpCosPiTest_s IS
WHEN "0" => vStagei_uid175_lzcZ_uid58_fpCosPiTest_q <= rVStage_uid171_lzcZ_uid58_fpCosPiTest_b;
WHEN "1" => vStagei_uid175_lzcZ_uid58_fpCosPiTest_q <= vStage_uid173_lzcZ_uid58_fpCosPiTest_b;
WHEN OTHERS => vStagei_uid175_lzcZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid177_lzcZ_uid58_fpCosPiTest(BITSELECT,176)@8
rVStage_uid177_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid175_lzcZ_uid58_fpCosPiTest_q;
rVStage_uid177_lzcZ_uid58_fpCosPiTest_b <= rVStage_uid177_lzcZ_uid58_fpCosPiTest_in(3 downto 2);
--vCount_uid178_lzcZ_uid58_fpCosPiTest(LOGICAL,177)@8
vCount_uid178_lzcZ_uid58_fpCosPiTest_a <= rVStage_uid177_lzcZ_uid58_fpCosPiTest_b;
vCount_uid178_lzcZ_uid58_fpCosPiTest_b <= leftShiftStage2Idx1Pad2_uid127_fxpX_uid43_fpCosPiTest_q;
vCount_uid178_lzcZ_uid58_fpCosPiTest_q <= "1" when vCount_uid178_lzcZ_uid58_fpCosPiTest_a = vCount_uid178_lzcZ_uid58_fpCosPiTest_b else "0";
--vStage_uid179_lzcZ_uid58_fpCosPiTest(BITSELECT,178)@8
vStage_uid179_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid175_lzcZ_uid58_fpCosPiTest_q(1 downto 0);
vStage_uid179_lzcZ_uid58_fpCosPiTest_b <= vStage_uid179_lzcZ_uid58_fpCosPiTest_in(1 downto 0);
--vStagei_uid181_lzcZ_uid58_fpCosPiTest(MUX,180)@8
vStagei_uid181_lzcZ_uid58_fpCosPiTest_s <= vCount_uid178_lzcZ_uid58_fpCosPiTest_q;
vStagei_uid181_lzcZ_uid58_fpCosPiTest: PROCESS (vStagei_uid181_lzcZ_uid58_fpCosPiTest_s, rVStage_uid177_lzcZ_uid58_fpCosPiTest_b, vStage_uid179_lzcZ_uid58_fpCosPiTest_b)
BEGIN
CASE vStagei_uid181_lzcZ_uid58_fpCosPiTest_s IS
WHEN "0" => vStagei_uid181_lzcZ_uid58_fpCosPiTest_q <= rVStage_uid177_lzcZ_uid58_fpCosPiTest_b;
WHEN "1" => vStagei_uid181_lzcZ_uid58_fpCosPiTest_q <= vStage_uid179_lzcZ_uid58_fpCosPiTest_b;
WHEN OTHERS => vStagei_uid181_lzcZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid183_lzcZ_uid58_fpCosPiTest(BITSELECT,182)@8
rVStage_uid183_lzcZ_uid58_fpCosPiTest_in <= vStagei_uid181_lzcZ_uid58_fpCosPiTest_q;
rVStage_uid183_lzcZ_uid58_fpCosPiTest_b <= rVStage_uid183_lzcZ_uid58_fpCosPiTest_in(1 downto 1);
--vCount_uid184_lzcZ_uid58_fpCosPiTest(LOGICAL,183)@8
vCount_uid184_lzcZ_uid58_fpCosPiTest_a <= rVStage_uid183_lzcZ_uid58_fpCosPiTest_b;
vCount_uid184_lzcZ_uid58_fpCosPiTest_b <= GND_q;
vCount_uid184_lzcZ_uid58_fpCosPiTest_q <= "1" when vCount_uid184_lzcZ_uid58_fpCosPiTest_a = vCount_uid184_lzcZ_uid58_fpCosPiTest_b else "0";
--r_uid185_lzcZ_uid58_fpCosPiTest(BITJOIN,184)@8
r_uid185_lzcZ_uid58_fpCosPiTest_q <= ld_vCount_uid146_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_g_q & ld_vCount_uid154_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_f_q & ld_vCount_uid160_lzcZ_uid58_fpCosPiTest_q_to_r_uid185_lzcZ_uid58_fpCosPiTest_e_q & vCount_uid166_lzcZ_uid58_fpCosPiTest_q & vCount_uid172_lzcZ_uid58_fpCosPiTest_q & vCount_uid178_lzcZ_uid58_fpCosPiTest_q & vCount_uid184_lzcZ_uid58_fpCosPiTest_q;
--leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest(BITSELECT,194)@8
leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_in <= r_uid185_lzcZ_uid58_fpCosPiTest_q;
leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_b <= leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_in(6 downto 5);
--reg_leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_1(REG,369)@8
reg_leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_1_q <= "00";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_1_q <= leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_b;
END IF;
END PROCESS;
--leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest(MUX,195)@9
leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_s <= reg_leftShiftStageSel6Dto5_uid195_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_1_q;
leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest: PROCESS (leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_s, ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_outputreg_q, leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_q, leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_q)
BEGIN
CASE leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_s IS
WHEN "00" => leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q <= ld_z_uid56_fpCosPiTest_b_to_leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_c_outputreg_q;
WHEN "01" => leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage0Idx1_uid190_alignedZ_uid59_fpCosPiTest_q;
WHEN "10" => leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage0Idx2_uid193_alignedZ_uid59_fpCosPiTest_q;
WHEN "11" => leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q <= cstZwSwF_uid16_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest(BITSELECT,205)@8
leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_in <= r_uid185_lzcZ_uid58_fpCosPiTest_q(4 downto 0);
leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_b <= leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_in(4 downto 3);
--reg_leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_1(REG,370)@8
reg_leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_1_q <= "00";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_1_q <= leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_b;
END IF;
END PROCESS;
--leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest(MUX,206)@9
leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_s <= reg_leftShiftStageSel4Dto3_uid206_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_1_q;
leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest: PROCESS (leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_s, leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q, leftShiftStage1Idx1_uid199_alignedZ_uid59_fpCosPiTest_q, leftShiftStage1Idx2_uid202_alignedZ_uid59_fpCosPiTest_q, leftShiftStage1Idx3_uid205_alignedZ_uid59_fpCosPiTest_q)
BEGIN
CASE leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_s IS
WHEN "00" => leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage0_uid196_alignedZ_uid59_fpCosPiTest_q;
WHEN "01" => leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage1Idx1_uid199_alignedZ_uid59_fpCosPiTest_q;
WHEN "10" => leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage1Idx2_uid202_alignedZ_uid59_fpCosPiTest_q;
WHEN "11" => leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage1Idx3_uid205_alignedZ_uid59_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest(BITSELECT,216)@8
leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_in <= r_uid185_lzcZ_uid58_fpCosPiTest_q(2 downto 0);
leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_b <= leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_in(2 downto 1);
--reg_leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_1(REG,371)@8
reg_leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_1_q <= "00";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_1_q <= leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_b;
END IF;
END PROCESS;
--leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest(MUX,217)@9
leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_s <= reg_leftShiftStageSel2Dto1_uid217_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_1_q;
leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest: PROCESS (leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_s, leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q, leftShiftStage2Idx1_uid210_alignedZ_uid59_fpCosPiTest_q, leftShiftStage2Idx2_uid213_alignedZ_uid59_fpCosPiTest_q, leftShiftStage2Idx3_uid216_alignedZ_uid59_fpCosPiTest_q)
BEGIN
CASE leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_s IS
WHEN "00" => leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage1_uid207_alignedZ_uid59_fpCosPiTest_q;
WHEN "01" => leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage2Idx1_uid210_alignedZ_uid59_fpCosPiTest_q;
WHEN "10" => leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage2Idx2_uid213_alignedZ_uid59_fpCosPiTest_q;
WHEN "11" => leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage2Idx3_uid216_alignedZ_uid59_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest(BITSELECT,221)@8
leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_in <= r_uid185_lzcZ_uid58_fpCosPiTest_q(0 downto 0);
leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_b <= leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_in(0 downto 0);
--reg_leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_1(REG,372)@8
reg_leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_1_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_1_q <= leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_b;
END IF;
END PROCESS;
--leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest(MUX,222)@9
leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_s <= reg_leftShiftStageSel0Dto0_uid222_alignedZ_uid59_fpCosPiTest_0_to_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_1_q;
leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest: PROCESS (leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_s, leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q, leftShiftStage3Idx1_uid221_alignedZ_uid59_fpCosPiTest_q)
BEGIN
CASE leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_s IS
WHEN "0" => leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage2_uid218_alignedZ_uid59_fpCosPiTest_q;
WHEN "1" => leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q <= leftShiftStage3Idx1_uid221_alignedZ_uid59_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_inputreg(DELAY,869)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 79, depth => 1 )
PORT MAP ( xin => leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q, xout => ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_inputreg_q, clk => clk, aclr => areset );
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_wrreg(REG,872)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_wrreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_wrreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_wrreg_q <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt(COUNTER,871)
-- every=1, low=0, high=22, step=1, init=1
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i = 21 THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_eq = '1') THEN
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i - 22;
ELSE
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_i,5));
--ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem(DUALMEM,870)
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_reset0 <= areset;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_ia <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_inputreg_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_aa <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_wrreg_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_ab <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_rdcnt_q;
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 79,
widthad_a => 5,
numwords_a => 23,
width_b => 79,
widthad_b => 5,
numwords_b => 23,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_enaAnd_q(0),
clocken0 => VCC_q(0),
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_iq,
address_a => ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_aa,
data_a => ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_ia
);
ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_q <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_iq(78 downto 0);
--alignedZLow_uid60_fpCosPiTest(BITSELECT,59)@34
alignedZLow_uid60_fpCosPiTest_in <= ld_leftShiftStage3_uid223_alignedZ_uid59_fpCosPiTest_q_to_alignedZLow_uid60_fpCosPiTest_a_replace_mem_q;
alignedZLow_uid60_fpCosPiTest_b <= alignedZLow_uid60_fpCosPiTest_in(78 downto 26);
--mul2xSinRes_uid68_fpCosPiTest_a_1(BITSELECT,315)@34
mul2xSinRes_uid68_fpCosPiTest_a_1_in <= STD_LOGIC_VECTOR("0" & alignedZLow_uid60_fpCosPiTest_b);
mul2xSinRes_uid68_fpCosPiTest_a_1_b <= mul2xSinRes_uid68_fpCosPiTest_a_1_in(53 downto 27);
--reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_0(REG,401)@34
reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_0_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_0_q <= mul2xSinRes_uid68_fpCosPiTest_a_1_b;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_a1_b1(MULT,321)@35
mul2xSinRes_uid68_fpCosPiTest_a1_b1_pr <= UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a1_b1_a) * UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a1_b1_b);
mul2xSinRes_uid68_fpCosPiTest_a1_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b1_a <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a1_b1_b <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a1_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b1_a <= reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_0_q;
mul2xSinRes_uid68_fpCosPiTest_a1_b1_b <= reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b1_1_q;
mul2xSinRes_uid68_fpCosPiTest_a1_b1_s1 <= STD_LOGIC_VECTOR(mul2xSinRes_uid68_fpCosPiTest_a1_b1_pr);
END IF;
END PROCESS;
mul2xSinRes_uid68_fpCosPiTest_a1_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b1_q <= mul2xSinRes_uid68_fpCosPiTest_a1_b1_s1;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b1(BITSELECT,328)@38
mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b1_in <= mul2xSinRes_uid68_fpCosPiTest_a1_b1_q(26 downto 0);
mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b1_b <= mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b1_in(26 downto 0);
--reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_1(REG,402)@34
reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_1_q <= mul2xSinRes_uid68_fpCosPiTest_b_1_b;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_a_0(BITSELECT,314)@34
mul2xSinRes_uid68_fpCosPiTest_a_0_in <= alignedZLow_uid60_fpCosPiTest_b(26 downto 0);
mul2xSinRes_uid68_fpCosPiTest_a_0_b <= mul2xSinRes_uid68_fpCosPiTest_a_0_in(26 downto 0);
--reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_0(REG,403)@34
reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_0_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_0_q <= mul2xSinRes_uid68_fpCosPiTest_a_0_b;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_a0_b1(MULT,320)@35
mul2xSinRes_uid68_fpCosPiTest_a0_b1_pr <= UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a0_b1_a) * UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a0_b1_b);
mul2xSinRes_uid68_fpCosPiTest_a0_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b1_a <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a0_b1_b <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a0_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b1_a <= reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_0_q;
mul2xSinRes_uid68_fpCosPiTest_a0_b1_b <= reg_mul2xSinRes_uid68_fpCosPiTest_b_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b1_1_q;
mul2xSinRes_uid68_fpCosPiTest_a0_b1_s1 <= STD_LOGIC_VECTOR(mul2xSinRes_uid68_fpCosPiTest_a0_b1_pr);
END IF;
END PROCESS;
mul2xSinRes_uid68_fpCosPiTest_a0_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b1_q <= mul2xSinRes_uid68_fpCosPiTest_a0_b1_s1;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b1(BITSELECT,326)@38
mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b1_in <= mul2xSinRes_uid68_fpCosPiTest_a0_b1_q(26 downto 0);
mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b1_b <= mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b1_in(26 downto 0);
--mul2xSinRes_uid68_fpCosPiTest_zero_36(CONSTANT,331)
mul2xSinRes_uid68_fpCosPiTest_zero_36_q <= "000000000000000000000000000";
--mul2xSinRes_uid68_fpCosPiTest_joined_BJ_2(BITJOIN,335)@38
mul2xSinRes_uid68_fpCosPiTest_joined_BJ_2_q <= mul2xSinRes_uid68_fpCosPiTest_zero_36_q & mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b1_b & mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b1_b & mul2xSinRes_uid68_fpCosPiTest_zero_36_q;
--mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b1(BITSELECT,327)@38
mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b1_in <= mul2xSinRes_uid68_fpCosPiTest_a0_b1_q;
mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b1_b <= mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b1_in(53 downto 27);
--mul2xSinRes_uid68_fpCosPiTest_b_0(BITSELECT,316)@34
mul2xSinRes_uid68_fpCosPiTest_b_0_in <= fxpSinRes_uid67_fpCosPiTest_b(26 downto 0);
mul2xSinRes_uid68_fpCosPiTest_b_0_b <= mul2xSinRes_uid68_fpCosPiTest_b_0_in(26 downto 0);
--reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_1(REG,398)@34
reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_1_q <= mul2xSinRes_uid68_fpCosPiTest_b_0_b;
END IF;
END PROCESS;
--reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_0(REG,399)@34
reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_0_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_0_q <= mul2xSinRes_uid68_fpCosPiTest_a_1_b;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_a1_b0(MULT,319)@35
mul2xSinRes_uid68_fpCosPiTest_a1_b0_pr <= UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a1_b0_a) * UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a1_b0_b);
mul2xSinRes_uid68_fpCosPiTest_a1_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b0_a <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a1_b0_b <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a1_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b0_a <= reg_mul2xSinRes_uid68_fpCosPiTest_a_1_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_0_q;
mul2xSinRes_uid68_fpCosPiTest_a1_b0_b <= reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a1_b0_1_q;
mul2xSinRes_uid68_fpCosPiTest_a1_b0_s1 <= STD_LOGIC_VECTOR(mul2xSinRes_uid68_fpCosPiTest_a1_b0_pr);
END IF;
END PROCESS;
mul2xSinRes_uid68_fpCosPiTest_a1_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a1_b0_q <= mul2xSinRes_uid68_fpCosPiTest_a1_b0_s1;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b0(BITSELECT,324)@38
mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b0_in <= mul2xSinRes_uid68_fpCosPiTest_a1_b0_q(26 downto 0);
mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b0_b <= mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b0_in(26 downto 0);
--mul2xSinRes_uid68_fpCosPiTest_joined_BJ_1(BITJOIN,334)@38
mul2xSinRes_uid68_fpCosPiTest_joined_BJ_1_q <= mul2xSinRes_uid68_fpCosPiTest_zero_36_q & mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b1_b & mul2xSinRes_uid68_fpCosPiTest_LSB_a1_b0_b & mul2xSinRes_uid68_fpCosPiTest_zero_36_q;
--mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC(LOGICAL,339)@38
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_a <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_1_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_b <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_2_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_q <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_a and mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_b;
--mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b1(BITSELECT,329)@38
mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b1_in <= mul2xSinRes_uid68_fpCosPiTest_a1_b1_q;
mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b1_b <= mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b1_in(53 downto 27);
--mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b0(BITSELECT,325)@38
mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b0_in <= mul2xSinRes_uid68_fpCosPiTest_a1_b0_q;
mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b0_b <= mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b0_in(53 downto 27);
--reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_1(REG,396)@34
reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_1_q <= mul2xSinRes_uid68_fpCosPiTest_b_0_b;
END IF;
END PROCESS;
--reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_0(REG,397)@34
reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_0_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_0_q <= mul2xSinRes_uid68_fpCosPiTest_a_0_b;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_a0_b0(MULT,318)@35
mul2xSinRes_uid68_fpCosPiTest_a0_b0_pr <= UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a0_b0_a) * UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a0_b0_b);
mul2xSinRes_uid68_fpCosPiTest_a0_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b0_a <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a0_b0_b <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_a0_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b0_a <= reg_mul2xSinRes_uid68_fpCosPiTest_a_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_0_q;
mul2xSinRes_uid68_fpCosPiTest_a0_b0_b <= reg_mul2xSinRes_uid68_fpCosPiTest_b_0_0_to_mul2xSinRes_uid68_fpCosPiTest_a0_b0_1_q;
mul2xSinRes_uid68_fpCosPiTest_a0_b0_s1 <= STD_LOGIC_VECTOR(mul2xSinRes_uid68_fpCosPiTest_a0_b0_pr);
END IF;
END PROCESS;
mul2xSinRes_uid68_fpCosPiTest_a0_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a0_b0_q <= mul2xSinRes_uid68_fpCosPiTest_a0_b0_s1;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b0(BITSELECT,323)@38
mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b0_in <= mul2xSinRes_uid68_fpCosPiTest_a0_b0_q;
mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b0_b <= mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b0_in(53 downto 27);
--mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b0(BITSELECT,322)@38
mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b0_in <= mul2xSinRes_uid68_fpCosPiTest_a0_b0_q(26 downto 0);
mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b0_b <= mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b0_in(26 downto 0);
--mul2xSinRes_uid68_fpCosPiTest_joined_BJ_0(BITJOIN,333)@38
mul2xSinRes_uid68_fpCosPiTest_joined_BJ_0_q <= mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b1_b & mul2xSinRes_uid68_fpCosPiTest_MSB_a1_b0_b & mul2xSinRes_uid68_fpCosPiTest_MSB_a0_b0_b & mul2xSinRes_uid68_fpCosPiTest_LSB_a0_b0_b;
--mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC(LOGICAL,338)@38
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_a <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_0_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_b <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_2_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_q <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_a and mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_b;
--mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB(LOGICAL,337)@38
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_a <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_0_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_b <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_1_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_q <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_a and mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_b;
--mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne(LOGICAL,340)@38
mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_a <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAB_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_b <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_andAC_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_c <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_andBC_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_q <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_a or mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_b or mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_c;
--mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_lsb_BS(BITSELECT,341)@38
mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_lsb_BS_in <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_orOne_q(106 downto 0);
mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_lsb_BS_b <= mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_lsb_BS_in(106 downto 0);
--mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_BJ(BITJOIN,342)@38
mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_BJ_q <= mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_lsb_BS_b & GND_q;
--mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_b(BITJOIN,349)@38
mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_b_q <= GND_q & mul2xSinRes_uid68_fpCosPiTest_comp_0_out1_BJ_q;
--mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b(BITSELECT,352)@38
mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_in <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_b_q;
mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_b <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_in(88 downto 0);
mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_in(108 downto 89);
--mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne(LOGICAL,336)@38
mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_a <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_0_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_b <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_1_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_c <= mul2xSinRes_uid68_fpCosPiTest_joined_BJ_2_q;
mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_q <= mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_a xor mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_b xor mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_c;
--mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_a(BITJOIN,347)@38
mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_a_q <= GND_q & mul2xSinRes_uid68_fpCosPiTest_32COMP0_xorOne_q;
--mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a(BITSELECT,351)@38
mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_in <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitExpansion_for_a_q;
mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_b <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_in(88 downto 0);
mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_in(108 downto 89);
--mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2(ADD,353)@38
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_cin <= GND_q;
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_a <= STD_LOGIC_VECTOR("0" & mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_b) & '1';
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_b <= STD_LOGIC_VECTOR("0" & mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_b) & mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_cin(0);
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_o <= STD_LOGIC_VECTOR(UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_a) + UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_b));
END IF;
END PROCESS;
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_c(0) <= mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_o(90);
mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q <= mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_o(89 downto 1);
--ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b(DELAY,788)@38
ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b : dspba_delay
GENERIC MAP ( width => 20, depth => 1 )
PORT MAP ( xin => mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c, xout => ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b_q, clk => clk, aclr => areset );
--ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a(DELAY,787)@38
ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a : dspba_delay
GENERIC MAP ( width => 20, depth => 1 )
PORT MAP ( xin => mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c, xout => ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a_q, clk => clk, aclr => areset );
--mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2(ADD,354)@39
mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_cin <= mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_c;
mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a <= STD_LOGIC_VECTOR("0" & ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_a_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a_q) & '1';
mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b <= STD_LOGIC_VECTOR("0" & ld_mul2xSinRes_uid68_fpCosPiTest_ADD_BitSelect_for_b_c_to_mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b_q) & mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_cin(0);
mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_o <= STD_LOGIC_VECTOR(UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_a) + UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_b));
END IF;
END PROCESS;
mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_q <= mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_o(20 downto 1);
--ld_mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q_to_mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_a(DELAY,790)@39
ld_mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q_to_mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_a : dspba_delay
GENERIC MAP ( width => 89, depth => 1 )
PORT MAP ( xin => mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q, xout => ld_mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q_to_mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_a_q, clk => clk, aclr => areset );
--mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q(BITJOIN,355)@40
mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_q <= mul2xSinRes_uid68_fpCosPiTest_ADD_p2_of_2_q & ld_mul2xSinRes_uid68_fpCosPiTest_ADD_p1_of_2_q_to_mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_a_q;
--normBit_uid69_fpCosPiTest(BITSELECT,68)@40
normBit_uid69_fpCosPiTest_in <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_q(106 downto 0);
normBit_uid69_fpCosPiTest_b <= normBit_uid69_fpCosPiTest_in(106 downto 106);
--ld_normBit_uid69_fpCosPiTest_b_to_rndExpUpdate_uid74_uid75_fpCosPiTest_c(DELAY,462)@40
ld_normBit_uid69_fpCosPiTest_b_to_rndExpUpdate_uid74_uid75_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => normBit_uid69_fpCosPiTest_b, xout => ld_normBit_uid69_fpCosPiTest_b_to_rndExpUpdate_uid74_uid75_fpCosPiTest_c_q, clk => clk, aclr => areset );
--cstAllZWF_uid9_fpCosPiTest(CONSTANT,8)
cstAllZWF_uid9_fpCosPiTest_q <= "0000000000000000000000000000000000000000000000000000";
--rndExpUpdate_uid74_uid75_fpCosPiTest(BITJOIN,74)@41
rndExpUpdate_uid74_uid75_fpCosPiTest_q <= ld_normBit_uid69_fpCosPiTest_b_to_rndExpUpdate_uid74_uid75_fpCosPiTest_c_q & cstAllZWF_uid9_fpCosPiTest_q & VCC_q;
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor(LOGICAL,890)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_b <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_q <= not (ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_a or ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_b);
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top(CONSTANT,886)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top_q <= "011100";
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp(LOGICAL,887)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_a <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q);
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_q <= "1" when ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_a = ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_b else "0";
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg(REG,888)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_q;
END IF;
END PROCESS;
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena(REG,891)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_q = "1") THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd(LOGICAL,892)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_a <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_b <= VCC_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_q <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_a and ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_b;
--reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1(REG,404)@8
reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q <= "0000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q <= r_uid185_lzcZ_uid58_fpCosPiTest_q;
END IF;
END PROCESS;
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt(COUNTER,882)
-- every=1, low=0, high=28, step=1, init=1
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i = 27 THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i - 28;
ELSE
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i,5));
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg(REG,883)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux(MUX,884)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s <= VCC_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux: PROCESS (ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s, ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q, ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q;
WHEN "1" => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem(DUALMEM,881)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ia <= reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_aa <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ab <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q;
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 5,
numwords_a => 29,
width_b => 7,
widthad_b => 5,
numwords_b => 29,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_iq,
address_a => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_aa,
data_a => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ia
);
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_q <= ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_iq(6 downto 0);
--ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_outputreg(DELAY,880)
ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_outputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_q, xout => ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_outputreg_q, clk => clk, aclr => areset );
--cstBiasM1_uid11_fpCosPiTest(CONSTANT,10)
cstBiasM1_uid11_fpCosPiTest_q <= "01111111110";
--expHardCase_uid61_fpCosPiTest(SUB,60)@40
expHardCase_uid61_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & cstBiasM1_uid11_fpCosPiTest_q);
expHardCase_uid61_fpCosPiTest_b <= STD_LOGIC_VECTOR("00000" & ld_reg_r_uid185_lzcZ_uid58_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_outputreg_q);
expHardCase_uid61_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expHardCase_uid61_fpCosPiTest_a) - UNSIGNED(expHardCase_uid61_fpCosPiTest_b));
expHardCase_uid61_fpCosPiTest_q <= expHardCase_uid61_fpCosPiTest_o(11 downto 0);
--expP_uid62_fpCosPiTest(BITSELECT,61)@40
expP_uid62_fpCosPiTest_in <= expHardCase_uid61_fpCosPiTest_q(10 downto 0);
expP_uid62_fpCosPiTest_b <= expP_uid62_fpCosPiTest_in(10 downto 0);
--highRes_uid70_fpCosPiTest(BITSELECT,69)@40
highRes_uid70_fpCosPiTest_in <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_q(105 downto 0);
highRes_uid70_fpCosPiTest_b <= highRes_uid70_fpCosPiTest_in(105 downto 53);
--lowRes_uid71_fpCosPiTest(BITSELECT,70)@40
lowRes_uid71_fpCosPiTest_in <= mul2xSinRes_uid68_fpCosPiTest_ADD_BitJoin_for_q_q(104 downto 0);
lowRes_uid71_fpCosPiTest_b <= lowRes_uid71_fpCosPiTest_in(104 downto 52);
--fracRCompPreRnd_uid72_fpCosPiTest(MUX,71)@40
fracRCompPreRnd_uid72_fpCosPiTest_s <= normBit_uid69_fpCosPiTest_b;
fracRCompPreRnd_uid72_fpCosPiTest: PROCESS (fracRCompPreRnd_uid72_fpCosPiTest_s, lowRes_uid71_fpCosPiTest_b, highRes_uid70_fpCosPiTest_b)
BEGIN
CASE fracRCompPreRnd_uid72_fpCosPiTest_s IS
WHEN "0" => fracRCompPreRnd_uid72_fpCosPiTest_q <= lowRes_uid71_fpCosPiTest_b;
WHEN "1" => fracRCompPreRnd_uid72_fpCosPiTest_q <= highRes_uid70_fpCosPiTest_b;
WHEN OTHERS => fracRCompPreRnd_uid72_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--expFracPreRnd_uid73_uid73_fpCosPiTest(BITJOIN,72)@40
expFracPreRnd_uid73_uid73_fpCosPiTest_q <= expP_uid62_fpCosPiTest_b & fracRCompPreRnd_uid72_fpCosPiTest_q;
--reg_expFracPreRnd_uid73_uid73_fpCosPiTest_0_to_expFracComp_uid76_fpCosPiTest_0(REG,405)@40
reg_expFracPreRnd_uid73_uid73_fpCosPiTest_0_to_expFracComp_uid76_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expFracPreRnd_uid73_uid73_fpCosPiTest_0_to_expFracComp_uid76_fpCosPiTest_0_q <= "0000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_expFracPreRnd_uid73_uid73_fpCosPiTest_0_to_expFracComp_uid76_fpCosPiTest_0_q <= expFracPreRnd_uid73_uid73_fpCosPiTest_q;
END IF;
END PROCESS;
--expFracComp_uid76_fpCosPiTest(ADD,75)@41
expFracComp_uid76_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expFracPreRnd_uid73_uid73_fpCosPiTest_0_to_expFracComp_uid76_fpCosPiTest_0_q);
expFracComp_uid76_fpCosPiTest_b <= STD_LOGIC_VECTOR("00000000000" & rndExpUpdate_uid74_uid75_fpCosPiTest_q);
expFracComp_uid76_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracComp_uid76_fpCosPiTest_a) + UNSIGNED(expFracComp_uid76_fpCosPiTest_b));
expFracComp_uid76_fpCosPiTest_q <= expFracComp_uid76_fpCosPiTest_o(64 downto 0);
--expRComp_uid78_fpCosPiTest(BITSELECT,77)@41
expRComp_uid78_fpCosPiTest_in <= expFracComp_uid76_fpCosPiTest_q(63 downto 0);
expRComp_uid78_fpCosPiTest_b <= expRComp_uid78_fpCosPiTest_in(63 downto 53);
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor(LOGICAL,929)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_b <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_sticky_ena_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_q <= not (ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_a or ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_b);
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_mem_top(CONSTANT,925)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_mem_top_q <= "0100100";
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp(LOGICAL,926)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_a <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_mem_top_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_q);
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_q <= "1" when ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_a = ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_b else "0";
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmpReg(REG,927)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmpReg_q <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmp_q;
END IF;
END PROCESS;
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_sticky_ena(REG,930)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_nor_q = "1") THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_sticky_ena_q <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd(LOGICAL,931)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_a <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_sticky_ena_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_b <= VCC_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_q <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_a and ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_b;
--fracXIsZero_uid28_fpCosPiTest(LOGICAL,27)@0
fracXIsZero_uid28_fpCosPiTest_a <= fracX_uid7_fpCosPiTest_b;
fracXIsZero_uid28_fpCosPiTest_b <= cstAllZWF_uid9_fpCosPiTest_q;
fracXIsZero_uid28_fpCosPiTest_q <= "1" when fracXIsZero_uid28_fpCosPiTest_a = fracXIsZero_uid28_fpCosPiTest_b else "0";
--InvFracXIsZero_uid30_fpCosPiTest(LOGICAL,29)@0
InvFracXIsZero_uid30_fpCosPiTest_a <= fracXIsZero_uid28_fpCosPiTest_q;
InvFracXIsZero_uid30_fpCosPiTest_q <= not InvFracXIsZero_uid30_fpCosPiTest_a;
--expXIsMax_uid26_fpCosPiTest(LOGICAL,25)@0
expXIsMax_uid26_fpCosPiTest_a <= expX_uid6_fpCosPiTest_b;
expXIsMax_uid26_fpCosPiTest_b <= cstAllOWE_uid8_fpCosPiTest_q;
expXIsMax_uid26_fpCosPiTest_q <= "1" when expXIsMax_uid26_fpCosPiTest_a = expXIsMax_uid26_fpCosPiTest_b else "0";
--And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest(LOGICAL,30)@0
And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_a <= expXIsMax_uid26_fpCosPiTest_q;
And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_b <= InvFracXIsZero_uid30_fpCosPiTest_q;
And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_q <= And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_a and And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_b;
--InvAnd2ExpXIsMaxInvFracXIsZero_uid32_fpCosPiTest(LOGICAL,31)@0
InvAnd2ExpXIsMaxInvFracXIsZero_uid32_fpCosPiTest_a <= And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_q;
InvAnd2ExpXIsMaxInvFracXIsZero_uid32_fpCosPiTest_q <= not InvAnd2ExpXIsMaxInvFracXIsZero_uid32_fpCosPiTest_a;
--And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest(LOGICAL,28)@0
And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_a <= expXIsMax_uid26_fpCosPiTest_q;
And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_b <= fracXIsZero_uid28_fpCosPiTest_q;
And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_q <= And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_a and And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_b;
--InvAnd2ExpXIsMaxFracXIsZero_uid33_fpCosPiTest(LOGICAL,32)@0
InvAnd2ExpXIsMaxFracXIsZero_uid33_fpCosPiTest_a <= And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_q;
InvAnd2ExpXIsMaxFracXIsZero_uid33_fpCosPiTest_q <= not InvAnd2ExpXIsMaxFracXIsZero_uid33_fpCosPiTest_a;
--expXIsZero_uid24_fpCosPiTest(LOGICAL,23)@0
expXIsZero_uid24_fpCosPiTest_a <= expX_uid6_fpCosPiTest_b;
expXIsZero_uid24_fpCosPiTest_b <= cstAllZWE_uid22_fpCosPiTest_q;
expXIsZero_uid24_fpCosPiTest_q <= "1" when expXIsZero_uid24_fpCosPiTest_a = expXIsZero_uid24_fpCosPiTest_b else "0";
--InvExpXIsZero_uid34_fpCosPiTest(LOGICAL,33)@0
InvExpXIsZero_uid34_fpCosPiTest_a <= expXIsZero_uid24_fpCosPiTest_q;
InvExpXIsZero_uid34_fpCosPiTest_q <= not InvExpXIsZero_uid34_fpCosPiTest_a;
--And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest(LOGICAL,34)@0
And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_a <= InvExpXIsZero_uid34_fpCosPiTest_q;
And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_b <= InvAnd2ExpXIsMaxFracXIsZero_uid33_fpCosPiTest_q;
And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_q <= And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_a and And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_b;
--And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest(LOGICAL,35)@0
And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_a <= And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZero_uid35_fpCosPiTest_q;
And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_b <= InvAnd2ExpXIsMaxInvFracXIsZero_uid32_fpCosPiTest_q;
And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q <= And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_a and And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_b;
END IF;
END PROCESS;
--ld_And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q_to_xIsInt_uid82_fpCosPiTest_a(DELAY,472)@1
ld_And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q_to_xIsInt_uid82_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q, xout => ld_And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q_to_xIsInt_uid82_fpCosPiTest_a_q, clk => clk, aclr => areset );
--xIsHalf_uid85_fpCosPiTest(LOGICAL,84)@2
xIsHalf_uid85_fpCosPiTest_a <= ld_And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q_to_xIsInt_uid82_fpCosPiTest_a_q;
xIsHalf_uid85_fpCosPiTest_b <= fxpXFracHalf_uid48_fpCosPiTest_q;
xIsHalf_uid85_fpCosPiTest_c <= InvCosXIsOne_uid79_fpCosPiTest_q;
xIsHalf_uid85_fpCosPiTest_d <= InvXEvenInt_uid83_fpCosPiTest_q;
xIsHalf_uid85_fpCosPiTest_q <= xIsHalf_uid85_fpCosPiTest_a and xIsHalf_uid85_fpCosPiTest_b and xIsHalf_uid85_fpCosPiTest_c and xIsHalf_uid85_fpCosPiTest_d;
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_inputreg(DELAY,919)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => xIsHalf_uid85_fpCosPiTest_q, xout => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_inputreg_q, clk => clk, aclr => areset );
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt(COUNTER,921)
-- every=1, low=0, high=36, step=1, init=1
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i = 35 THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i - 36;
ELSE
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_i,6));
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg(REG,922)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg_q <= "000000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg_q <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux(MUX,923)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_s <= VCC_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux: PROCESS (ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_s, ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg_q, ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_q <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg_q;
WHEN "1" => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_q <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem(DUALMEM,920)
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_ia <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_inputreg_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_aa <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdreg_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_ab <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_rdmux_q;
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 37,
width_b => 1,
widthad_b => 6,
numwords_b => 37,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_iq,
address_a => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_aa,
data_a => ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_ia
);
ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_q <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_iq(0 downto 0);
--expRPostExc1_uid93_fpCosPiTest(MUX,92)@41
expRPostExc1_uid93_fpCosPiTest_s <= ld_xIsHalf_uid85_fpCosPiTest_q_to_expRPostExc1_uid93_fpCosPiTest_b_replace_mem_q;
expRPostExc1_uid93_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRPostExc1_uid93_fpCosPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
CASE expRPostExc1_uid93_fpCosPiTest_s IS
WHEN "0" => expRPostExc1_uid93_fpCosPiTest_q <= expRComp_uid78_fpCosPiTest_b;
WHEN "1" => expRPostExc1_uid93_fpCosPiTest_q <= cstAllZWE_uid22_fpCosPiTest_q;
WHEN OTHERS => expRPostExc1_uid93_fpCosPiTest_q <= (others => '0');
END CASE;
END IF;
END PROCESS;
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor(LOGICAL,1105)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_b <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_sticky_ena_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_q <= not (ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_a or ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_b);
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_mem_top(CONSTANT,1101)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_mem_top_q <= "0100011";
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp(LOGICAL,1102)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_a <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_mem_top_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_q);
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_q <= "1" when ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_a = ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_b else "0";
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmpReg(REG,1103)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmpReg_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmp_q;
END IF;
END PROCESS;
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_sticky_ena(REG,1106)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_nor_q = "1") THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_sticky_ena_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd(LOGICAL,1107)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_a <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_sticky_ena_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_b <= VCC_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_a and ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_b;
--ld_cosXIsOne_uid38_fpCosPiTest_c_to_or_uid87_fpCosPiTest_a(DELAY,481)@0
ld_cosXIsOne_uid38_fpCosPiTest_c_to_or_uid87_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => cosXIsOne_uid38_fpCosPiTest_c, xout => ld_cosXIsOne_uid38_fpCosPiTest_c_to_or_uid87_fpCosPiTest_a_q, clk => clk, aclr => areset );
--ld_expXIsZero_uid24_fpCosPiTest_q_to_or_uid87_fpCosPiTest_d(DELAY,484)@0
ld_expXIsZero_uid24_fpCosPiTest_q_to_or_uid87_fpCosPiTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => expXIsZero_uid24_fpCosPiTest_q, xout => ld_expXIsZero_uid24_fpCosPiTest_q_to_or_uid87_fpCosPiTest_d_q, clk => clk, aclr => areset );
--reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracZero_uid47_fpCosPiTest_0(REG,358)@1
reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracZero_uid47_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracZero_uid47_fpCosPiTest_0_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracZero_uid47_fpCosPiTest_0_q <= fxpXFrac_uid45_fpCosPiTest_b;
END IF;
END PROCESS;
--fxpXFracZero_uid47_fpCosPiTest(LOGICAL,46)@2
fxpXFracZero_uid47_fpCosPiTest_a <= reg_fxpXFrac_uid45_fpCosPiTest_0_to_fxpXFracZero_uid47_fpCosPiTest_0_q;
fxpXFracZero_uid47_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & cstZwSwF_uid16_fpCosPiTest_q);
fxpXFracZero_uid47_fpCosPiTest_q <= "1" when fxpXFracZero_uid47_fpCosPiTest_a = fxpXFracZero_uid47_fpCosPiTest_b else "0";
--And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest(LOGICAL,79)@2
And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_a <= fxpXFracZero_uid47_fpCosPiTest_q;
And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_b <= InvCosXIsOne_uid79_fpCosPiTest_q;
And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_q <= And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_a and And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_b;
--Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest(LOGICAL,80)@2
Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a <= ld_xEvenInt_uid37_fpCosPiTest_c_to_Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a_q;
Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_b <= And2FxpXFracZeroInvCosXIsOne_uid80_fpCosPiTest_q;
Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_q <= Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_a or Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_b;
--xIsInt_uid82_fpCosPiTest(LOGICAL,81)@2
xIsInt_uid82_fpCosPiTest_a <= ld_And2And2InvExpXIsZeroInvAnd2ExpXIsMaxFracXIsZeroInvAnd2ExpXIsMax_uid36_fpCosPiTest_q_to_xIsInt_uid82_fpCosPiTest_a_q;
xIsInt_uid82_fpCosPiTest_b <= Or2XEvenIntAnd2FxpXFracZeroInvCosXIsOne_uid81_fpCosPiTest_q;
xIsInt_uid82_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
xIsInt_uid82_fpCosPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
xIsInt_uid82_fpCosPiTest_q <= xIsInt_uid82_fpCosPiTest_a and xIsInt_uid82_fpCosPiTest_b;
END IF;
END PROCESS;
--or_uid95_fpCosPiTest(LOGICAL,94)@3
or_uid95_fpCosPiTest_a <= xIsInt_uid82_fpCosPiTest_q;
or_uid95_fpCosPiTest_b <= ld_expXIsZero_uid24_fpCosPiTest_q_to_or_uid87_fpCosPiTest_d_q;
or_uid95_fpCosPiTest_c <= ld_cosXIsOne_uid38_fpCosPiTest_c_to_or_uid87_fpCosPiTest_a_q;
or_uid95_fpCosPiTest_q <= or_uid95_fpCosPiTest_a or or_uid95_fpCosPiTest_b or or_uid95_fpCosPiTest_c;
--excRNaN_uid86_fpCosPiTest(LOGICAL,85)@0
excRNaN_uid86_fpCosPiTest_a <= And2ExpXIsMaxInvFracXIsZero_uid31_fpCosPiTest_q;
excRNaN_uid86_fpCosPiTest_b <= And2ExpXIsMaxFracXIsZero_uid29_fpCosPiTest_q;
excRNaN_uid86_fpCosPiTest_q <= excRNaN_uid86_fpCosPiTest_a or excRNaN_uid86_fpCosPiTest_b;
--Or2ZeroExcRNaN_uid94_fpCosPiTest(LOGICAL,93)@0
Or2ZeroExcRNaN_uid94_fpCosPiTest_a <= GND_q;
Or2ZeroExcRNaN_uid94_fpCosPiTest_b <= excRNaN_uid86_fpCosPiTest_q;
Or2ZeroExcRNaN_uid94_fpCosPiTest_q <= Or2ZeroExcRNaN_uid94_fpCosPiTest_a or Or2ZeroExcRNaN_uid94_fpCosPiTest_b;
--ld_Or2ZeroExcRNaN_uid94_fpCosPiTest_q_to_join_uid96_fpCosPiTest_a(DELAY,496)@0
ld_Or2ZeroExcRNaN_uid94_fpCosPiTest_q_to_join_uid96_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => Or2ZeroExcRNaN_uid94_fpCosPiTest_q, xout => ld_Or2ZeroExcRNaN_uid94_fpCosPiTest_q_to_join_uid96_fpCosPiTest_a_q, clk => clk, aclr => areset );
--join_uid96_fpCosPiTest(BITJOIN,95)@3
join_uid96_fpCosPiTest_q <= or_uid95_fpCosPiTest_q & ld_Or2ZeroExcRNaN_uid94_fpCosPiTest_q_to_join_uid96_fpCosPiTest_a_q;
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt(COUNTER,1097)
-- every=1, low=0, high=35, step=1, init=1
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i = 34 THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_eq <= '1';
ELSE
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_eq = '1') THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i - 35;
ELSE
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_i,6));
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg(REG,1098)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg_q <= "000000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux(MUX,1099)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_s <= VCC_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux: PROCESS (ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_s, ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg_q, ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_q)
BEGIN
CASE ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_s IS
WHEN "0" => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg_q;
WHEN "1" => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdcnt_q;
WHEN OTHERS => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem(DUALMEM,1096)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_reset0 <= areset;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_ia <= join_uid96_fpCosPiTest_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_aa <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdreg_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_ab <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_rdmux_q;
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 6,
numwords_a => 36,
width_b => 2,
widthad_b => 6,
numwords_b => 36,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_iq,
address_a => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_aa,
data_a => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_ia
);
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_iq(1 downto 0);
--ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_outputreg(DELAY,1095)
ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_outputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_replace_mem_q, xout => ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_outputreg_q, clk => clk, aclr => areset );
--reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1(REG,406)@41
reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_q <= "00";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_q <= ld_join_uid96_fpCosPiTest_q_to_reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_a_outputreg_q;
END IF;
END PROCESS;
--expRPostExc_uid97_fpCosPiTest(MUX,96)@42
expRPostExc_uid97_fpCosPiTest_s <= reg_join_uid96_fpCosPiTest_0_to_expRPostExc_uid97_fpCosPiTest_1_q;
expRPostExc_uid97_fpCosPiTest: PROCESS (expRPostExc_uid97_fpCosPiTest_s, expRPostExc1_uid93_fpCosPiTest_q)
BEGIN
CASE expRPostExc_uid97_fpCosPiTest_s IS
WHEN "00" => expRPostExc_uid97_fpCosPiTest_q <= expRPostExc1_uid93_fpCosPiTest_q;
WHEN "01" => expRPostExc_uid97_fpCosPiTest_q <= cstAllOWE_uid8_fpCosPiTest_q;
WHEN "10" => expRPostExc_uid97_fpCosPiTest_q <= cstBias_uid10_fpCosPiTest_q;
WHEN "11" => expRPostExc_uid97_fpCosPiTest_q <= cstBias_uid10_fpCosPiTest_q;
WHEN OTHERS => expRPostExc_uid97_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--oneFracRPostExc2_uid89_fpCosPiTest(CONSTANT,88)
oneFracRPostExc2_uid89_fpCosPiTest_q <= "0000000000000000000000000000000000000000000000000001";
--fracRComp_uid77_fpCosPiTest(BITSELECT,76)@41
fracRComp_uid77_fpCosPiTest_in <= expFracComp_uid76_fpCosPiTest_q(52 downto 0);
fracRComp_uid77_fpCosPiTest_b <= fracRComp_uid77_fpCosPiTest_in(52 downto 1);
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor(LOGICAL,903)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_b <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_sticky_ena_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_q <= not (ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_a or ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_b);
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_mem_top(CONSTANT,899)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_mem_top_q <= "0100010";
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp(LOGICAL,900)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_a <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_mem_top_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_q);
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_q <= "1" when ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_a = ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_b else "0";
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmpReg(REG,901)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmpReg_q <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmp_q;
END IF;
END PROCESS;
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_sticky_ena(REG,904)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_nor_q = "1") THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_sticky_ena_q <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd(LOGICAL,905)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_a <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_sticky_ena_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_b <= VCC_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_q <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_a and ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_b;
--reg_xIsHalf_uid85_fpCosPiTest_0_to_or_uid87_fpCosPiTest_4(REG,360)@2
reg_xIsHalf_uid85_fpCosPiTest_0_to_or_uid87_fpCosPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xIsHalf_uid85_fpCosPiTest_0_to_or_uid87_fpCosPiTest_4_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_xIsHalf_uid85_fpCosPiTest_0_to_or_uid87_fpCosPiTest_4_q <= xIsHalf_uid85_fpCosPiTest_q;
END IF;
END PROCESS;
--ld_fxpXFracHalf_uid48_fpCosPiTest_q_to_or_uid87_fpCosPiTest_c(DELAY,483)@2
ld_fxpXFracHalf_uid48_fpCosPiTest_q_to_or_uid87_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => fxpXFracHalf_uid48_fpCosPiTest_q, xout => ld_fxpXFracHalf_uid48_fpCosPiTest_q_to_or_uid87_fpCosPiTest_c_q, clk => clk, aclr => areset );
--or_uid87_fpCosPiTest(LOGICAL,86)@3
or_uid87_fpCosPiTest_a <= ld_cosXIsOne_uid38_fpCosPiTest_c_to_or_uid87_fpCosPiTest_a_q;
or_uid87_fpCosPiTest_b <= xIsInt_uid82_fpCosPiTest_q;
or_uid87_fpCosPiTest_c <= ld_fxpXFracHalf_uid48_fpCosPiTest_q_to_or_uid87_fpCosPiTest_c_q;
or_uid87_fpCosPiTest_d <= ld_expXIsZero_uid24_fpCosPiTest_q_to_or_uid87_fpCosPiTest_d_q;
or_uid87_fpCosPiTest_e <= reg_xIsHalf_uid85_fpCosPiTest_0_to_or_uid87_fpCosPiTest_4_q;
or_uid87_fpCosPiTest_f <= GND_q;
or_uid87_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
or_uid87_fpCosPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
or_uid87_fpCosPiTest_q <= or_uid87_fpCosPiTest_a or or_uid87_fpCosPiTest_b or or_uid87_fpCosPiTest_c or or_uid87_fpCosPiTest_d or or_uid87_fpCosPiTest_e or or_uid87_fpCosPiTest_f;
END IF;
END PROCESS;
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt(COUNTER,895)
-- every=1, low=0, high=34, step=1, init=1
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i = 33 THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i - 34;
ELSE
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_i,6));
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg(REG,896)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg_q <= "000000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg_q <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux(MUX,897)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_s <= VCC_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux: PROCESS (ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_s, ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg_q, ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_q <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg_q;
WHEN "1" => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_q <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem(DUALMEM,894)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_ia <= or_uid87_fpCosPiTest_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_aa <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdreg_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_ab <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_rdmux_q;
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 35,
width_b => 1,
widthad_b => 6,
numwords_b => 35,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_iq,
address_a => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_aa,
data_a => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_ia
);
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_q <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_iq(0 downto 0);
--ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_outputreg(DELAY,893)
ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_outputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_replace_mem_q, xout => ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_outputreg_q, clk => clk, aclr => areset );
--fracRPostExc1_uid88_fpCosPiTest(MUX,87)@41
fracRPostExc1_uid88_fpCosPiTest_s <= ld_or_uid87_fpCosPiTest_q_to_fracRPostExc1_uid88_fpCosPiTest_b_outputreg_q;
fracRPostExc1_uid88_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
fracRPostExc1_uid88_fpCosPiTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
CASE fracRPostExc1_uid88_fpCosPiTest_s IS
WHEN "0" => fracRPostExc1_uid88_fpCosPiTest_q <= fracRComp_uid77_fpCosPiTest_b;
WHEN "1" => fracRPostExc1_uid88_fpCosPiTest_q <= cstAllZWF_uid9_fpCosPiTest_q;
WHEN OTHERS => fracRPostExc1_uid88_fpCosPiTest_q <= (others => '0');
END CASE;
END IF;
END PROCESS;
--ld_xIn_v_to_xOut_v_nor(LOGICAL,853)
ld_xIn_v_to_xOut_v_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_xIn_v_to_xOut_v_nor_b <= ld_xIn_v_to_xOut_v_sticky_ena_q;
ld_xIn_v_to_xOut_v_nor_q <= not (ld_xIn_v_to_xOut_v_nor_a or ld_xIn_v_to_xOut_v_nor_b);
--ld_xIn_v_to_xOut_v_mem_top(CONSTANT,849)
ld_xIn_v_to_xOut_v_mem_top_q <= "0100111";
--ld_xIn_v_to_xOut_v_cmp(LOGICAL,850)
ld_xIn_v_to_xOut_v_cmp_a <= ld_xIn_v_to_xOut_v_mem_top_q;
ld_xIn_v_to_xOut_v_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xIn_v_to_xOut_v_replace_rdmux_q);
ld_xIn_v_to_xOut_v_cmp_q <= "1" when ld_xIn_v_to_xOut_v_cmp_a = ld_xIn_v_to_xOut_v_cmp_b else "0";
--ld_xIn_v_to_xOut_v_cmpReg(REG,851)
ld_xIn_v_to_xOut_v_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xIn_v_to_xOut_v_cmpReg_q <= ld_xIn_v_to_xOut_v_cmp_q;
END IF;
END PROCESS;
--ld_xIn_v_to_xOut_v_sticky_ena(REG,854)
ld_xIn_v_to_xOut_v_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_xIn_v_to_xOut_v_nor_q = "1") THEN
ld_xIn_v_to_xOut_v_sticky_ena_q <= ld_xIn_v_to_xOut_v_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xIn_v_to_xOut_v_enaAnd(LOGICAL,855)
ld_xIn_v_to_xOut_v_enaAnd_a <= ld_xIn_v_to_xOut_v_sticky_ena_q;
ld_xIn_v_to_xOut_v_enaAnd_b <= VCC_q;
ld_xIn_v_to_xOut_v_enaAnd_q <= ld_xIn_v_to_xOut_v_enaAnd_a and ld_xIn_v_to_xOut_v_enaAnd_b;
--ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_inputreg(DELAY,906)
ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => excRNaN_uid86_fpCosPiTest_q, xout => ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_inputreg_q, clk => clk, aclr => areset );
--ld_xIn_v_to_xOut_v_replace_rdcnt(COUNTER,845)
-- every=1, low=0, high=39, step=1, init=1
ld_xIn_v_to_xOut_v_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_xIn_v_to_xOut_v_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_xIn_v_to_xOut_v_replace_rdcnt_i = 38 THEN
ld_xIn_v_to_xOut_v_replace_rdcnt_eq <= '1';
ELSE
ld_xIn_v_to_xOut_v_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xIn_v_to_xOut_v_replace_rdcnt_eq = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdcnt_i <= ld_xIn_v_to_xOut_v_replace_rdcnt_i - 39;
ELSE
ld_xIn_v_to_xOut_v_replace_rdcnt_i <= ld_xIn_v_to_xOut_v_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_xIn_v_to_xOut_v_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xIn_v_to_xOut_v_replace_rdcnt_i,6));
--ld_xIn_v_to_xOut_v_replace_rdreg(REG,846)
ld_xIn_v_to_xOut_v_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdreg_q <= "000000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdreg_q <= ld_xIn_v_to_xOut_v_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_xIn_v_to_xOut_v_replace_rdmux(MUX,847)
ld_xIn_v_to_xOut_v_replace_rdmux_s <= VCC_q;
ld_xIn_v_to_xOut_v_replace_rdmux: PROCESS (ld_xIn_v_to_xOut_v_replace_rdmux_s, ld_xIn_v_to_xOut_v_replace_rdreg_q, ld_xIn_v_to_xOut_v_replace_rdcnt_q)
BEGIN
CASE ld_xIn_v_to_xOut_v_replace_rdmux_s IS
WHEN "0" => ld_xIn_v_to_xOut_v_replace_rdmux_q <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
WHEN "1" => ld_xIn_v_to_xOut_v_replace_rdmux_q <= ld_xIn_v_to_xOut_v_replace_rdcnt_q;
WHEN OTHERS => ld_xIn_v_to_xOut_v_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem(DUALMEM,907)
ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_ia <= ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_inputreg_q;
ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_aa <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_ab <= ld_xIn_v_to_xOut_v_replace_rdmux_q;
ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 40,
width_b => 1,
widthad_b => 6,
numwords_b => 40,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_v_to_xOut_v_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_iq,
address_a => ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_aa,
data_a => ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_ia
);
ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_q <= ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_iq(0 downto 0);
--fracRPostExc_uid90_fpCosPiTest(MUX,89)@42
fracRPostExc_uid90_fpCosPiTest_s <= ld_excRNaN_uid86_fpCosPiTest_q_to_fracRPostExc_uid90_fpCosPiTest_b_replace_mem_q;
fracRPostExc_uid90_fpCosPiTest: PROCESS (fracRPostExc_uid90_fpCosPiTest_s, fracRPostExc1_uid88_fpCosPiTest_q)
BEGIN
CASE fracRPostExc_uid90_fpCosPiTest_s IS
WHEN "0" => fracRPostExc_uid90_fpCosPiTest_q <= fracRPostExc1_uid88_fpCosPiTest_q;
WHEN "1" => fracRPostExc_uid90_fpCosPiTest_q <= oneFracRPostExc2_uid89_fpCosPiTest_q;
WHEN OTHERS => fracRPostExc_uid90_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--R_uid104_fpCosPiTest(BITJOIN,103)@42
R_uid104_fpCosPiTest_q <= ld_signR_uid103_fpCosPiTest_q_to_R_uid104_fpCosPiTest_c_replace_mem_q & expRPostExc_uid97_fpCosPiTest_q & fracRPostExc_uid90_fpCosPiTest_q;
--ld_xIn_c_to_xOut_c_replace_mem(DUALMEM,857)
ld_xIn_c_to_xOut_c_replace_mem_reset0 <= areset;
ld_xIn_c_to_xOut_c_replace_mem_ia <= xIn_c;
ld_xIn_c_to_xOut_c_replace_mem_aa <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
ld_xIn_c_to_xOut_c_replace_mem_ab <= ld_xIn_v_to_xOut_v_replace_rdmux_q;
ld_xIn_c_to_xOut_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 6,
numwords_a => 40,
width_b => 8,
widthad_b => 6,
numwords_b => 40,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_v_to_xOut_v_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_xIn_c_to_xOut_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_xIn_c_to_xOut_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xIn_c_to_xOut_c_replace_mem_iq,
address_a => ld_xIn_c_to_xOut_c_replace_mem_aa,
data_a => ld_xIn_c_to_xOut_c_replace_mem_ia
);
ld_xIn_c_to_xOut_c_replace_mem_q <= ld_xIn_c_to_xOut_c_replace_mem_iq(7 downto 0);
--ld_xIn_c_to_xOut_c_outputreg(DELAY,856)
ld_xIn_c_to_xOut_c_outputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => ld_xIn_c_to_xOut_c_replace_mem_q, xout => ld_xIn_c_to_xOut_c_outputreg_q, clk => clk, aclr => areset );
--ld_xIn_v_to_xOut_v_replace_mem(DUALMEM,844)
ld_xIn_v_to_xOut_v_replace_mem_reset0 <= areset;
ld_xIn_v_to_xOut_v_replace_mem_ia <= xIn_v;
ld_xIn_v_to_xOut_v_replace_mem_aa <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
ld_xIn_v_to_xOut_v_replace_mem_ab <= ld_xIn_v_to_xOut_v_replace_rdmux_q;
ld_xIn_v_to_xOut_v_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 40,
width_b => 1,
widthad_b => 6,
numwords_b => 40,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_v_to_xOut_v_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_xIn_v_to_xOut_v_replace_mem_reset0,
clock1 => clk,
address_b => ld_xIn_v_to_xOut_v_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xIn_v_to_xOut_v_replace_mem_iq,
address_a => ld_xIn_v_to_xOut_v_replace_mem_aa,
data_a => ld_xIn_v_to_xOut_v_replace_mem_ia
);
ld_xIn_v_to_xOut_v_replace_mem_q <= ld_xIn_v_to_xOut_v_replace_mem_iq(0 downto 0);
--ld_xIn_v_to_xOut_v_outputreg(DELAY,843)
ld_xIn_v_to_xOut_v_outputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => ld_xIn_v_to_xOut_v_replace_mem_q, xout => ld_xIn_v_to_xOut_v_outputreg_q, clk => clk, aclr => areset );
--xOut(PORTOUT,4)@42
xOut_v <= ld_xIn_v_to_xOut_v_outputreg_q;
xOut_c <= ld_xIn_c_to_xOut_c_outputreg_q;
xOut_0 <= R_uid104_fpCosPiTest_q;
end normal;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/SqrtDPStratixVf400.vhd | 10 | 437207 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Debug Version 12.0
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2012 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from SqrtDPStratixVf400
-- VHDL created on Wed Sep 05 17:57:41 2012
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
USE work.SqrtDPStratixVf400_safe_path.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
-- Text written from d:/qshell64/p4/ip/aion/src/mip_common/hw_model.cpp:1240
entity SqrtDPStratixVf400 is
port (
xIn_v : in std_logic_vector(0 downto 0);
xIn_c : in std_logic_vector(7 downto 0);
xIn_0 : in std_logic_vector(63 downto 0);
xOut_v : out std_logic_vector(0 downto 0);
xOut_c : out std_logic_vector(7 downto 0);
xOut_0 : out std_logic_vector(63 downto 0);
clk : in std_logic;
areset : in std_logic;
bus_clk : in std_logic;
h_areset : in std_logic
);
end;
architecture normal of SqrtDPStratixVf400 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cst0_uid10_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal bias_q : std_logic_vector (10 downto 0);
signal biasP1_q : std_logic_vector (10 downto 0);
signal expAllZeros_uid39_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal fracNaN_uid44_fpSqrtTest_q : std_logic_vector (51 downto 0);
signal fracInf_uid45_fpSqrtTest_q : std_logic_vector (51 downto 0);
signal memoryC2_uid52_sqrtTableGenerator_q : std_logic_vector(39 downto 0);
signal memoryC3_uid53_sqrtTableGenerator_q : std_logic_vector(32 downto 0);
signal memoryC4_uid54_sqrtTableGenerator_q : std_logic_vector(23 downto 0);
signal memoryC5_uid55_sqrtTableGenerator_q : std_logic_vector(16 downto 0);
signal rndBit_uid76_sqrtPolynomialEvaluator_q : std_logic_vector (1 downto 0);
signal rndBit_uid82_sqrtPolynomialEvaluator_q : std_logic_vector (2 downto 0);
signal prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_a : std_logic_vector (16 downto 0);
signal prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_b : std_logic_vector (16 downto 0);
signal prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_s1 : std_logic_vector (33 downto 0);
signal prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_pr : SIGNED (34 downto 0);
signal prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_q : std_logic_vector (33 downto 0);
signal prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a : std_logic_vector (23 downto 0);
signal prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_b : std_logic_vector (25 downto 0);
signal prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_s1 : std_logic_vector (49 downto 0);
signal prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_pr : SIGNED (50 downto 0);
signal prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_q : std_logic_vector (49 downto 0);
signal prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a : std_logic_vector (32 downto 0);
signal prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_b : std_logic_vector (34 downto 0);
signal prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_s1 : std_logic_vector (67 downto 0);
signal prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_pr : SIGNED (68 downto 0);
signal prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_q : std_logic_vector (67 downto 0);
signal topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_a : std_logic_vector (26 downto 0);
signal topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_s1 : std_logic_vector (53 downto 0);
signal topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_pr : SIGNED (54 downto 0);
signal topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_q : std_logic_vector (53 downto 0);
signal topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_a : std_logic_vector (26 downto 0);
signal topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_s1 : std_logic_vector (53 downto 0);
signal topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_pr : SIGNED (54 downto 0);
signal topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_q : std_logic_vector (53 downto 0);
type multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a_type is array(0 to 1) of SIGNED(18 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a : multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a_type;
type multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c_type is array(0 to 1) of SIGNED(17 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c : multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c_type;
type multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_p_type is array(0 to 1) of SIGNED(36 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_p : multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_p_type;
type multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_w_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_w : multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_w_type;
type multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_x_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_x : multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_x_type;
type multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_y_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_y : multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_y_type;
type multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s : multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s_type;
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s0 : std_logic_vector(36 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_q : std_logic_vector (36 downto 0);
type multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a_type is array(0 to 1) of SIGNED(27 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a : multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a_type;
type multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c_type is array(0 to 1) of SIGNED(26 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c : multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c_type;
type multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_p_type is array(0 to 1) of SIGNED(54 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_p : multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_p_type;
type multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_w_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_w : multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_w_type;
type multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_x_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_x : multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_x_type;
type multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_y_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_y : multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_y_type;
type multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s : multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s_type;
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s0 : std_logic_vector(54 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_q : std_logic_vector (54 downto 0);
signal reg_fracSelIn_uid42_fpSqrtTest_0_to_fracSel_uid43_fpSqrtTest_0_q : std_logic_vector (3 downto 0);
signal reg_s1_uid58_uid61_sqrtPolynomialEvaluator_0_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_1_q : std_logic_vector (25 downto 0);
signal reg_highBBits_uid65_sqrtPolynomialEvaluator_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_1_q : std_logic_vector (25 downto 0);
signal reg_s2_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_1_q : std_logic_vector (34 downto 0);
signal reg_highBBits_uid71_sqrtPolynomialEvaluator_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_1_q : std_logic_vector (32 downto 0);
signal reg_yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_9_q : std_logic_vector (17 downto 0);
signal reg_pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_6_q : std_logic_vector (17 downto 0);
signal reg_yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_0_to_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_1_q : std_logic_vector (26 downto 0);
signal reg_highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_1_q : std_logic_vector (28 downto 0);
signal reg_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_0_q : std_logic_vector (53 downto 0);
signal reg_R_uid112_pT4_uid75_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_1_q : std_logic_vector (42 downto 0);
signal reg_cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_0_q : std_logic_vector (50 downto 0);
signal reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_9_q : std_logic_vector (26 downto 0);
signal reg_pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_6_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q : std_logic_vector (26 downto 0);
signal reg_highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_1_q : std_logic_vector (28 downto 0);
signal reg_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_0_q : std_logic_vector (53 downto 0);
signal reg_R_uid127_pT5_uid81_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_1_q : std_logic_vector (52 downto 0);
signal reg_cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_0_q : std_logic_vector (59 downto 0);
signal reg_fracR_uid35_fpSqrtTest_0_to_fracRPostExc_uid47_fpSqrtTest_3_q : std_logic_vector (51 downto 0);
signal ld_xIn_0_to_X44dto0_uid33_fpSqrtTest_a_q : std_logic_vector (63 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC4_uid54_sqrtTableGenerator_a_q : std_logic_vector (7 downto 0);
signal ld_lowRangeB_uid64_sqrtPolynomialEvaluator_b_to_s2_uid64_uid67_sqrtPolynomialEvaluator_a_q : std_logic_vector (0 downto 0);
signal ld_lowRangeB_uid70_sqrtPolynomialEvaluator_b_to_s3_uid70_uid73_sqrtPolynomialEvaluator_a_q : std_logic_vector (0 downto 0);
signal ld_lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b_to_add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_a_q : std_logic_vector (5 downto 0);
signal ld_reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b_q : std_logic_vector (26 downto 0);
signal ld_yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b_to_spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_a_q : std_logic_vector (23 downto 0);
signal ld_lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b_to_add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_a_q : std_logic_vector (23 downto 0);
signal ld_xIn_v_to_xOut_v_outputreg_q : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_reset0 : std_logic;
signal ld_xIn_v_to_xOut_v_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xIn_v_to_xOut_v_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdcnt_eq : std_logic;
signal ld_xIn_v_to_xOut_v_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_xIn_v_to_xOut_v_mem_top_q : std_logic_vector (5 downto 0);
signal ld_xIn_v_to_xOut_v_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_xIn_c_to_xOut_c_outputreg_q : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_reset0 : std_logic;
signal ld_xIn_c_to_xOut_c_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_ir : std_logic_vector (7 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xIn_c_to_xOut_c_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_outputreg_q : std_logic_vector (1 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_reset0 : std_logic;
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_ir : std_logic_vector (1 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_eq : std_logic;
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_inputreg_q : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_reset0 : std_logic;
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_iq : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_ia : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_ir : std_logic_vector (10 downto 0);
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_q : std_logic_vector (10 downto 0);
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_outputreg_q : std_logic_vector (0 downto 0);
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_reset0 : std_logic;
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_outputreg_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_ir : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_outputreg_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_ir : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_outputreg_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_ir : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_outputreg_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_ir : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_eq : std_logic;
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_outputreg_q : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_reset0 : std_logic;
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_iq : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_ia : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_ir : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_q : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_eq : std_logic;
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_outputreg_q : std_logic_vector (23 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_reset0 : std_logic;
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_iq : std_logic_vector (23 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_ia : std_logic_vector (23 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_ir : std_logic_vector (23 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_q : std_logic_vector (23 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_outputreg_q : std_logic_vector (32 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_reset0 : std_logic;
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_iq : std_logic_vector (32 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_ia : std_logic_vector (32 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_ir : std_logic_vector (32 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_q : std_logic_vector (32 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_eq : std_logic;
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_outputreg_q : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_reset0 : std_logic;
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_iq : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_ia : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_ir : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_q : std_logic_vector (44 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_eq : std_logic;
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_outputreg_q : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_reset0 : std_logic;
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_iq : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_ia : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_ir : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_q : std_logic_vector (17 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_eq : std_logic;
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_sticky_ena_q : std_logic_vector (0 downto 0);
signal spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_q : std_logic_vector (24 downto 0);
signal pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_q : std_logic_vector (25 downto 0);
signal pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_q : std_logic_vector (26 downto 0);
signal fracSel_uid43_fpSqrtTest_q : std_logic_vector(1 downto 0);
signal fracRPostExc_uid47_fpSqrtTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid47_fpSqrtTest_q : std_logic_vector (51 downto 0);
signal memoryC0_uid50_sqrtTableGenerator_q : std_logic_vector(56 downto 0);
signal memoryC1_uid51_sqrtTableGenerator_q : std_logic_vector(48 downto 0);
signal sumAHighB_uid66_sqrtPolynomialEvaluator_a : std_logic_vector(33 downto 0);
signal sumAHighB_uid66_sqrtPolynomialEvaluator_b : std_logic_vector(33 downto 0);
signal sumAHighB_uid66_sqrtPolynomialEvaluator_o : std_logic_vector (33 downto 0);
signal sumAHighB_uid66_sqrtPolynomialEvaluator_q : std_logic_vector (33 downto 0);
signal sumAHighB_uid72_sqrtPolynomialEvaluator_a : std_logic_vector(40 downto 0);
signal sumAHighB_uid72_sqrtPolynomialEvaluator_b : std_logic_vector(40 downto 0);
signal sumAHighB_uid72_sqrtPolynomialEvaluator_o : std_logic_vector (40 downto 0);
signal sumAHighB_uid72_sqrtPolynomialEvaluator_q : std_logic_vector (40 downto 0);
signal ts4_uid78_sqrtPolynomialEvaluator_a : std_logic_vector(51 downto 0);
signal ts4_uid78_sqrtPolynomialEvaluator_b : std_logic_vector(51 downto 0);
signal ts4_uid78_sqrtPolynomialEvaluator_o : std_logic_vector (51 downto 0);
signal ts4_uid78_sqrtPolynomialEvaluator_q : std_logic_vector (51 downto 0);
signal ts5_uid84_sqrtPolynomialEvaluator_a : std_logic_vector(60 downto 0);
signal ts5_uid84_sqrtPolynomialEvaluator_b : std_logic_vector(60 downto 0);
signal ts5_uid84_sqrtPolynomialEvaluator_o : std_logic_vector (60 downto 0);
signal ts5_uid84_sqrtPolynomialEvaluator_q : std_logic_vector (60 downto 0);
signal sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_q : std_logic_vector (54 downto 0);
signal sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_q : std_logic_vector (54 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xIn_v_to_xOut_v_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_xIn_v_to_xOut_v_notEnable_a : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_notEnable_q : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_q : std_logic_vector(0 downto 0);
signal expX_uid6_fpSqrtTest_in : std_logic_vector (62 downto 0);
signal expX_uid6_fpSqrtTest_b : std_logic_vector (10 downto 0);
signal fracX_uid7_fpSqrtTest_in : std_logic_vector (51 downto 0);
signal fracX_uid7_fpSqrtTest_b : std_logic_vector (51 downto 0);
signal signX_uid8_fpSqrtTest_in : std_logic_vector (63 downto 0);
signal signX_uid8_fpSqrtTest_b : std_logic_vector (0 downto 0);
signal fracXAddr_uid31_fpSqrtTest_in : std_logic_vector (51 downto 0);
signal fracXAddr_uid31_fpSqrtTest_b : std_logic_vector (6 downto 0);
signal cmpEQ_w11_uid11_fpSqrtTest_a : std_logic_vector(10 downto 0);
signal cmpEQ_w11_uid11_fpSqrtTest_b : std_logic_vector(10 downto 0);
signal cmpEQ_w11_uid11_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expEvenSig_uid22_fpSqrtTest_a : std_logic_vector(11 downto 0);
signal expEvenSig_uid22_fpSqrtTest_b : std_logic_vector(11 downto 0);
signal expEvenSig_uid22_fpSqrtTest_o : std_logic_vector (11 downto 0);
signal expEvenSig_uid22_fpSqrtTest_q : std_logic_vector (11 downto 0);
signal expOddSig_uid25_fpSqrtTest_a : std_logic_vector(11 downto 0);
signal expOddSig_uid25_fpSqrtTest_b : std_logic_vector(11 downto 0);
signal expOddSig_uid25_fpSqrtTest_o : std_logic_vector (11 downto 0);
signal expOddSig_uid25_fpSqrtTest_q : std_logic_vector (11 downto 0);
signal cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_q : std_logic_vector (50 downto 0);
signal cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_q : std_logic_vector (59 downto 0);
signal prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator_in : std_logic_vector (33 downto 0);
signal prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator_b : std_logic_vector (17 downto 0);
signal prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator_in : std_logic_vector (49 downto 0);
signal prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator_in : std_logic_vector (67 downto 0);
signal prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator_b : std_logic_vector (33 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (36 downto 0);
signal multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (34 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (54 downto 0);
signal multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (52 downto 0);
signal X44dto0_uid33_fpSqrtTest_in : std_logic_vector (44 downto 0);
signal X44dto0_uid33_fpSqrtTest_b : std_logic_vector (44 downto 0);
signal s2_uid64_uid67_sqrtPolynomialEvaluator_q : std_logic_vector (34 downto 0);
signal s3_uid70_uid73_sqrtPolynomialEvaluator_q : std_logic_vector (41 downto 0);
signal add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_q : std_logic_vector (60 downto 0);
signal add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_q : std_logic_vector (78 downto 0);
signal ld_xIn_v_to_xOut_v_cmp_a : std_logic_vector(5 downto 0);
signal ld_xIn_v_to_xOut_v_cmp_b : std_logic_vector(5 downto 0);
signal ld_xIn_v_to_xOut_v_cmp_q : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_nor_a : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_nor_b : std_logic_vector(0 downto 0);
signal ld_xIn_v_to_xOut_v_nor_q : std_logic_vector(0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_q : std_logic_vector(0 downto 0);
signal RSqrt_uid48_fpSqrtTest_q : std_logic_vector (63 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_q : std_logic_vector(0 downto 0);
signal yT4_uid74_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT4_uid74_sqrtPolynomialEvaluator_b : std_logic_vector (39 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_q : std_logic_vector(0 downto 0);
signal xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_q : std_logic_vector(0 downto 0);
signal s4_uid79_sqrtPolynomialEvaluator_in : std_logic_vector (51 downto 0);
signal s4_uid79_sqrtPolynomialEvaluator_b : std_logic_vector (50 downto 0);
signal s5_uid85_sqrtPolynomialEvaluator_in : std_logic_vector (60 downto 0);
signal s5_uid85_sqrtPolynomialEvaluator_b : std_logic_vector (59 downto 0);
signal expZ_uid9_fpSqrtTest_a : std_logic_vector(10 downto 0);
signal expZ_uid9_fpSqrtTest_b : std_logic_vector(10 downto 0);
signal expZ_uid9_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expX0_uid27_fpSqrtTest_in : std_logic_vector (0 downto 0);
signal expX0_uid27_fpSqrtTest_b : std_logic_vector (0 downto 0);
signal fracIsZero_uid12_fpSqrtTest_a : std_logic_vector(51 downto 0);
signal fracIsZero_uid12_fpSqrtTest_b : std_logic_vector(51 downto 0);
signal fracIsZero_uid12_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal excMZero_uid13_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal excMZero_uid13_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal excMZero_uid13_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal excInf_uid14_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal excInf_uid14_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal excInf_uid14_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expREven_uid23_fpSqrtTest_in : std_logic_vector (11 downto 0);
signal expREven_uid23_fpSqrtTest_b : std_logic_vector (10 downto 0);
signal expROdd_uid26_fpSqrtTest_in : std_logic_vector (11 downto 0);
signal expROdd_uid26_fpSqrtTest_b : std_logic_vector (10 downto 0);
signal lowRangeB_uid58_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid58_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0);
signal highBBits_uid59_sqrtPolynomialEvaluator_in : std_logic_vector (17 downto 0);
signal highBBits_uid59_sqrtPolynomialEvaluator_b : std_logic_vector (16 downto 0);
signal lowRangeB_uid64_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid64_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0);
signal highBBits_uid65_sqrtPolynomialEvaluator_in : std_logic_vector (26 downto 0);
signal highBBits_uid65_sqrtPolynomialEvaluator_b : std_logic_vector (25 downto 0);
signal lowRangeB_uid70_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid70_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0);
signal highBBits_uid71_sqrtPolynomialEvaluator_in : std_logic_vector (33 downto 0);
signal highBBits_uid71_sqrtPolynomialEvaluator_b : std_logic_vector (32 downto 0);
signal lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (5 downto 0);
signal lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (5 downto 0);
signal highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (34 downto 0);
signal highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (28 downto 0);
signal lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (23 downto 0);
signal lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (23 downto 0);
signal highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (52 downto 0);
signal highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (28 downto 0);
signal yT1_uid56_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT1_uid56_sqrtPolynomialEvaluator_b : std_logic_vector (16 downto 0);
signal yT2_uid62_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT2_uid62_sqrtPolynomialEvaluator_b : std_logic_vector (23 downto 0);
signal yT3_uid68_sqrtPolynomialEvaluator_in : std_logic_vector (44 downto 0);
signal yT3_uid68_sqrtPolynomialEvaluator_b : std_logic_vector (32 downto 0);
signal xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (17 downto 0);
signal xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (17 downto 0);
signal yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (41 downto 0);
signal yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid100_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (14 downto 0);
signal yBottomBits_uid100_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (14 downto 0);
signal yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (41 downto 0);
signal yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (17 downto 0);
signal R_uid112_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (59 downto 0);
signal R_uid112_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (42 downto 0);
signal R_uid127_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (77 downto 0);
signal R_uid127_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (52 downto 0);
signal xTop27Bits_uid96_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (39 downto 0);
signal xTop27Bits_uid96_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal xTop18Bits_uid99_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (39 downto 0);
signal xTop18Bits_uid99_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (17 downto 0);
signal xBottomBits_uid101_pT4_uid75_sqrtPolynomialEvaluator_in : std_logic_vector (12 downto 0);
signal xBottomBits_uid101_pT4_uid75_sqrtPolynomialEvaluator_b : std_logic_vector (12 downto 0);
signal yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (50 downto 0);
signal yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_in : std_logic_vector (23 downto 0);
signal yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b : std_logic_vector (23 downto 0);
signal fracR_uid35_fpSqrtTest_in : std_logic_vector (56 downto 0);
signal fracR_uid35_fpSqrtTest_b : std_logic_vector (51 downto 0);
signal expOddSelect_uid28_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal expOddSelect_uid28_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal InvFracIsZero_uid16_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal InvFracIsZero_uid16_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal InvExcMZero_uid18_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal InvExcMZero_uid18_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal expRMux_uid29_fpSqrtTest_s : std_logic_vector (0 downto 0);
signal expRMux_uid29_fpSqrtTest_q : std_logic_vector (10 downto 0);
signal sumAHighB_uid60_sqrtPolynomialEvaluator_a : std_logic_vector(24 downto 0);
signal sumAHighB_uid60_sqrtPolynomialEvaluator_b : std_logic_vector(24 downto 0);
signal sumAHighB_uid60_sqrtPolynomialEvaluator_o : std_logic_vector (24 downto 0);
signal sumAHighB_uid60_sqrtPolynomialEvaluator_q : std_logic_vector (24 downto 0);
signal spad_yBottomBits_uid100_uid103_pT4_uid75_sqrtPolynomialEvaluator_q : std_logic_vector (15 downto 0);
signal pad_xBottomBits_uid101_uid104_pT4_uid75_sqrtPolynomialEvaluator_q : std_logic_vector (16 downto 0);
signal addrTable_uid32_fpSqrtTest_q : std_logic_vector (7 downto 0);
signal excNaN_uid17_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal excNaN_uid17_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal excNaN_uid17_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal operandIsNegative_uid19_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal operandIsNegative_uid19_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal operandIsNegative_uid19_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal s1_uid58_uid61_sqrtPolynomialEvaluator_q : std_logic_vector (25 downto 0);
signal pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_q : std_logic_vector (17 downto 0);
signal join_uid41_fpSqrtTest_q : std_logic_vector (2 downto 0);
signal expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_a : std_logic_vector(0 downto 0);
signal expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_b : std_logic_vector(0 downto 0);
signal expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_q : std_logic_vector(0 downto 0);
signal fracSelIn_uid42_fpSqrtTest_q : std_logic_vector (3 downto 0);
signal join_uid36_fpSqrtTest_q : std_logic_vector (1 downto 0);
signal expRPostExc_uid40_fpSqrtTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid40_fpSqrtTest_q : std_logic_vector (10 downto 0);
begin
--ld_xIn_v_to_xOut_v_notEnable(LOGICAL,317)
ld_xIn_v_to_xOut_v_notEnable_a <= VCC_q;
ld_xIn_v_to_xOut_v_notEnable_q <= not ld_xIn_v_to_xOut_v_notEnable_a;
--ld_xIn_v_to_xOut_v_nor(LOGICAL,318)
ld_xIn_v_to_xOut_v_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_xIn_v_to_xOut_v_nor_b <= ld_xIn_v_to_xOut_v_sticky_ena_q;
ld_xIn_v_to_xOut_v_nor_q <= not (ld_xIn_v_to_xOut_v_nor_a or ld_xIn_v_to_xOut_v_nor_b);
--ld_xIn_v_to_xOut_v_mem_top(CONSTANT,314)
ld_xIn_v_to_xOut_v_mem_top_q <= "011001";
--ld_xIn_v_to_xOut_v_cmp(LOGICAL,315)
ld_xIn_v_to_xOut_v_cmp_a <= ld_xIn_v_to_xOut_v_mem_top_q;
ld_xIn_v_to_xOut_v_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xIn_v_to_xOut_v_replace_rdmux_q);
ld_xIn_v_to_xOut_v_cmp_q <= "1" when ld_xIn_v_to_xOut_v_cmp_a = ld_xIn_v_to_xOut_v_cmp_b else "0";
--ld_xIn_v_to_xOut_v_cmpReg(REG,316)
ld_xIn_v_to_xOut_v_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xIn_v_to_xOut_v_cmpReg_q <= ld_xIn_v_to_xOut_v_cmp_q;
END IF;
END PROCESS;
--ld_xIn_v_to_xOut_v_sticky_ena(REG,319)
ld_xIn_v_to_xOut_v_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_xIn_v_to_xOut_v_nor_q = "1") THEN
ld_xIn_v_to_xOut_v_sticky_ena_q <= ld_xIn_v_to_xOut_v_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xIn_v_to_xOut_v_enaAnd(LOGICAL,320)
ld_xIn_v_to_xOut_v_enaAnd_a <= ld_xIn_v_to_xOut_v_sticky_ena_q;
ld_xIn_v_to_xOut_v_enaAnd_b <= VCC_q;
ld_xIn_v_to_xOut_v_enaAnd_q <= ld_xIn_v_to_xOut_v_enaAnd_a and ld_xIn_v_to_xOut_v_enaAnd_b;
--GND(CONSTANT,0)
GND_q <= "0";
--xIn(PORTIN,3)@0
--expX_uid6_fpSqrtTest(BITSELECT,5)@0
expX_uid6_fpSqrtTest_in <= xIn_0(62 downto 0);
expX_uid6_fpSqrtTest_b <= expX_uid6_fpSqrtTest_in(62 downto 52);
--expZ_uid9_fpSqrtTest(LOGICAL,8)@0
expZ_uid9_fpSqrtTest_a <= expX_uid6_fpSqrtTest_b;
expZ_uid9_fpSqrtTest_b <= STD_LOGIC_VECTOR("0000000000" & GND_q);
expZ_uid9_fpSqrtTest_q <= "1" when expZ_uid9_fpSqrtTest_a = expZ_uid9_fpSqrtTest_b else "0";
--signX_uid8_fpSqrtTest(BITSELECT,7)@0
signX_uid8_fpSqrtTest_in <= xIn_0;
signX_uid8_fpSqrtTest_b <= signX_uid8_fpSqrtTest_in(63 downto 63);
--excMZero_uid13_fpSqrtTest(LOGICAL,12)@0
excMZero_uid13_fpSqrtTest_a <= signX_uid8_fpSqrtTest_b;
excMZero_uid13_fpSqrtTest_b <= expZ_uid9_fpSqrtTest_q;
excMZero_uid13_fpSqrtTest_q <= excMZero_uid13_fpSqrtTest_a and excMZero_uid13_fpSqrtTest_b;
--ld_xIn_v_to_xOut_v_replace_rdcnt(COUNTER,310)
-- every=1, low=0, high=25, step=1, init=1
ld_xIn_v_to_xOut_v_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_xIn_v_to_xOut_v_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_xIn_v_to_xOut_v_replace_rdcnt_i = 24 THEN
ld_xIn_v_to_xOut_v_replace_rdcnt_eq <= '1';
ELSE
ld_xIn_v_to_xOut_v_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xIn_v_to_xOut_v_replace_rdcnt_eq = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdcnt_i <= ld_xIn_v_to_xOut_v_replace_rdcnt_i - 25;
ELSE
ld_xIn_v_to_xOut_v_replace_rdcnt_i <= ld_xIn_v_to_xOut_v_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_xIn_v_to_xOut_v_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xIn_v_to_xOut_v_replace_rdcnt_i,5));
--ld_xIn_v_to_xOut_v_replace_rdreg(REG,311)
ld_xIn_v_to_xOut_v_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xIn_v_to_xOut_v_replace_rdreg_q <= ld_xIn_v_to_xOut_v_replace_rdcnt_q;
END IF;
END PROCESS;
--VCC(CONSTANT,1)
VCC_q <= "1";
--ld_xIn_v_to_xOut_v_replace_rdmux(MUX,312)
ld_xIn_v_to_xOut_v_replace_rdmux_s <= VCC_q;
ld_xIn_v_to_xOut_v_replace_rdmux: PROCESS (ld_xIn_v_to_xOut_v_replace_rdmux_s, ld_xIn_v_to_xOut_v_replace_rdreg_q, ld_xIn_v_to_xOut_v_replace_rdcnt_q)
BEGIN
CASE ld_xIn_v_to_xOut_v_replace_rdmux_s IS
WHEN "0" => ld_xIn_v_to_xOut_v_replace_rdmux_q <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
WHEN "1" => ld_xIn_v_to_xOut_v_replace_rdmux_q <= ld_xIn_v_to_xOut_v_replace_rdcnt_q;
WHEN OTHERS => ld_xIn_v_to_xOut_v_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem(DUALMEM,361)
ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_reset0 <= areset;
ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_ia <= excMZero_uid13_fpSqrtTest_q;
ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_aa <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_ab <= ld_xIn_v_to_xOut_v_replace_rdmux_q;
ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 26,
width_b => 1,
widthad_b => 5,
numwords_b => 26,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_v_to_xOut_v_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_iq,
address_a => ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_aa,
data_a => ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_ia
);
ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_q <= ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_iq(0 downto 0);
--ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_outputreg(DELAY,360)
ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_outputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_replace_mem_q, xout => ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_outputreg_q, clk => clk, aclr => areset );
--cst0_uid10_fpSqrtTest(CONSTANT,9)
cst0_uid10_fpSqrtTest_q <= "11111111111";
--expAllZeros_uid39_fpSqrtTest(CONSTANT,38)
expAllZeros_uid39_fpSqrtTest_q <= "00000000000";
--biasP1(CONSTANT,23)
biasP1_q <= "01111111110";
--expOddSig_uid25_fpSqrtTest(ADD,24)@0
expOddSig_uid25_fpSqrtTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpSqrtTest_b);
expOddSig_uid25_fpSqrtTest_b <= STD_LOGIC_VECTOR("0" & biasP1_q);
expOddSig_uid25_fpSqrtTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expOddSig_uid25_fpSqrtTest_a) + UNSIGNED(expOddSig_uid25_fpSqrtTest_b));
expOddSig_uid25_fpSqrtTest_q <= expOddSig_uid25_fpSqrtTest_o(11 downto 0);
--expROdd_uid26_fpSqrtTest(BITSELECT,25)@0
expROdd_uid26_fpSqrtTest_in <= expOddSig_uid25_fpSqrtTest_q;
expROdd_uid26_fpSqrtTest_b <= expROdd_uid26_fpSqrtTest_in(11 downto 1);
--bias(CONSTANT,20)
bias_q <= "01111111111";
--expEvenSig_uid22_fpSqrtTest(ADD,21)@0
expEvenSig_uid22_fpSqrtTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpSqrtTest_b);
expEvenSig_uid22_fpSqrtTest_b <= STD_LOGIC_VECTOR("0" & bias_q);
expEvenSig_uid22_fpSqrtTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expEvenSig_uid22_fpSqrtTest_a) + UNSIGNED(expEvenSig_uid22_fpSqrtTest_b));
expEvenSig_uid22_fpSqrtTest_q <= expEvenSig_uid22_fpSqrtTest_o(11 downto 0);
--expREven_uid23_fpSqrtTest(BITSELECT,22)@0
expREven_uid23_fpSqrtTest_in <= expEvenSig_uid22_fpSqrtTest_q;
expREven_uid23_fpSqrtTest_b <= expREven_uid23_fpSqrtTest_in(11 downto 1);
--expX0_uid27_fpSqrtTest(BITSELECT,26)@0
expX0_uid27_fpSqrtTest_in <= expX_uid6_fpSqrtTest_b(0 downto 0);
expX0_uid27_fpSqrtTest_b <= expX0_uid27_fpSqrtTest_in(0 downto 0);
--expOddSelect_uid28_fpSqrtTest(LOGICAL,27)@0
expOddSelect_uid28_fpSqrtTest_a <= expX0_uid27_fpSqrtTest_b;
expOddSelect_uid28_fpSqrtTest_q <= not expOddSelect_uid28_fpSqrtTest_a;
--expRMux_uid29_fpSqrtTest(MUX,28)@0
expRMux_uid29_fpSqrtTest_s <= expOddSelect_uid28_fpSqrtTest_q;
expRMux_uid29_fpSqrtTest: PROCESS (expRMux_uid29_fpSqrtTest_s, expREven_uid23_fpSqrtTest_b, expROdd_uid26_fpSqrtTest_b)
BEGIN
CASE expRMux_uid29_fpSqrtTest_s IS
WHEN "0" => expRMux_uid29_fpSqrtTest_q <= expREven_uid23_fpSqrtTest_b;
WHEN "1" => expRMux_uid29_fpSqrtTest_q <= expROdd_uid26_fpSqrtTest_b;
WHEN OTHERS => expRMux_uid29_fpSqrtTest_q <= (others => '0');
END CASE;
END PROCESS;
--InvExcMZero_uid18_fpSqrtTest(LOGICAL,17)@0
InvExcMZero_uid18_fpSqrtTest_a <= excMZero_uid13_fpSqrtTest_q;
InvExcMZero_uid18_fpSqrtTest_q <= not InvExcMZero_uid18_fpSqrtTest_a;
--operandIsNegative_uid19_fpSqrtTest(LOGICAL,18)@0
operandIsNegative_uid19_fpSqrtTest_a <= signX_uid8_fpSqrtTest_b;
operandIsNegative_uid19_fpSqrtTest_b <= InvExcMZero_uid18_fpSqrtTest_q;
operandIsNegative_uid19_fpSqrtTest_q <= operandIsNegative_uid19_fpSqrtTest_a and operandIsNegative_uid19_fpSqrtTest_b;
--cmpEQ_w11_uid11_fpSqrtTest(LOGICAL,10)@0
cmpEQ_w11_uid11_fpSqrtTest_a <= expX_uid6_fpSqrtTest_b;
cmpEQ_w11_uid11_fpSqrtTest_b <= cst0_uid10_fpSqrtTest_q;
cmpEQ_w11_uid11_fpSqrtTest_q <= "1" when cmpEQ_w11_uid11_fpSqrtTest_a = cmpEQ_w11_uid11_fpSqrtTest_b else "0";
--expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest(LOGICAL,19)@0
expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_a <= cmpEQ_w11_uid11_fpSqrtTest_q;
expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_b <= operandIsNegative_uid19_fpSqrtTest_q;
expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_q <= expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_a or expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_b;
--join_uid36_fpSqrtTest(BITJOIN,35)@0
join_uid36_fpSqrtTest_q <= expISMaxOrOpIsLessThanZero_uid20_fpSqrtTest_q & expZ_uid9_fpSqrtTest_q;
--expRPostExc_uid40_fpSqrtTest(MUX,39)@0
expRPostExc_uid40_fpSqrtTest_s <= join_uid36_fpSqrtTest_q;
expRPostExc_uid40_fpSqrtTest: PROCESS (expRPostExc_uid40_fpSqrtTest_s, expRMux_uid29_fpSqrtTest_q)
BEGIN
CASE expRPostExc_uid40_fpSqrtTest_s IS
WHEN "00" => expRPostExc_uid40_fpSqrtTest_q <= expRMux_uid29_fpSqrtTest_q;
WHEN "01" => expRPostExc_uid40_fpSqrtTest_q <= expAllZeros_uid39_fpSqrtTest_q;
WHEN "10" => expRPostExc_uid40_fpSqrtTest_q <= cst0_uid10_fpSqrtTest_q;
WHEN "11" => expRPostExc_uid40_fpSqrtTest_q <= cst0_uid10_fpSqrtTest_q;
WHEN OTHERS => expRPostExc_uid40_fpSqrtTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_inputreg(DELAY,347)
ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 11, depth => 1 )
PORT MAP ( xin => expRPostExc_uid40_fpSqrtTest_q, xout => ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_inputreg_q, clk => clk, aclr => areset );
--ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem(DUALMEM,348)
ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_reset0 <= areset;
ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_ia <= ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_inputreg_q;
ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_aa <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_ab <= ld_xIn_v_to_xOut_v_replace_rdmux_q;
ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 11,
widthad_a => 5,
numwords_a => 26,
width_b => 11,
widthad_b => 5,
numwords_b => 26,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_v_to_xOut_v_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_iq,
address_a => ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_aa,
data_a => ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_ia
);
ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_q <= ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_iq(10 downto 0);
--fracNaN_uid44_fpSqrtTest(CONSTANT,43)
fracNaN_uid44_fpSqrtTest_q <= "0000000000000000000000000000000000000000000000000001";
--fracXAddr_uid31_fpSqrtTest(BITSELECT,30)@0
fracXAddr_uid31_fpSqrtTest_in <= xIn_0(51 downto 0);
fracXAddr_uid31_fpSqrtTest_b <= fracXAddr_uid31_fpSqrtTest_in(51 downto 45);
--addrTable_uid32_fpSqrtTest(BITJOIN,31)@0
addrTable_uid32_fpSqrtTest_q <= expOddSelect_uid28_fpSqrtTest_q & fracXAddr_uid31_fpSqrtTest_b;
--memoryC5_uid55_sqrtTableGenerator(LOOKUP,54)@0
memoryC5_uid55_sqrtTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC5_uid55_sqrtTableGenerator_q <= "00110111000001001";
ELSIF (clk'EVENT AND clk = '1'AND VCC_q = "1") THEN
CASE (addrTable_uid32_fpSqrtTest_q) IS
WHEN "00000000" => memoryC5_uid55_sqrtTableGenerator_q <= "00110111000001001";
WHEN "00000001" => memoryC5_uid55_sqrtTableGenerator_q <= "00110101001010110";
WHEN "00000010" => memoryC5_uid55_sqrtTableGenerator_q <= "00110011011100000";
WHEN "00000011" => memoryC5_uid55_sqrtTableGenerator_q <= "00110001101001100";
WHEN "00000100" => memoryC5_uid55_sqrtTableGenerator_q <= "00101111111011110";
WHEN "00000101" => memoryC5_uid55_sqrtTableGenerator_q <= "00101110010111110";
WHEN "00000110" => memoryC5_uid55_sqrtTableGenerator_q <= "00101100110101001";
WHEN "00000111" => memoryC5_uid55_sqrtTableGenerator_q <= "00101011010000110";
WHEN "00001000" => memoryC5_uid55_sqrtTableGenerator_q <= "00101001111000000";
WHEN "00001001" => memoryC5_uid55_sqrtTableGenerator_q <= "00101000100001000";
WHEN "00001010" => memoryC5_uid55_sqrtTableGenerator_q <= "00100111001111011";
WHEN "00001011" => memoryC5_uid55_sqrtTableGenerator_q <= "00100110000101101";
WHEN "00001100" => memoryC5_uid55_sqrtTableGenerator_q <= "00100100111010100";
WHEN "00001101" => memoryC5_uid55_sqrtTableGenerator_q <= "00100011100110101";
WHEN "00001110" => memoryC5_uid55_sqrtTableGenerator_q <= "00100010100100011";
WHEN "00001111" => memoryC5_uid55_sqrtTableGenerator_q <= "00100001011010100";
WHEN "00010000" => memoryC5_uid55_sqrtTableGenerator_q <= "00100000011111001";
WHEN "00010001" => memoryC5_uid55_sqrtTableGenerator_q <= "00011111011001000";
WHEN "00010010" => memoryC5_uid55_sqrtTableGenerator_q <= "00011110011100100";
WHEN "00010011" => memoryC5_uid55_sqrtTableGenerator_q <= "00011101101010111";
WHEN "00010100" => memoryC5_uid55_sqrtTableGenerator_q <= "00011100110101000";
WHEN "00010101" => memoryC5_uid55_sqrtTableGenerator_q <= "00011011111011001";
WHEN "00010110" => memoryC5_uid55_sqrtTableGenerator_q <= "00011011000101001";
WHEN "00010111" => memoryC5_uid55_sqrtTableGenerator_q <= "00011010010110101";
WHEN "00011000" => memoryC5_uid55_sqrtTableGenerator_q <= "00011001011110000";
WHEN "00011001" => memoryC5_uid55_sqrtTableGenerator_q <= "00011000101111100";
WHEN "00011010" => memoryC5_uid55_sqrtTableGenerator_q <= "00010111111010101";
WHEN "00011011" => memoryC5_uid55_sqrtTableGenerator_q <= "00010111010001011";
WHEN "00011100" => memoryC5_uid55_sqrtTableGenerator_q <= "00010110101101000";
WHEN "00011101" => memoryC5_uid55_sqrtTableGenerator_q <= "00010110000110110";
WHEN "00011110" => memoryC5_uid55_sqrtTableGenerator_q <= "00010101010110001";
WHEN "00011111" => memoryC5_uid55_sqrtTableGenerator_q <= "00010100110100010";
WHEN "00100000" => memoryC5_uid55_sqrtTableGenerator_q <= "00010100001100111";
WHEN "00100001" => memoryC5_uid55_sqrtTableGenerator_q <= "00010011100111000";
WHEN "00100010" => memoryC5_uid55_sqrtTableGenerator_q <= "00010011001010000";
WHEN "00100011" => memoryC5_uid55_sqrtTableGenerator_q <= "00010010100101111";
WHEN "00100100" => memoryC5_uid55_sqrtTableGenerator_q <= "00010010000100110";
WHEN "00100101" => memoryC5_uid55_sqrtTableGenerator_q <= "00010001101001000";
WHEN "00100110" => memoryC5_uid55_sqrtTableGenerator_q <= "00010001000100000";
WHEN "00100111" => memoryC5_uid55_sqrtTableGenerator_q <= "00010000101000001";
WHEN "00101000" => memoryC5_uid55_sqrtTableGenerator_q <= "00010000001001100";
WHEN "00101001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001111110000101";
WHEN "00101010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001111011100000";
WHEN "00101011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001110111110110";
WHEN "00101100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001110101011010";
WHEN "00101101" => memoryC5_uid55_sqrtTableGenerator_q <= "00001110010101101";
WHEN "00101110" => memoryC5_uid55_sqrtTableGenerator_q <= "00001101111010001";
WHEN "00101111" => memoryC5_uid55_sqrtTableGenerator_q <= "00001101011110111";
WHEN "00110000" => memoryC5_uid55_sqrtTableGenerator_q <= "00001101001111111";
WHEN "00110001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001100110010111";
WHEN "00110010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001100100001101";
WHEN "00110011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001100010000010";
WHEN "00110100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001100000000110";
WHEN "00110101" => memoryC5_uid55_sqrtTableGenerator_q <= "00001011100111001";
WHEN "00110110" => memoryC5_uid55_sqrtTableGenerator_q <= "00001011001111001";
WHEN "00110111" => memoryC5_uid55_sqrtTableGenerator_q <= "00001011001000110";
WHEN "00111000" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010110010000";
WHEN "00111001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010100101100";
WHEN "00111010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010010110001";
WHEN "00111011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010000111011";
WHEN "00111100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001110100010";
WHEN "00111101" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001100100101";
WHEN "00111110" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001010010011";
WHEN "00111111" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001001110110";
WHEN "01000000" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000111011100";
WHEN "01000001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000101011101";
WHEN "01000010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000011011000";
WHEN "01000011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000010110101";
WHEN "01000100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000000111101";
WHEN "01000101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111111000001";
WHEN "01000110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111101101011";
WHEN "01000111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111100110101";
WHEN "01001000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111011110100";
WHEN "01001001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111010100100";
WHEN "01001010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111001110111";
WHEN "01001011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111000000010";
WHEN "01001100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110110110001";
WHEN "01001101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110101100000";
WHEN "01001110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110011010111";
WHEN "01001111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110010111010";
WHEN "01010000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110001111110";
WHEN "01010001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110001101110";
WHEN "01010010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101111100111";
WHEN "01010011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101110111000";
WHEN "01010100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101101010111";
WHEN "01010101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101100111011";
WHEN "01010110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101100010111";
WHEN "01010111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101010010100";
WHEN "01011000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101001110101";
WHEN "01011001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101001010100";
WHEN "01011010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100111110101";
WHEN "01011011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100111100111";
WHEN "01011100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100110111101";
WHEN "01011101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100110001010";
WHEN "01011110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100110000011";
WHEN "01011111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100011111110";
WHEN "01100000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100011000000";
WHEN "01100001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100011100011";
WHEN "01100010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100010111110";
WHEN "01100011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100001000111";
WHEN "01100100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100001010011";
WHEN "01100101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100000111001";
WHEN "01100110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100000001100";
WHEN "01100111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011110011011";
WHEN "01101000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011101110001";
WHEN "01101001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011110100010";
WHEN "01101010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011101110100";
WHEN "01101011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011101011101";
WHEN "01101100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011100001000";
WHEN "01101101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011100001011";
WHEN "01101110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011011000111";
WHEN "01101111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011010101011";
WHEN "01110000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011001010011";
WHEN "01110001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011001010011";
WHEN "01110010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011001010101";
WHEN "01110011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011001100100";
WHEN "01110100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011000110010";
WHEN "01110101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010111101100";
WHEN "01110110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010110111100";
WHEN "01110111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010110111110";
WHEN "01111000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010110000110";
WHEN "01111001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010101101001";
WHEN "01111010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010100111110";
WHEN "01111011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010100110010";
WHEN "01111100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010100111111";
WHEN "01111101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010101011000";
WHEN "01111110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010100001000";
WHEN "01111111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000010100100111";
WHEN "10000000" => memoryC5_uid55_sqrtTableGenerator_q <= "01001101101101100";
WHEN "10000001" => memoryC5_uid55_sqrtTableGenerator_q <= "01001011001110100";
WHEN "10000010" => memoryC5_uid55_sqrtTableGenerator_q <= "01001000100110100";
WHEN "10000011" => memoryC5_uid55_sqrtTableGenerator_q <= "01000110000111011";
WHEN "10000100" => memoryC5_uid55_sqrtTableGenerator_q <= "01000011111000010";
WHEN "10000101" => memoryC5_uid55_sqrtTableGenerator_q <= "01000001100001110";
WHEN "10000110" => memoryC5_uid55_sqrtTableGenerator_q <= "00111111011010101";
WHEN "10000111" => memoryC5_uid55_sqrtTableGenerator_q <= "00111101010100001";
WHEN "10001000" => memoryC5_uid55_sqrtTableGenerator_q <= "00111011001110101";
WHEN "10001001" => memoryC5_uid55_sqrtTableGenerator_q <= "00111001010100100";
WHEN "10001010" => memoryC5_uid55_sqrtTableGenerator_q <= "00110111101001000";
WHEN "10001011" => memoryC5_uid55_sqrtTableGenerator_q <= "00110101110111110";
WHEN "10001100" => memoryC5_uid55_sqrtTableGenerator_q <= "00110100001000110";
WHEN "10001101" => memoryC5_uid55_sqrtTableGenerator_q <= "00110010011001110";
WHEN "10001110" => memoryC5_uid55_sqrtTableGenerator_q <= "00110000111010001";
WHEN "10001111" => memoryC5_uid55_sqrtTableGenerator_q <= "00101111010011101";
WHEN "10010000" => memoryC5_uid55_sqrtTableGenerator_q <= "00101101110111100";
WHEN "10010001" => memoryC5_uid55_sqrtTableGenerator_q <= "00101100011101010";
WHEN "10010010" => memoryC5_uid55_sqrtTableGenerator_q <= "00101011000100101";
WHEN "10010011" => memoryC5_uid55_sqrtTableGenerator_q <= "00101001110101110";
WHEN "10010100" => memoryC5_uid55_sqrtTableGenerator_q <= "00101000100111100";
WHEN "10010101" => memoryC5_uid55_sqrtTableGenerator_q <= "00100111011000100";
WHEN "10010110" => memoryC5_uid55_sqrtTableGenerator_q <= "00100110001011010";
WHEN "10010111" => memoryC5_uid55_sqrtTableGenerator_q <= "00100101000111110";
WHEN "10011000" => memoryC5_uid55_sqrtTableGenerator_q <= "00100100000000001";
WHEN "10011001" => memoryC5_uid55_sqrtTableGenerator_q <= "00100010111100001";
WHEN "10011010" => memoryC5_uid55_sqrtTableGenerator_q <= "00100001111111000";
WHEN "10011011" => memoryC5_uid55_sqrtTableGenerator_q <= "00100000111111101";
WHEN "10011100" => memoryC5_uid55_sqrtTableGenerator_q <= "00100000000011111";
WHEN "10011101" => memoryC5_uid55_sqrtTableGenerator_q <= "00011111000001100";
WHEN "10011110" => memoryC5_uid55_sqrtTableGenerator_q <= "00011110010000001";
WHEN "10011111" => memoryC5_uid55_sqrtTableGenerator_q <= "00011101011000101";
WHEN "10100000" => memoryC5_uid55_sqrtTableGenerator_q <= "00011100100001110";
WHEN "10100001" => memoryC5_uid55_sqrtTableGenerator_q <= "00011011110000010";
WHEN "10100010" => memoryC5_uid55_sqrtTableGenerator_q <= "00011011000111101";
WHEN "10100011" => memoryC5_uid55_sqrtTableGenerator_q <= "00011010010011000";
WHEN "10100100" => memoryC5_uid55_sqrtTableGenerator_q <= "00011001101011110";
WHEN "10100101" => memoryC5_uid55_sqrtTableGenerator_q <= "00011000110100010";
WHEN "10100110" => memoryC5_uid55_sqrtTableGenerator_q <= "00011000010001000";
WHEN "10100111" => memoryC5_uid55_sqrtTableGenerator_q <= "00010111100001000";
WHEN "10101000" => memoryC5_uid55_sqrtTableGenerator_q <= "00010110111011101";
WHEN "10101001" => memoryC5_uid55_sqrtTableGenerator_q <= "00010110010111111";
WHEN "10101010" => memoryC5_uid55_sqrtTableGenerator_q <= "00010101110101111";
WHEN "10101011" => memoryC5_uid55_sqrtTableGenerator_q <= "00010101010000000";
WHEN "10101100" => memoryC5_uid55_sqrtTableGenerator_q <= "00010100101000111";
WHEN "10101101" => memoryC5_uid55_sqrtTableGenerator_q <= "00010100010000111";
WHEN "10101110" => memoryC5_uid55_sqrtTableGenerator_q <= "00010011101001011";
WHEN "10101111" => memoryC5_uid55_sqrtTableGenerator_q <= "00010011001001010";
WHEN "10110000" => memoryC5_uid55_sqrtTableGenerator_q <= "00010010100100010";
WHEN "10110001" => memoryC5_uid55_sqrtTableGenerator_q <= "00010010001011101";
WHEN "10110010" => memoryC5_uid55_sqrtTableGenerator_q <= "00010001101011001";
WHEN "10110011" => memoryC5_uid55_sqrtTableGenerator_q <= "00010001001110100";
WHEN "10110100" => memoryC5_uid55_sqrtTableGenerator_q <= "00010000110110010";
WHEN "10110101" => memoryC5_uid55_sqrtTableGenerator_q <= "00010000011100011";
WHEN "10110110" => memoryC5_uid55_sqrtTableGenerator_q <= "00010000000110010";
WHEN "10110111" => memoryC5_uid55_sqrtTableGenerator_q <= "00001111101001000";
WHEN "10111000" => memoryC5_uid55_sqrtTableGenerator_q <= "00001111010100001";
WHEN "10111001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001110111110111";
WHEN "10111010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001110101010110";
WHEN "10111011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001110001010111";
WHEN "10111100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001101111000100";
WHEN "10111101" => memoryC5_uid55_sqrtTableGenerator_q <= "00001101100101100";
WHEN "10111110" => memoryC5_uid55_sqrtTableGenerator_q <= "00001101010001010";
WHEN "10111111" => memoryC5_uid55_sqrtTableGenerator_q <= "00001100111001110";
WHEN "11000000" => memoryC5_uid55_sqrtTableGenerator_q <= "00001100100101000";
WHEN "11000001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001100011000011";
WHEN "11000010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001011111100011";
WHEN "11000011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001011110011100";
WHEN "11000100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001011100001111";
WHEN "11000101" => memoryC5_uid55_sqrtTableGenerator_q <= "00001011001101110";
WHEN "11000110" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010111100000";
WHEN "11000111" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010101101011";
WHEN "11001000" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010011011010";
WHEN "11001001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001010010010100";
WHEN "11001010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001111100111";
WHEN "11001011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001110101011";
WHEN "11001100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001101001110";
WHEN "11001101" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001011000111";
WHEN "11001110" => memoryC5_uid55_sqrtTableGenerator_q <= "00001001001111110";
WHEN "11001111" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000111110000";
WHEN "11010000" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000110101011";
WHEN "11010001" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000101101110";
WHEN "11010010" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000011111110";
WHEN "11010011" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000001100010";
WHEN "11010100" => memoryC5_uid55_sqrtTableGenerator_q <= "00001000000101110";
WHEN "11010101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111111101011";
WHEN "11010110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111101111100";
WHEN "11010111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111100011101";
WHEN "11011000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111011000110";
WHEN "11011001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111001100010";
WHEN "11011010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000111001100100";
WHEN "11011011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110111100110";
WHEN "11011100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110110001100";
WHEN "11011101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110101100011";
WHEN "11011110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110100010111";
WHEN "11011111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110011101011";
WHEN "11100000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110010100100";
WHEN "11100001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110001001011";
WHEN "11100010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110000010111";
WHEN "11100011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000110000011010";
WHEN "11100100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101110011000";
WHEN "11100101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101101011001";
WHEN "11100110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101100100100";
WHEN "11100111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101100000001";
WHEN "11101000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101010100011";
WHEN "11101001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101001110111";
WHEN "11101010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101001000010";
WHEN "11101011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101001000111";
WHEN "11101100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000101000010010";
WHEN "11101101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100111000101";
WHEN "11101110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100110011111";
WHEN "11101111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100101001100";
WHEN "11110000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100100101010";
WHEN "11110001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100011110110";
WHEN "11110010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100011111000";
WHEN "11110011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100011011101";
WHEN "11110100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100010000011";
WHEN "11110101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100001010101";
WHEN "11110110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000100001000101";
WHEN "11110111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011111111000";
WHEN "11111000" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011111111011";
WHEN "11111001" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011111010101";
WHEN "11111010" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011110010101";
WHEN "11111011" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011101101010";
WHEN "11111100" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011101000001";
WHEN "11111101" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011101100010";
WHEN "11111110" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011100010101";
WHEN "11111111" => memoryC5_uid55_sqrtTableGenerator_q <= "00000011011110110";
WHEN OTHERS =>
memoryC5_uid55_sqrtTableGenerator_q <= "00110111000001001";
END CASE;
END IF;
END PROCESS;
--ld_xIn_0_to_X44dto0_uid33_fpSqrtTest_a(DELAY,182)@0
ld_xIn_0_to_X44dto0_uid33_fpSqrtTest_a : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => xIn_0, xout => ld_xIn_0_to_X44dto0_uid33_fpSqrtTest_a_q, clk => clk, aclr => areset );
--X44dto0_uid33_fpSqrtTest(BITSELECT,32)@1
X44dto0_uid33_fpSqrtTest_in <= ld_xIn_0_to_X44dto0_uid33_fpSqrtTest_a_q(44 downto 0);
X44dto0_uid33_fpSqrtTest_b <= X44dto0_uid33_fpSqrtTest_in(44 downto 0);
--yT1_uid56_sqrtPolynomialEvaluator(BITSELECT,55)@1
yT1_uid56_sqrtPolynomialEvaluator_in <= X44dto0_uid33_fpSqrtTest_b;
yT1_uid56_sqrtPolynomialEvaluator_b <= yT1_uid56_sqrtPolynomialEvaluator_in(44 downto 28);
--prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator(MULT,86)@1
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_a),18)) * SIGNED(prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_b);
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_a <= yT1_uid56_sqrtPolynomialEvaluator_b;
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_b <= memoryC5_uid55_sqrtTableGenerator_q;
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_pr,34));
END IF;
END PROCESS;
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_q <= prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_s1;
END IF;
END PROCESS;
--prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator(BITSELECT,87)@4
prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator_in <= prodXY_uid87_pT1_uid57_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator_in(33 downto 16);
--highBBits_uid59_sqrtPolynomialEvaluator(BITSELECT,58)@4
highBBits_uid59_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator_b;
highBBits_uid59_sqrtPolynomialEvaluator_b <= highBBits_uid59_sqrtPolynomialEvaluator_in(17 downto 1);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC4_uid54_sqrtTableGenerator_a(DELAY,203)@0
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC4_uid54_sqrtTableGenerator_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => addrTable_uid32_fpSqrtTest_q, xout => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC4_uid54_sqrtTableGenerator_a_q, clk => clk, aclr => areset );
--memoryC4_uid54_sqrtTableGenerator(LOOKUP,53)@3
memoryC4_uid54_sqrtTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC4_uid54_sqrtTableGenerator_q <= "101100000000001000110110";
ELSIF (clk'EVENT AND clk = '1'AND VCC_q = "1") THEN
CASE (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC4_uid54_sqrtTableGenerator_a_q) IS
WHEN "00000000" => memoryC4_uid54_sqrtTableGenerator_q <= "101100000000001000110110";
WHEN "00000001" => memoryC4_uid54_sqrtTableGenerator_q <= "101100100010100000111110";
WHEN "00000010" => memoryC4_uid54_sqrtTableGenerator_q <= "101101000011101100101111";
WHEN "00000011" => memoryC4_uid54_sqrtTableGenerator_q <= "101101100011110010111000";
WHEN "00000100" => memoryC4_uid54_sqrtTableGenerator_q <= "101110000010110011110010";
WHEN "00000101" => memoryC4_uid54_sqrtTableGenerator_q <= "101110100000110000011101";
WHEN "00000110" => memoryC4_uid54_sqrtTableGenerator_q <= "101110111101101101110111";
WHEN "00000111" => memoryC4_uid54_sqrtTableGenerator_q <= "101111011001110000010110";
WHEN "00001000" => memoryC4_uid54_sqrtTableGenerator_q <= "101111110100110101011001";
WHEN "00001001" => memoryC4_uid54_sqrtTableGenerator_q <= "110000001111000010101011";
WHEN "00001010" => memoryC4_uid54_sqrtTableGenerator_q <= "110000101000011000110010";
WHEN "00001011" => memoryC4_uid54_sqrtTableGenerator_q <= "110001000000111001101101";
WHEN "00001100" => memoryC4_uid54_sqrtTableGenerator_q <= "110001011000101001111000";
WHEN "00001101" => memoryC4_uid54_sqrtTableGenerator_q <= "110001101111101101110000";
WHEN "00001110" => memoryC4_uid54_sqrtTableGenerator_q <= "110010000101111110100011";
WHEN "00001111" => memoryC4_uid54_sqrtTableGenerator_q <= "110010011011100110011110";
WHEN "00010000" => memoryC4_uid54_sqrtTableGenerator_q <= "110010110000011111000101";
WHEN "00010001" => memoryC4_uid54_sqrtTableGenerator_q <= "110011000100110011011001";
WHEN "00010010" => memoryC4_uid54_sqrtTableGenerator_q <= "110011011000011101100101";
WHEN "00010011" => memoryC4_uid54_sqrtTableGenerator_q <= "110011101011011110011101";
WHEN "00010100" => memoryC4_uid54_sqrtTableGenerator_q <= "110011111101111100111101";
WHEN "00010101" => memoryC4_uid54_sqrtTableGenerator_q <= "110100001111111001111010";
WHEN "00010110" => memoryC4_uid54_sqrtTableGenerator_q <= "110100100001010011111101";
WHEN "00010111" => memoryC4_uid54_sqrtTableGenerator_q <= "110100110010001011011100";
WHEN "00011000" => memoryC4_uid54_sqrtTableGenerator_q <= "110101000010100110110011";
WHEN "00011001" => memoryC4_uid54_sqrtTableGenerator_q <= "110101010010100001000100";
WHEN "00011010" => memoryC4_uid54_sqrtTableGenerator_q <= "110101100010000000011111";
WHEN "00011011" => memoryC4_uid54_sqrtTableGenerator_q <= "110101110001000000000111";
WHEN "00011100" => memoryC4_uid54_sqrtTableGenerator_q <= "110101111111100011001100";
WHEN "00011101" => memoryC4_uid54_sqrtTableGenerator_q <= "110110001101101100101011";
WHEN "00011110" => memoryC4_uid54_sqrtTableGenerator_q <= "110110011011100000011011";
WHEN "00011111" => memoryC4_uid54_sqrtTableGenerator_q <= "110110101000110111000100";
WHEN "00100000" => memoryC4_uid54_sqrtTableGenerator_q <= "110110110101111000000011";
WHEN "00100001" => memoryC4_uid54_sqrtTableGenerator_q <= "110111000010100010000101";
WHEN "00100010" => memoryC4_uid54_sqrtTableGenerator_q <= "110111001110110011001000";
WHEN "00100011" => memoryC4_uid54_sqrtTableGenerator_q <= "110111011010110001010101";
WHEN "00100100" => memoryC4_uid54_sqrtTableGenerator_q <= "110111100110011001111011";
WHEN "00100101" => memoryC4_uid54_sqrtTableGenerator_q <= "110111110001101101000100";
WHEN "00100110" => memoryC4_uid54_sqrtTableGenerator_q <= "110111111100110000001111";
WHEN "00100111" => memoryC4_uid54_sqrtTableGenerator_q <= "111000000111011101110001";
WHEN "00101000" => memoryC4_uid54_sqrtTableGenerator_q <= "111000010001111010010001";
WHEN "00101001" => memoryC4_uid54_sqrtTableGenerator_q <= "111000011100000011100111";
WHEN "00101010" => memoryC4_uid54_sqrtTableGenerator_q <= "111000100101111010101011";
WHEN "00101011" => memoryC4_uid54_sqrtTableGenerator_q <= "111000101111100100001111";
WHEN "00101100" => memoryC4_uid54_sqrtTableGenerator_q <= "111000111000111011000011";
WHEN "00101101" => memoryC4_uid54_sqrtTableGenerator_q <= "111001000010000011001101";
WHEN "00101110" => memoryC4_uid54_sqrtTableGenerator_q <= "111001001010111110010111";
WHEN "00101111" => memoryC4_uid54_sqrtTableGenerator_q <= "111001010011101011100011";
WHEN "00110000" => memoryC4_uid54_sqrtTableGenerator_q <= "111001011100000110010100";
WHEN "00110001" => memoryC4_uid54_sqrtTableGenerator_q <= "111001100100011000101111";
WHEN "00110010" => memoryC4_uid54_sqrtTableGenerator_q <= "111001101100011001111001";
WHEN "00110011" => memoryC4_uid54_sqrtTableGenerator_q <= "111001110100001110101001";
WHEN "00110100" => memoryC4_uid54_sqrtTableGenerator_q <= "111001111011110110010110";
WHEN "00110101" => memoryC4_uid54_sqrtTableGenerator_q <= "111010000011010101101010";
WHEN "00110110" => memoryC4_uid54_sqrtTableGenerator_q <= "111010001010101000110111";
WHEN "00110111" => memoryC4_uid54_sqrtTableGenerator_q <= "111010010001101011001011";
WHEN "00111000" => memoryC4_uid54_sqrtTableGenerator_q <= "111010011000100111111001";
WHEN "00111001" => memoryC4_uid54_sqrtTableGenerator_q <= "111010011111010110110101";
WHEN "00111010" => memoryC4_uid54_sqrtTableGenerator_q <= "111010100101111100001111";
WHEN "00111011" => memoryC4_uid54_sqrtTableGenerator_q <= "111010101100010111100101";
WHEN "00111100" => memoryC4_uid54_sqrtTableGenerator_q <= "111010110010101010111100";
WHEN "00111101" => memoryC4_uid54_sqrtTableGenerator_q <= "111010111000110011100111";
WHEN "00111110" => memoryC4_uid54_sqrtTableGenerator_q <= "111010111110110100010010";
WHEN "00111111" => memoryC4_uid54_sqrtTableGenerator_q <= "111011000100100110111100";
WHEN "01000000" => memoryC4_uid54_sqrtTableGenerator_q <= "111011001010010110011111";
WHEN "01000001" => memoryC4_uid54_sqrtTableGenerator_q <= "111011001111111100010110";
WHEN "01000010" => memoryC4_uid54_sqrtTableGenerator_q <= "111011010101011010011100";
WHEN "01000011" => memoryC4_uid54_sqrtTableGenerator_q <= "111011011010101100100101";
WHEN "01000100" => memoryC4_uid54_sqrtTableGenerator_q <= "111011011111111010100100";
WHEN "01000101" => memoryC4_uid54_sqrtTableGenerator_q <= "111011100101000001011010";
WHEN "01000110" => memoryC4_uid54_sqrtTableGenerator_q <= "111011101001111111010111";
WHEN "01000111" => memoryC4_uid54_sqrtTableGenerator_q <= "111011101110110100110100";
WHEN "01001000" => memoryC4_uid54_sqrtTableGenerator_q <= "111011110011100100000110";
WHEN "01001001" => memoryC4_uid54_sqrtTableGenerator_q <= "111011111000001101000001";
WHEN "01001010" => memoryC4_uid54_sqrtTableGenerator_q <= "111011111100101110010010";
WHEN "01001011" => memoryC4_uid54_sqrtTableGenerator_q <= "111100000001001100000001";
WHEN "01001100" => memoryC4_uid54_sqrtTableGenerator_q <= "111100000101100010000010";
WHEN "01001101" => memoryC4_uid54_sqrtTableGenerator_q <= "111100001001110010011010";
WHEN "01001110" => memoryC4_uid54_sqrtTableGenerator_q <= "111100001101111111001110";
WHEN "01001111" => memoryC4_uid54_sqrtTableGenerator_q <= "111100010010000001101101";
WHEN "01010000" => memoryC4_uid54_sqrtTableGenerator_q <= "111100010110000000000101";
WHEN "01010001" => memoryC4_uid54_sqrtTableGenerator_q <= "111100011001110111001110";
WHEN "01010010" => memoryC4_uid54_sqrtTableGenerator_q <= "111100011101101110000110";
WHEN "01010011" => memoryC4_uid54_sqrtTableGenerator_q <= "111100100001011100010000";
WHEN "01010100" => memoryC4_uid54_sqrtTableGenerator_q <= "111100100101000111001110";
WHEN "01010101" => memoryC4_uid54_sqrtTableGenerator_q <= "111100101000101010101011";
WHEN "01010110" => memoryC4_uid54_sqrtTableGenerator_q <= "111100101100001010000000";
WHEN "01010111" => memoryC4_uid54_sqrtTableGenerator_q <= "111100101111101000001100";
WHEN "01011000" => memoryC4_uid54_sqrtTableGenerator_q <= "111100110010111110001101";
WHEN "01011001" => memoryC4_uid54_sqrtTableGenerator_q <= "111100110110001111101111";
WHEN "01011010" => memoryC4_uid54_sqrtTableGenerator_q <= "111100111001011111010100";
WHEN "01011011" => memoryC4_uid54_sqrtTableGenerator_q <= "111100111100100111100011";
WHEN "01011100" => memoryC4_uid54_sqrtTableGenerator_q <= "111100111111101101000001";
WHEN "01011101" => memoryC4_uid54_sqrtTableGenerator_q <= "111101000010101110111101";
WHEN "01011110" => memoryC4_uid54_sqrtTableGenerator_q <= "111101000101101011001001";
WHEN "01011111" => memoryC4_uid54_sqrtTableGenerator_q <= "111101001000101000100111";
WHEN "01100000" => memoryC4_uid54_sqrtTableGenerator_q <= "111101001011011111101000";
WHEN "01100001" => memoryC4_uid54_sqrtTableGenerator_q <= "111101001110001111001010";
WHEN "01100010" => memoryC4_uid54_sqrtTableGenerator_q <= "111101010000111101111101";
WHEN "01100011" => memoryC4_uid54_sqrtTableGenerator_q <= "111101010011101101000100";
WHEN "01100100" => memoryC4_uid54_sqrtTableGenerator_q <= "111101010110010011001101";
WHEN "01100101" => memoryC4_uid54_sqrtTableGenerator_q <= "111101011000110111100010";
WHEN "01100110" => memoryC4_uid54_sqrtTableGenerator_q <= "111101011011011001011101";
WHEN "01100111" => memoryC4_uid54_sqrtTableGenerator_q <= "111101011101111011011000";
WHEN "01101000" => memoryC4_uid54_sqrtTableGenerator_q <= "111101100000010111001000";
WHEN "01101001" => memoryC4_uid54_sqrtTableGenerator_q <= "111101100010101100011001";
WHEN "01101010" => memoryC4_uid54_sqrtTableGenerator_q <= "111101100101000010011010";
WHEN "01101011" => memoryC4_uid54_sqrtTableGenerator_q <= "111101100111010100101100";
WHEN "01101100" => memoryC4_uid54_sqrtTableGenerator_q <= "111101101001100110111000";
WHEN "01101101" => memoryC4_uid54_sqrtTableGenerator_q <= "111101101011110010111010";
WHEN "01101110" => memoryC4_uid54_sqrtTableGenerator_q <= "111101101101111111000110";
WHEN "01101111" => memoryC4_uid54_sqrtTableGenerator_q <= "111101110000000111000001";
WHEN "01110000" => memoryC4_uid54_sqrtTableGenerator_q <= "111101110010001110111001";
WHEN "01110001" => memoryC4_uid54_sqrtTableGenerator_q <= "111101110100010000110100";
WHEN "01110010" => memoryC4_uid54_sqrtTableGenerator_q <= "111101110110010000001010";
WHEN "01110011" => memoryC4_uid54_sqrtTableGenerator_q <= "111101111000001100100111";
WHEN "01110100" => memoryC4_uid54_sqrtTableGenerator_q <= "111101111010001001011001";
WHEN "01110101" => memoryC4_uid54_sqrtTableGenerator_q <= "111101111100000100110100";
WHEN "01110110" => memoryC4_uid54_sqrtTableGenerator_q <= "111101111101111101010110";
WHEN "01110111" => memoryC4_uid54_sqrtTableGenerator_q <= "111101111111110001010110";
WHEN "01111000" => memoryC4_uid54_sqrtTableGenerator_q <= "111110000001100101111100";
WHEN "01111001" => memoryC4_uid54_sqrtTableGenerator_q <= "111110000011010111010000";
WHEN "01111010" => memoryC4_uid54_sqrtTableGenerator_q <= "111110000101000111000000";
WHEN "01111011" => memoryC4_uid54_sqrtTableGenerator_q <= "111110000110110011100101";
WHEN "01111100" => memoryC4_uid54_sqrtTableGenerator_q <= "111110001000011101100000";
WHEN "01111101" => memoryC4_uid54_sqrtTableGenerator_q <= "111110001010000100101101";
WHEN "01111110" => memoryC4_uid54_sqrtTableGenerator_q <= "111110001011101110100001";
WHEN "01111111" => memoryC4_uid54_sqrtTableGenerator_q <= "111110001101010001110000";
WHEN "10000000" => memoryC4_uid54_sqrtTableGenerator_q <= "100011101110000010001011";
WHEN "10000001" => memoryC4_uid54_sqrtTableGenerator_q <= "100100011110100110110110";
WHEN "10000010" => memoryC4_uid54_sqrtTableGenerator_q <= "100101001101100110001001";
WHEN "10000011" => memoryC4_uid54_sqrtTableGenerator_q <= "100101111010111110010000";
WHEN "10000100" => memoryC4_uid54_sqrtTableGenerator_q <= "100110100110110001001000";
WHEN "10000101" => memoryC4_uid54_sqrtTableGenerator_q <= "100111010001001010100111";
WHEN "10000110" => memoryC4_uid54_sqrtTableGenerator_q <= "100111111010000110110101";
WHEN "10000111" => memoryC4_uid54_sqrtTableGenerator_q <= "101000100001101101101101";
WHEN "10001000" => memoryC4_uid54_sqrtTableGenerator_q <= "101001001000000011011001";
WHEN "10001001" => memoryC4_uid54_sqrtTableGenerator_q <= "101001101101000111000101";
WHEN "10001010" => memoryC4_uid54_sqrtTableGenerator_q <= "101010010000111010101000";
WHEN "10001011" => memoryC4_uid54_sqrtTableGenerator_q <= "101010110011101000001011";
WHEN "10001100" => memoryC4_uid54_sqrtTableGenerator_q <= "101011010101001111100100";
WHEN "10001101" => memoryC4_uid54_sqrtTableGenerator_q <= "101011110101110100010100";
WHEN "10001110" => memoryC4_uid54_sqrtTableGenerator_q <= "101100010101010100000011";
WHEN "10001111" => memoryC4_uid54_sqrtTableGenerator_q <= "101100110011111000001011";
WHEN "10010000" => memoryC4_uid54_sqrtTableGenerator_q <= "101101010001011101011110";
WHEN "10010001" => memoryC4_uid54_sqrtTableGenerator_q <= "101101101110001001011111";
WHEN "10010010" => memoryC4_uid54_sqrtTableGenerator_q <= "101110001001111101011011";
WHEN "10010011" => memoryC4_uid54_sqrtTableGenerator_q <= "101110100100111001011001";
WHEN "10010100" => memoryC4_uid54_sqrtTableGenerator_q <= "101110111111000010010010";
WHEN "10010101" => memoryC4_uid54_sqrtTableGenerator_q <= "101111011000011010010001";
WHEN "10010110" => memoryC4_uid54_sqrtTableGenerator_q <= "101111110001000010000111";
WHEN "10010111" => memoryC4_uid54_sqrtTableGenerator_q <= "110000001000111001001111";
WHEN "10011000" => memoryC4_uid54_sqrtTableGenerator_q <= "110000100000000101100111";
WHEN "10011001" => memoryC4_uid54_sqrtTableGenerator_q <= "110000110110100110100110";
WHEN "10011010" => memoryC4_uid54_sqrtTableGenerator_q <= "110001001100011011101101";
WHEN "10011011" => memoryC4_uid54_sqrtTableGenerator_q <= "110001100001101010011101";
WHEN "10011100" => memoryC4_uid54_sqrtTableGenerator_q <= "110001110110010001110111";
WHEN "10011101" => memoryC4_uid54_sqrtTableGenerator_q <= "110010001010010110100101";
WHEN "10011110" => memoryC4_uid54_sqrtTableGenerator_q <= "110010011101110010010001";
WHEN "10011111" => memoryC4_uid54_sqrtTableGenerator_q <= "110010110000101101010100";
WHEN "10100000" => memoryC4_uid54_sqrtTableGenerator_q <= "110011000011000111001010";
WHEN "10100001" => memoryC4_uid54_sqrtTableGenerator_q <= "110011010100111111001010";
WHEN "10100010" => memoryC4_uid54_sqrtTableGenerator_q <= "110011100110010101010100";
WHEN "10100011" => memoryC4_uid54_sqrtTableGenerator_q <= "110011110111010001011001";
WHEN "10100100" => memoryC4_uid54_sqrtTableGenerator_q <= "110100000111101011111011";
WHEN "10100101" => memoryC4_uid54_sqrtTableGenerator_q <= "110100010111110000000111";
WHEN "10100110" => memoryC4_uid54_sqrtTableGenerator_q <= "110100100111010010010010";
WHEN "10100111" => memoryC4_uid54_sqrtTableGenerator_q <= "110100110110011110101011";
WHEN "10101000" => memoryC4_uid54_sqrtTableGenerator_q <= "110101000101001110010000";
WHEN "10101001" => memoryC4_uid54_sqrtTableGenerator_q <= "110101010011100100100010";
WHEN "10101010" => memoryC4_uid54_sqrtTableGenerator_q <= "110101100001100010110001";
WHEN "10101011" => memoryC4_uid54_sqrtTableGenerator_q <= "110101101111001010110110";
WHEN "10101100" => memoryC4_uid54_sqrtTableGenerator_q <= "110101111100011101100111";
WHEN "10101101" => memoryC4_uid54_sqrtTableGenerator_q <= "110110001001010101100000";
WHEN "10101110" => memoryC4_uid54_sqrtTableGenerator_q <= "110110010101111101011110";
WHEN "10101111" => memoryC4_uid54_sqrtTableGenerator_q <= "110110100010001110111110";
WHEN "10110000" => memoryC4_uid54_sqrtTableGenerator_q <= "110110101110001110100011";
WHEN "10110001" => memoryC4_uid54_sqrtTableGenerator_q <= "110110111001110111001101";
WHEN "10110010" => memoryC4_uid54_sqrtTableGenerator_q <= "110111000101001111100001";
WHEN "10110011" => memoryC4_uid54_sqrtTableGenerator_q <= "110111010000010101000000";
WHEN "10110100" => memoryC4_uid54_sqrtTableGenerator_q <= "110111011011000111100010";
WHEN "10110101" => memoryC4_uid54_sqrtTableGenerator_q <= "110111100101101001111010";
WHEN "10110110" => memoryC4_uid54_sqrtTableGenerator_q <= "110111101111111010111001";
WHEN "10110111" => memoryC4_uid54_sqrtTableGenerator_q <= "110111111001111110001110";
WHEN "10111000" => memoryC4_uid54_sqrtTableGenerator_q <= "111000000011101111100110";
WHEN "10111001" => memoryC4_uid54_sqrtTableGenerator_q <= "111000001101010001111110";
WHEN "10111010" => memoryC4_uid54_sqrtTableGenerator_q <= "111000010110100101101100";
WHEN "10111011" => memoryC4_uid54_sqrtTableGenerator_q <= "111000011111101111001011";
WHEN "10111100" => memoryC4_uid54_sqrtTableGenerator_q <= "111000101000100110100101";
WHEN "10111101" => memoryC4_uid54_sqrtTableGenerator_q <= "111000110001010000101101";
WHEN "10111110" => memoryC4_uid54_sqrtTableGenerator_q <= "111000111001101110110011";
WHEN "10111111" => memoryC4_uid54_sqrtTableGenerator_q <= "111001000010000001001111";
WHEN "11000000" => memoryC4_uid54_sqrtTableGenerator_q <= "111001001010000110110111";
WHEN "11000001" => memoryC4_uid54_sqrtTableGenerator_q <= "111001010001111101100010";
WHEN "11000010" => memoryC4_uid54_sqrtTableGenerator_q <= "111001011001101110010000";
WHEN "11000011" => memoryC4_uid54_sqrtTableGenerator_q <= "111001100001001101011000";
WHEN "11000100" => memoryC4_uid54_sqrtTableGenerator_q <= "111001101000100100101100";
WHEN "11000101" => memoryC4_uid54_sqrtTableGenerator_q <= "111001101111110010000010";
WHEN "11000110" => memoryC4_uid54_sqrtTableGenerator_q <= "111001110110110100101000";
WHEN "11000111" => memoryC4_uid54_sqrtTableGenerator_q <= "111001111101101011110111";
WHEN "11001000" => memoryC4_uid54_sqrtTableGenerator_q <= "111010000100011010110110";
WHEN "11001001" => memoryC4_uid54_sqrtTableGenerator_q <= "111010001010111101001010";
WHEN "11001010" => memoryC4_uid54_sqrtTableGenerator_q <= "111010010001011010101101";
WHEN "11001011" => memoryC4_uid54_sqrtTableGenerator_q <= "111010010111101010011100";
WHEN "11001100" => memoryC4_uid54_sqrtTableGenerator_q <= "111010011101110011001001";
WHEN "11001101" => memoryC4_uid54_sqrtTableGenerator_q <= "111010100011110100111101";
WHEN "11001110" => memoryC4_uid54_sqrtTableGenerator_q <= "111010101001101100000101";
WHEN "11001111" => memoryC4_uid54_sqrtTableGenerator_q <= "111010101111011101110101";
WHEN "11010000" => memoryC4_uid54_sqrtTableGenerator_q <= "111010110101000100111000";
WHEN "11010001" => memoryC4_uid54_sqrtTableGenerator_q <= "111010111010100011111001";
WHEN "11010010" => memoryC4_uid54_sqrtTableGenerator_q <= "111010111111111101101000";
WHEN "11010011" => memoryC4_uid54_sqrtTableGenerator_q <= "111011000101010010001111";
WHEN "11010100" => memoryC4_uid54_sqrtTableGenerator_q <= "111011001010011011001101";
WHEN "11010101" => memoryC4_uid54_sqrtTableGenerator_q <= "111011001111011101110100";
WHEN "11010110" => memoryC4_uid54_sqrtTableGenerator_q <= "111011010100011011110101";
WHEN "11010111" => memoryC4_uid54_sqrtTableGenerator_q <= "111011011001010010111100";
WHEN "11011000" => memoryC4_uid54_sqrtTableGenerator_q <= "111011011110000010110111";
WHEN "11011001" => memoryC4_uid54_sqrtTableGenerator_q <= "111011100010101101010110";
WHEN "11011010" => memoryC4_uid54_sqrtTableGenerator_q <= "111011100111001101101101";
WHEN "11011011" => memoryC4_uid54_sqrtTableGenerator_q <= "111011101011101101010100";
WHEN "11011100" => memoryC4_uid54_sqrtTableGenerator_q <= "111011110000000101110010";
WHEN "11011101" => memoryC4_uid54_sqrtTableGenerator_q <= "111011110100010110110100";
WHEN "11011110" => memoryC4_uid54_sqrtTableGenerator_q <= "111011111000100011011111";
WHEN "11011111" => memoryC4_uid54_sqrtTableGenerator_q <= "111011111100101001101100";
WHEN "11100000" => memoryC4_uid54_sqrtTableGenerator_q <= "111100000000101011110100";
WHEN "11100001" => memoryC4_uid54_sqrtTableGenerator_q <= "111100000100101001011101";
WHEN "11100010" => memoryC4_uid54_sqrtTableGenerator_q <= "111100001000100001000011";
WHEN "11100011" => memoryC4_uid54_sqrtTableGenerator_q <= "111100001100010001001010";
WHEN "11100100" => memoryC4_uid54_sqrtTableGenerator_q <= "111100010000000010001010";
WHEN "11100101" => memoryC4_uid54_sqrtTableGenerator_q <= "111100010011101011111111";
WHEN "11100110" => memoryC4_uid54_sqrtTableGenerator_q <= "111100010111010000100001";
WHEN "11100111" => memoryC4_uid54_sqrtTableGenerator_q <= "111100011010110000000111";
WHEN "11101000" => memoryC4_uid54_sqrtTableGenerator_q <= "111100011110001101111110";
WHEN "11101001" => memoryC4_uid54_sqrtTableGenerator_q <= "111100100001100101100111";
WHEN "11101010" => memoryC4_uid54_sqrtTableGenerator_q <= "111100100100111001100011";
WHEN "11101011" => memoryC4_uid54_sqrtTableGenerator_q <= "111100101000000110101010";
WHEN "11101100" => memoryC4_uid54_sqrtTableGenerator_q <= "111100101011010010110101";
WHEN "11101101" => memoryC4_uid54_sqrtTableGenerator_q <= "111100101110011011111110";
WHEN "11101110" => memoryC4_uid54_sqrtTableGenerator_q <= "111100110001011111110001";
WHEN "11101111" => memoryC4_uid54_sqrtTableGenerator_q <= "111100110100100001111101";
WHEN "11110000" => memoryC4_uid54_sqrtTableGenerator_q <= "111100110111011110100110";
WHEN "11110001" => memoryC4_uid54_sqrtTableGenerator_q <= "111100111010011000000100";
WHEN "11110010" => memoryC4_uid54_sqrtTableGenerator_q <= "111100111101001100001110";
WHEN "11110011" => memoryC4_uid54_sqrtTableGenerator_q <= "111100111111111110011110";
WHEN "11110100" => memoryC4_uid54_sqrtTableGenerator_q <= "111101000010101111101110";
WHEN "11110101" => memoryC4_uid54_sqrtTableGenerator_q <= "111101000101011100010001";
WHEN "11110110" => memoryC4_uid54_sqrtTableGenerator_q <= "111101001000000100001101";
WHEN "11110111" => memoryC4_uid54_sqrtTableGenerator_q <= "111101001010101011110100";
WHEN "11111000" => memoryC4_uid54_sqrtTableGenerator_q <= "111101001101001101000000";
WHEN "11111001" => memoryC4_uid54_sqrtTableGenerator_q <= "111101001111101101000010";
WHEN "11111010" => memoryC4_uid54_sqrtTableGenerator_q <= "111101010010001011011111";
WHEN "11111011" => memoryC4_uid54_sqrtTableGenerator_q <= "111101010100100110001101";
WHEN "11111100" => memoryC4_uid54_sqrtTableGenerator_q <= "111101010110111110000100";
WHEN "11111101" => memoryC4_uid54_sqrtTableGenerator_q <= "111101011001010000010000";
WHEN "11111110" => memoryC4_uid54_sqrtTableGenerator_q <= "111101011011100100010001";
WHEN "11111111" => memoryC4_uid54_sqrtTableGenerator_q <= "111101011101110100001010";
WHEN OTHERS =>
memoryC4_uid54_sqrtTableGenerator_q <= "101100000000001000110110";
END CASE;
END IF;
END PROCESS;
--sumAHighB_uid60_sqrtPolynomialEvaluator(ADD,59)@4
sumAHighB_uid60_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((24 downto 24 => memoryC4_uid54_sqrtTableGenerator_q(23)) & memoryC4_uid54_sqrtTableGenerator_q);
sumAHighB_uid60_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((24 downto 17 => highBBits_uid59_sqrtPolynomialEvaluator_b(16)) & highBBits_uid59_sqrtPolynomialEvaluator_b);
sumAHighB_uid60_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid60_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid60_sqrtPolynomialEvaluator_b));
sumAHighB_uid60_sqrtPolynomialEvaluator_q <= sumAHighB_uid60_sqrtPolynomialEvaluator_o(24 downto 0);
--lowRangeB_uid58_sqrtPolynomialEvaluator(BITSELECT,57)@4
lowRangeB_uid58_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid88_pT1_uid57_sqrtPolynomialEvaluator_b(0 downto 0);
lowRangeB_uid58_sqrtPolynomialEvaluator_b <= lowRangeB_uid58_sqrtPolynomialEvaluator_in(0 downto 0);
--s1_uid58_uid61_sqrtPolynomialEvaluator(BITJOIN,60)@4
s1_uid58_uid61_sqrtPolynomialEvaluator_q <= sumAHighB_uid60_sqrtPolynomialEvaluator_q & lowRangeB_uid58_sqrtPolynomialEvaluator_b;
--reg_s1_uid58_uid61_sqrtPolynomialEvaluator_0_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_1(REG,130)@4
reg_s1_uid58_uid61_sqrtPolynomialEvaluator_0_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid58_uid61_sqrtPolynomialEvaluator_0_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_s1_uid58_uid61_sqrtPolynomialEvaluator_0_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_1_q <= s1_uid58_uid61_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor(LOGICAL,446)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_b <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_q <= not (ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_a or ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_b);
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_cmpReg(REG,444)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_cmpReg_q <= VCC_q;
END IF;
END PROCESS;
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_sticky_ena(REG,447)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_nor_q = "1") THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_sticky_ena_q <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd(LOGICAL,448)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_a <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_b <= VCC_q;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_q <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_a and ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_b;
--yT2_uid62_sqrtPolynomialEvaluator(BITSELECT,61)@1
yT2_uid62_sqrtPolynomialEvaluator_in <= X44dto0_uid33_fpSqrtTest_b;
yT2_uid62_sqrtPolynomialEvaluator_b <= yT2_uid62_sqrtPolynomialEvaluator_in(44 downto 21);
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt(COUNTER,440)
-- every=1, low=0, high=1, step=1, init=1
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_i + 1;
END IF;
END PROCESS;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_i,1));
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg(REG,441)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg_q <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux(MUX,442)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_s <= VCC_q;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux: PROCESS (ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_s, ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg_q, ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_q)
BEGIN
CASE ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_s IS
WHEN "0" => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg_q;
WHEN "1" => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
WHEN OTHERS => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem(DUALMEM,439)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_reset0 <= areset;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_ia <= yT2_uid62_sqrtPolynomialEvaluator_b;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_aa <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdreg_q;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_ab <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_rdmux_q;
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 24,
widthad_a => 1,
numwords_a => 2,
width_b => 24,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_iq,
address_a => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_aa,
data_a => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_ia
);
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_q <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_iq(23 downto 0);
--ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_outputreg(DELAY,438)
ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_outputreg : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_replace_mem_q, xout => ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_outputreg_q, clk => clk, aclr => areset );
--prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator(MULT,89)@5
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a),25)) * SIGNED(prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_b);
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a <= ld_yT2_uid62_sqrtPolynomialEvaluator_b_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_a_outputreg_q;
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_b <= reg_s1_uid58_uid61_sqrtPolynomialEvaluator_0_to_prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_1_q;
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_pr,50));
END IF;
END PROCESS;
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_q <= prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_s1;
END IF;
END PROCESS;
--prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator(BITSELECT,90)@8
prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator_in <= prodXY_uid90_pT2_uid63_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator_in(49 downto 23);
--highBBits_uid65_sqrtPolynomialEvaluator(BITSELECT,64)@8
highBBits_uid65_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator_b;
highBBits_uid65_sqrtPolynomialEvaluator_b <= highBBits_uid65_sqrtPolynomialEvaluator_in(26 downto 1);
--reg_highBBits_uid65_sqrtPolynomialEvaluator_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_1(REG,131)@8
reg_highBBits_uid65_sqrtPolynomialEvaluator_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid65_sqrtPolynomialEvaluator_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid65_sqrtPolynomialEvaluator_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_1_q <= highBBits_uid65_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor(LOGICAL,422)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_b <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_q <= not (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_a or ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_b);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_mem_top(CONSTANT,418)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_mem_top_q <= "0101";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp(LOGICAL,419)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_mem_top_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_q);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_q <= "1" when ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_a = ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_b else "0";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmpReg(REG,420)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmpReg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_sticky_ena(REG,423)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_nor_q = "1") THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_sticky_ena_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd(LOGICAL,424)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_b <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_a and ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_b;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt(COUNTER,414)
-- every=1, low=0, high=5, step=1, init=1
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i = 4 THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i - 5;
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_i,3));
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg(REG,415)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg_q <= "000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux(MUX,416)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux: PROCESS (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_s, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg_q, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem(DUALMEM,413)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_reset0 <= areset;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_ia <= addrTable_uid32_fpSqrtTest_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_aa <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdreg_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_ab <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_rdmux_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 6,
width_b => 8,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_iq,
address_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_aa,
data_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_ia
);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_iq(7 downto 0);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_outputreg(DELAY,412)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_replace_mem_q, xout => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC3_uid53_sqrtTableGenerator(LOOKUP,52)@8
memoryC3_uid53_sqrtTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC3_uid53_sqrtTableGenerator_q <= "001111111111111111111110110111010";
ELSIF (clk'EVENT AND clk = '1'AND VCC_q = "1") THEN
CASE (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC3_uid53_sqrtTableGenerator_a_outputreg_q) IS
WHEN "00000000" => memoryC3_uid53_sqrtTableGenerator_q <= "001111111111111111111110110111010";
WHEN "00000001" => memoryC3_uid53_sqrtTableGenerator_q <= "001111101100010001010010000100011";
WHEN "00000010" => memoryC3_uid53_sqrtTableGenerator_q <= "001111011001000100011000101011111";
WHEN "00000011" => memoryC3_uid53_sqrtTableGenerator_q <= "001111000110011000000111111111100";
WHEN "00000100" => memoryC3_uid53_sqrtTableGenerator_q <= "001110110100001011011001101101100";
WHEN "00000101" => memoryC3_uid53_sqrtTableGenerator_q <= "001110100010011101001010011010001";
WHEN "00000110" => memoryC3_uid53_sqrtTableGenerator_q <= "001110010001001100011000100000111";
WHEN "00000111" => memoryC3_uid53_sqrtTableGenerator_q <= "001110000000011000000100111001011";
WHEN "00001000" => memoryC3_uid53_sqrtTableGenerator_q <= "001101101111111111010100111001001";
WHEN "00001001" => memoryC3_uid53_sqrtTableGenerator_q <= "001101100000000001001110000101000";
WHEN "00001010" => memoryC3_uid53_sqrtTableGenerator_q <= "001101010000011100111001101000110";
WHEN "00001011" => memoryC3_uid53_sqrtTableGenerator_q <= "001101000001010001100010010001111";
WHEN "00001100" => memoryC3_uid53_sqrtTableGenerator_q <= "001100110010011110010100100010000";
WHEN "00001101" => memoryC3_uid53_sqrtTableGenerator_q <= "001100100100000010011110101011100";
WHEN "00001110" => memoryC3_uid53_sqrtTableGenerator_q <= "001100010101111101010011100100111";
WHEN "00001111" => memoryC3_uid53_sqrtTableGenerator_q <= "001100001000001110000011110010001";
WHEN "00010000" => memoryC3_uid53_sqrtTableGenerator_q <= "001011111010110100000101110011001";
WHEN "00010001" => memoryC3_uid53_sqrtTableGenerator_q <= "001011101101101110101101000100101";
WHEN "00010010" => memoryC3_uid53_sqrtTableGenerator_q <= "001011100000111101010011000110001";
WHEN "00010011" => memoryC3_uid53_sqrtTableGenerator_q <= "001011010100011111010001001001111";
WHEN "00010100" => memoryC3_uid53_sqrtTableGenerator_q <= "001011001000010100000000010010011";
WHEN "00010101" => memoryC3_uid53_sqrtTableGenerator_q <= "001010111100011010111100100111001";
WHEN "00010110" => memoryC3_uid53_sqrtTableGenerator_q <= "001010110000110011100011111110101";
WHEN "00010111" => memoryC3_uid53_sqrtTableGenerator_q <= "001010100101011101010100111110011";
WHEN "00011000" => memoryC3_uid53_sqrtTableGenerator_q <= "001010011010010111101110001010010";
WHEN "00011001" => memoryC3_uid53_sqrtTableGenerator_q <= "001010001111100010010001101010101";
WHEN "00011010" => memoryC3_uid53_sqrtTableGenerator_q <= "001010000100111100100000010000111";
WHEN "00011011" => memoryC3_uid53_sqrtTableGenerator_q <= "001001111010100101111110011001010";
WHEN "00011100" => memoryC3_uid53_sqrtTableGenerator_q <= "001001110000011110001111100001011";
WHEN "00011101" => memoryC3_uid53_sqrtTableGenerator_q <= "001001100110100100111000001110000";
WHEN "00011110" => memoryC3_uid53_sqrtTableGenerator_q <= "001001011100111001011101101010100";
WHEN "00011111" => memoryC3_uid53_sqrtTableGenerator_q <= "001001010011011011101000101001110";
WHEN "00100000" => memoryC3_uid53_sqrtTableGenerator_q <= "001001001010001010111111010011001";
WHEN "00100001" => memoryC3_uid53_sqrtTableGenerator_q <= "001001000001000111001010100100010";
WHEN "00100010" => memoryC3_uid53_sqrtTableGenerator_q <= "001000111000001111110100100001110";
WHEN "00100011" => memoryC3_uid53_sqrtTableGenerator_q <= "001000101111100100100101111011100";
WHEN "00100100" => memoryC3_uid53_sqrtTableGenerator_q <= "001000100111000101001010011100010";
WHEN "00100101" => memoryC3_uid53_sqrtTableGenerator_q <= "001000011110110001001101101000001";
WHEN "00100110" => memoryC3_uid53_sqrtTableGenerator_q <= "001000010110101000011010100000111";
WHEN "00100111" => memoryC3_uid53_sqrtTableGenerator_q <= "001000001110101010011111100000001";
WHEN "00101000" => memoryC3_uid53_sqrtTableGenerator_q <= "001000000110110111001001000100011";
WHEN "00101001" => memoryC3_uid53_sqrtTableGenerator_q <= "000111111111001110000101111001000";
WHEN "00101010" => memoryC3_uid53_sqrtTableGenerator_q <= "000111110111101111000100100101000";
WHEN "00101011" => memoryC3_uid53_sqrtTableGenerator_q <= "000111110000011001110011010011110";
WHEN "00101100" => memoryC3_uid53_sqrtTableGenerator_q <= "000111101001001110000011000111001";
WHEN "00101101" => memoryC3_uid53_sqrtTableGenerator_q <= "000111100010001011100011011101001";
WHEN "00101110" => memoryC3_uid53_sqrtTableGenerator_q <= "000111011011010010000100110011101";
WHEN "00101111" => memoryC3_uid53_sqrtTableGenerator_q <= "000111010100100001011000100100111";
WHEN "00110000" => memoryC3_uid53_sqrtTableGenerator_q <= "000111001101111001010001110110101";
WHEN "00110001" => memoryC3_uid53_sqrtTableGenerator_q <= "000111000111011001100000001100010";
WHEN "00110010" => memoryC3_uid53_sqrtTableGenerator_q <= "000111000001000001111000100101110";
WHEN "00110011" => memoryC3_uid53_sqrtTableGenerator_q <= "000110111010110010001100110011001";
WHEN "00110100" => memoryC3_uid53_sqrtTableGenerator_q <= "000110110100101010010000100000110";
WHEN "00110101" => memoryC3_uid53_sqrtTableGenerator_q <= "000110101110101001110110010110101";
WHEN "00110110" => memoryC3_uid53_sqrtTableGenerator_q <= "000110101000110000110011011101100";
WHEN "00110111" => memoryC3_uid53_sqrtTableGenerator_q <= "000110100010111110111101011110110";
WHEN "00111000" => memoryC3_uid53_sqrtTableGenerator_q <= "000110011101010100000110101010100";
WHEN "00111001" => memoryC3_uid53_sqrtTableGenerator_q <= "000110010111110000000110000111001";
WHEN "00111010" => memoryC3_uid53_sqrtTableGenerator_q <= "000110010010010010110000011100001";
WHEN "00111011" => memoryC3_uid53_sqrtTableGenerator_q <= "000110001100111011111011100001100";
WHEN "00111100" => memoryC3_uid53_sqrtTableGenerator_q <= "000110000111101011011100111110000";
WHEN "00111101" => memoryC3_uid53_sqrtTableGenerator_q <= "000110000010100001001011111100001";
WHEN "00111110" => memoryC3_uid53_sqrtTableGenerator_q <= "000101111101011100111110011000101";
WHEN "00111111" => memoryC3_uid53_sqrtTableGenerator_q <= "000101111000011110101100110111000";
WHEN "01000000" => memoryC3_uid53_sqrtTableGenerator_q <= "000101110011100110001011110010110";
WHEN "01000001" => memoryC3_uid53_sqrtTableGenerator_q <= "000101101110110011010100010111111";
WHEN "01000010" => memoryC3_uid53_sqrtTableGenerator_q <= "000101101010000101111101110001000";
WHEN "01000011" => memoryC3_uid53_sqrtTableGenerator_q <= "000101100101011110000000111100100";
WHEN "01000100" => memoryC3_uid53_sqrtTableGenerator_q <= "000101100000111011010100001101001";
WHEN "01000101" => memoryC3_uid53_sqrtTableGenerator_q <= "000101011100011101110000100110111";
WHEN "01000110" => memoryC3_uid53_sqrtTableGenerator_q <= "000101011000000101001111001110000";
WHEN "01000111" => memoryC3_uid53_sqrtTableGenerator_q <= "000101010011110001101000110001000";
WHEN "01001000" => memoryC3_uid53_sqrtTableGenerator_q <= "000101001111100010110101100101101";
WHEN "01001001" => memoryC3_uid53_sqrtTableGenerator_q <= "000101001011011000101111000011101";
WHEN "01001010" => memoryC3_uid53_sqrtTableGenerator_q <= "000101000111010011001110101100111";
WHEN "01001011" => memoryC3_uid53_sqrtTableGenerator_q <= "000101000011010010001101001101001";
WHEN "01001100" => memoryC3_uid53_sqrtTableGenerator_q <= "000100111111010101100101010001000";
WHEN "01001101" => memoryC3_uid53_sqrtTableGenerator_q <= "000100111011011101010000001010011";
WHEN "01001110" => memoryC3_uid53_sqrtTableGenerator_q <= "000100110111101001000111100011110";
WHEN "01001111" => memoryC3_uid53_sqrtTableGenerator_q <= "000100110011111001000111010100010";
WHEN "01010000" => memoryC3_uid53_sqrtTableGenerator_q <= "000100110000001101001000001110011";
WHEN "01010001" => memoryC3_uid53_sqrtTableGenerator_q <= "000100101100100101000101100101100";
WHEN "01010010" => memoryC3_uid53_sqrtTableGenerator_q <= "000100101001000000111000010111010";
WHEN "01010011" => memoryC3_uid53_sqrtTableGenerator_q <= "000100100101100000011101011000000";
WHEN "01010100" => memoryC3_uid53_sqrtTableGenerator_q <= "000100100010000011101110010011100";
WHEN "01010101" => memoryC3_uid53_sqrtTableGenerator_q <= "000100011110101010100111001000010";
WHEN "01010110" => memoryC3_uid53_sqrtTableGenerator_q <= "000100011011010101000010001100010";
WHEN "01010111" => memoryC3_uid53_sqrtTableGenerator_q <= "000100011000000010111010010001101";
WHEN "01011000" => memoryC3_uid53_sqrtTableGenerator_q <= "000100010100110100001100010000010";
WHEN "01011001" => memoryC3_uid53_sqrtTableGenerator_q <= "000100010001101000110010111100100";
WHEN "01011010" => memoryC3_uid53_sqrtTableGenerator_q <= "000100001110100000101001011010100";
WHEN "01011011" => memoryC3_uid53_sqrtTableGenerator_q <= "000100001011011011101100100110110";
WHEN "01011100" => memoryC3_uid53_sqrtTableGenerator_q <= "000100001000011001110111010011000";
WHEN "01011101" => memoryC3_uid53_sqrtTableGenerator_q <= "000100000101011011000101101010011";
WHEN "01011110" => memoryC3_uid53_sqrtTableGenerator_q <= "000100000010011111010100010101000";
WHEN "01011111" => memoryC3_uid53_sqrtTableGenerator_q <= "000011111111100110011101110011011";
WHEN "01100000" => memoryC3_uid53_sqrtTableGenerator_q <= "000011111100110000100000000111100";
WHEN "01100001" => memoryC3_uid53_sqrtTableGenerator_q <= "000011111001111101010111110111111";
WHEN "01100010" => memoryC3_uid53_sqrtTableGenerator_q <= "000011110111001100111111111110111";
WHEN "01100011" => memoryC3_uid53_sqrtTableGenerator_q <= "000011110100011111010100100100100";
WHEN "01100100" => memoryC3_uid53_sqrtTableGenerator_q <= "000011110001110100010100100111011";
WHEN "01100101" => memoryC3_uid53_sqrtTableGenerator_q <= "000011101111001011111011000100011";
WHEN "01100110" => memoryC3_uid53_sqrtTableGenerator_q <= "000011101100100110000100110011101";
WHEN "01100111" => memoryC3_uid53_sqrtTableGenerator_q <= "000011101010000010101110000001001";
WHEN "01101000" => memoryC3_uid53_sqrtTableGenerator_q <= "000011100111100001110101001111000";
WHEN "01101001" => memoryC3_uid53_sqrtTableGenerator_q <= "000011100101000011010111011001101";
WHEN "01101010" => memoryC3_uid53_sqrtTableGenerator_q <= "000011100010100111001111111110111";
WHEN "01101011" => memoryC3_uid53_sqrtTableGenerator_q <= "000011100000001101011101000110100";
WHEN "01101100" => memoryC3_uid53_sqrtTableGenerator_q <= "000011011101110101111011000100000";
WHEN "01101101" => memoryC3_uid53_sqrtTableGenerator_q <= "000011011011100000101000100100000";
WHEN "01101110" => memoryC3_uid53_sqrtTableGenerator_q <= "000011011001001101100001011111110";
WHEN "01101111" => memoryC3_uid53_sqrtTableGenerator_q <= "000011010110111100100100010001111";
WHEN "01110000" => memoryC3_uid53_sqrtTableGenerator_q <= "000011010100101101101101010101111";
WHEN "01110001" => memoryC3_uid53_sqrtTableGenerator_q <= "000011010010100000111011100100000";
WHEN "01110010" => memoryC3_uid53_sqrtTableGenerator_q <= "000011010000010110001011110000001";
WHEN "01110011" => memoryC3_uid53_sqrtTableGenerator_q <= "000011001110001101011011100100111";
WHEN "01110100" => memoryC3_uid53_sqrtTableGenerator_q <= "000011001100000110100111111100100";
WHEN "01110101" => memoryC3_uid53_sqrtTableGenerator_q <= "000011001010000001101110111101011";
WHEN "01110110" => memoryC3_uid53_sqrtTableGenerator_q <= "000011000111111110101110101101100";
WHEN "01110111" => memoryC3_uid53_sqrtTableGenerator_q <= "000011000101111101100101100011011";
WHEN "01111000" => memoryC3_uid53_sqrtTableGenerator_q <= "000011000011111110001111111101111";
WHEN "01111001" => memoryC3_uid53_sqrtTableGenerator_q <= "000011000010000000101100111001111";
WHEN "01111010" => memoryC3_uid53_sqrtTableGenerator_q <= "000011000000000100111001111010111";
WHEN "01111011" => memoryC3_uid53_sqrtTableGenerator_q <= "000010111110001010110101010110011";
WHEN "01111100" => memoryC3_uid53_sqrtTableGenerator_q <= "000010111100010010011101000100001";
WHEN "01111101" => memoryC3_uid53_sqrtTableGenerator_q <= "000010111010011011101111010101010";
WHEN "01111110" => memoryC3_uid53_sqrtTableGenerator_q <= "000010111000100110101000111001001";
WHEN "01111111" => memoryC3_uid53_sqrtTableGenerator_q <= "000010110110110011001010001100111";
WHEN "10000000" => memoryC3_uid53_sqrtTableGenerator_q <= "010110101000001001110111100000000";
WHEN "10000001" => memoryC3_uid53_sqrtTableGenerator_q <= "010110001100010000001001100111010";
WHEN "10000010" => memoryC3_uid53_sqrtTableGenerator_q <= "010101110001000110001101101001100";
WHEN "10000011" => memoryC3_uid53_sqrtTableGenerator_q <= "010101010110101010011100101011011";
WHEN "10000100" => memoryC3_uid53_sqrtTableGenerator_q <= "010100111100111011010011000010100";
WHEN "10000101" => memoryC3_uid53_sqrtTableGenerator_q <= "010100100011110111001110110000110";
WHEN "10000110" => memoryC3_uid53_sqrtTableGenerator_q <= "010100001011011100110101100110101";
WHEN "10000111" => memoryC3_uid53_sqrtTableGenerator_q <= "010011110011101010101110010001011";
WHEN "10001000" => memoryC3_uid53_sqrtTableGenerator_q <= "010011011100011111100011100010111";
WHEN "10001001" => memoryC3_uid53_sqrtTableGenerator_q <= "010011000101111010000101000111101";
WHEN "10001010" => memoryC3_uid53_sqrtTableGenerator_q <= "010010101111111001000101001000011";
WHEN "10001011" => memoryC3_uid53_sqrtTableGenerator_q <= "010010011010011011010110100110101";
WHEN "10001100" => memoryC3_uid53_sqrtTableGenerator_q <= "010010000101011111110010001001000";
WHEN "10001101" => memoryC3_uid53_sqrtTableGenerator_q <= "010001110001000101010010001000010";
WHEN "10001110" => memoryC3_uid53_sqrtTableGenerator_q <= "010001011101001010110101000000000";
WHEN "10001111" => memoryC3_uid53_sqrtTableGenerator_q <= "010001001001101111011001000001000";
WHEN "10010000" => memoryC3_uid53_sqrtTableGenerator_q <= "010000110110110010000001101111111";
WHEN "10010001" => memoryC3_uid53_sqrtTableGenerator_q <= "010000100100010001110010111000000";
WHEN "10010010" => memoryC3_uid53_sqrtTableGenerator_q <= "010000010010001101110011101101010";
WHEN "10010011" => memoryC3_uid53_sqrtTableGenerator_q <= "010000000000100101001101011110000";
WHEN "10010100" => memoryC3_uid53_sqrtTableGenerator_q <= "001111101111010111001010011110000";
WHEN "10010101" => memoryC3_uid53_sqrtTableGenerator_q <= "001111011110100010110111100111010";
WHEN "10010110" => memoryC3_uid53_sqrtTableGenerator_q <= "001111001110000111100011111111100";
WHEN "10010111" => memoryC3_uid53_sqrtTableGenerator_q <= "001110111110000100100000101010000";
WHEN "10011000" => memoryC3_uid53_sqrtTableGenerator_q <= "001110101110011000111110111010101";
WHEN "10011001" => memoryC3_uid53_sqrtTableGenerator_q <= "001110011111000100010011001001001";
WHEN "10011010" => memoryC3_uid53_sqrtTableGenerator_q <= "001110010000000101110011100011000";
WHEN "10011011" => memoryC3_uid53_sqrtTableGenerator_q <= "001110000001011100110101110111000";
WHEN "10011100" => memoryC3_uid53_sqrtTableGenerator_q <= "001101110011001000110011001100111";
WHEN "10011101" => memoryC3_uid53_sqrtTableGenerator_q <= "001101100101001001000100100110001";
WHEN "10011110" => memoryC3_uid53_sqrtTableGenerator_q <= "001101010111011101000110111101000";
WHEN "10011111" => memoryC3_uid53_sqrtTableGenerator_q <= "001101001010000100010101000101011";
WHEN "10100000" => memoryC3_uid53_sqrtTableGenerator_q <= "001100111100111110001100111001001";
WHEN "10100001" => memoryC3_uid53_sqrtTableGenerator_q <= "001100110000001010001101101001100";
WHEN "10100010" => memoryC3_uid53_sqrtTableGenerator_q <= "001100100011100111110111100000010";
WHEN "10100011" => memoryC3_uid53_sqrtTableGenerator_q <= "001100010111010110101001110111111";
WHEN "10100100" => memoryC3_uid53_sqrtTableGenerator_q <= "001100001011010110001000110101101";
WHEN "10100101" => memoryC3_uid53_sqrtTableGenerator_q <= "001011111111100101110100110110000";
WHEN "10100110" => memoryC3_uid53_sqrtTableGenerator_q <= "001011110100000101010101000001011";
WHEN "10100111" => memoryC3_uid53_sqrtTableGenerator_q <= "001011101000110100001011011011101";
WHEN "10101000" => memoryC3_uid53_sqrtTableGenerator_q <= "001011011101110001111111110010000";
WHEN "10101001" => memoryC3_uid53_sqrtTableGenerator_q <= "001011010010111110011000001000000";
WHEN "10101010" => memoryC3_uid53_sqrtTableGenerator_q <= "001011001000011000111011101010101";
WHEN "10101011" => memoryC3_uid53_sqrtTableGenerator_q <= "001010111110000001010010100011011";
WHEN "10101100" => memoryC3_uid53_sqrtTableGenerator_q <= "001010110011110111000101011111001";
WHEN "10101101" => memoryC3_uid53_sqrtTableGenerator_q <= "001010101001111001111111111010101";
WHEN "10101110" => memoryC3_uid53_sqrtTableGenerator_q <= "001010100000001001101001101111110";
WHEN "10101111" => memoryC3_uid53_sqrtTableGenerator_q <= "001010010110100101101111101101001";
WHEN "10110000" => memoryC3_uid53_sqrtTableGenerator_q <= "001010001101001101111100101000101";
WHEN "10110001" => memoryC3_uid53_sqrtTableGenerator_q <= "001010000100000001111110001110000";
WHEN "10110010" => memoryC3_uid53_sqrtTableGenerator_q <= "001001111011000001100000001011001";
WHEN "10110011" => memoryC3_uid53_sqrtTableGenerator_q <= "001001110010001100010000100101000";
WHEN "10110100" => memoryC3_uid53_sqrtTableGenerator_q <= "001001101001100001111101110101010";
WHEN "10110101" => memoryC3_uid53_sqrtTableGenerator_q <= "001001100001000010010101111110010";
WHEN "10110110" => memoryC3_uid53_sqrtTableGenerator_q <= "001001011000101101001000100101110";
WHEN "10110111" => memoryC3_uid53_sqrtTableGenerator_q <= "001001010000100010000100101011100";
WHEN "10111000" => memoryC3_uid53_sqrtTableGenerator_q <= "001001001000100000111011011101101";
WHEN "10111001" => memoryC3_uid53_sqrtTableGenerator_q <= "001001000000101001011101000100111";
WHEN "10111010" => memoryC3_uid53_sqrtTableGenerator_q <= "001000111000111011011010100010000";
WHEN "10111011" => memoryC3_uid53_sqrtTableGenerator_q <= "001000110001010110100100011101100";
WHEN "10111100" => memoryC3_uid53_sqrtTableGenerator_q <= "001000101001111010101110110001011";
WHEN "10111101" => memoryC3_uid53_sqrtTableGenerator_q <= "001000100010100111101010110101010";
WHEN "10111110" => memoryC3_uid53_sqrtTableGenerator_q <= "001000011011011101001011000000100";
WHEN "10111111" => memoryC3_uid53_sqrtTableGenerator_q <= "001000010100011011000010100110011";
WHEN "11000000" => memoryC3_uid53_sqrtTableGenerator_q <= "001000001101100001000101010110001";
WHEN "11000001" => memoryC3_uid53_sqrtTableGenerator_q <= "001000000110101111000111111000011";
WHEN "11000010" => memoryC3_uid53_sqrtTableGenerator_q <= "001000000000000100111100000101000";
WHEN "11000011" => memoryC3_uid53_sqrtTableGenerator_q <= "000111111001100010011001011111000";
WHEN "11000100" => memoryC3_uid53_sqrtTableGenerator_q <= "000111110011000111010010100110110";
WHEN "11000101" => memoryC3_uid53_sqrtTableGenerator_q <= "000111101100110011011101010010101";
WHEN "11000110" => memoryC3_uid53_sqrtTableGenerator_q <= "000111100110100110101111000111110";
WHEN "11000111" => memoryC3_uid53_sqrtTableGenerator_q <= "000111100000100000111110001101111";
WHEN "11001000" => memoryC3_uid53_sqrtTableGenerator_q <= "000111011010100001111111110000100";
WHEN "11001001" => memoryC3_uid53_sqrtTableGenerator_q <= "000111010100101001101011010010011";
WHEN "11001010" => memoryC3_uid53_sqrtTableGenerator_q <= "000111001110110111110101011100110";
WHEN "11001011" => memoryC3_uid53_sqrtTableGenerator_q <= "000111001001001100010111011001000";
WHEN "11001100" => memoryC3_uid53_sqrtTableGenerator_q <= "000111000011100111000110011110100";
WHEN "11001101" => memoryC3_uid53_sqrtTableGenerator_q <= "000110111110000111111010001001100";
WHEN "11001110" => memoryC3_uid53_sqrtTableGenerator_q <= "000110111000101110101010110101100";
WHEN "11001111" => memoryC3_uid53_sqrtTableGenerator_q <= "000110110011011011001111001010010";
WHEN "11010000" => memoryC3_uid53_sqrtTableGenerator_q <= "000110101110001101100000010101111";
WHEN "11010001" => memoryC3_uid53_sqrtTableGenerator_q <= "000110101001000101010110000001111";
WHEN "11010010" => memoryC3_uid53_sqrtTableGenerator_q <= "000110100100000010100111111110001";
WHEN "11010011" => memoryC3_uid53_sqrtTableGenerator_q <= "000110011111000101001110101100110";
WHEN "11010100" => memoryC3_uid53_sqrtTableGenerator_q <= "000110011010001101000100110000011";
WHEN "11010101" => memoryC3_uid53_sqrtTableGenerator_q <= "000110010101011010000001110101010";
WHEN "11010110" => memoryC3_uid53_sqrtTableGenerator_q <= "000110010000101011111110100101111";
WHEN "11010111" => memoryC3_uid53_sqrtTableGenerator_q <= "000110001100000010110100111100110";
WHEN "11011000" => memoryC3_uid53_sqrtTableGenerator_q <= "000110000111011110011110101101001";
WHEN "11011001" => memoryC3_uid53_sqrtTableGenerator_q <= "000110000010111110110100111100100";
WHEN "11011010" => memoryC3_uid53_sqrtTableGenerator_q <= "000101111110100011110010101010110";
WHEN "11011011" => memoryC3_uid53_sqrtTableGenerator_q <= "000101111010001101001111101100111";
WHEN "11011100" => memoryC3_uid53_sqrtTableGenerator_q <= "000101110101111011000111101010111";
WHEN "11011101" => memoryC3_uid53_sqrtTableGenerator_q <= "000101110001101101010100111011000";
WHEN "11011110" => memoryC3_uid53_sqrtTableGenerator_q <= "000101101101100011110001010010100";
WHEN "11011111" => memoryC3_uid53_sqrtTableGenerator_q <= "000101101001011110010111101110100";
WHEN "11100000" => memoryC3_uid53_sqrtTableGenerator_q <= "000101100101011101000010011010000";
WHEN "11100001" => memoryC3_uid53_sqrtTableGenerator_q <= "000101100001011111101100010011111";
WHEN "11100010" => memoryC3_uid53_sqrtTableGenerator_q <= "000101011101100110010000100111101";
WHEN "11100011" => memoryC3_uid53_sqrtTableGenerator_q <= "000101011001110000101010111110100";
WHEN "11100100" => memoryC3_uid53_sqrtTableGenerator_q <= "000101010101111110110100011100101";
WHEN "11100101" => memoryC3_uid53_sqrtTableGenerator_q <= "000101010010010000101010010000100";
WHEN "11100110" => memoryC3_uid53_sqrtTableGenerator_q <= "000101001110100110000111100010110";
WHEN "11100111" => memoryC3_uid53_sqrtTableGenerator_q <= "000101001010111111000111100110001";
WHEN "11101000" => memoryC3_uid53_sqrtTableGenerator_q <= "000101000111011011100101010100001";
WHEN "11101001" => memoryC3_uid53_sqrtTableGenerator_q <= "000101000011111011011101100010111";
WHEN "11101010" => memoryC3_uid53_sqrtTableGenerator_q <= "000101000000011110101011100001101";
WHEN "11101011" => memoryC3_uid53_sqrtTableGenerator_q <= "000100111101000101001100000100010";
WHEN "11101100" => memoryC3_uid53_sqrtTableGenerator_q <= "000100111001101110111001100010000";
WHEN "11101101" => memoryC3_uid53_sqrtTableGenerator_q <= "000100110110011011110000101111110";
WHEN "11101110" => memoryC3_uid53_sqrtTableGenerator_q <= "000100110011001011101110011011111";
WHEN "11101111" => memoryC3_uid53_sqrtTableGenerator_q <= "000100101111111110101101111101001";
WHEN "11110000" => memoryC3_uid53_sqrtTableGenerator_q <= "000100101100110100101100101011010";
WHEN "11110001" => memoryC3_uid53_sqrtTableGenerator_q <= "000100101001101101100110100111010";
WHEN "11110010" => memoryC3_uid53_sqrtTableGenerator_q <= "000100100110101001011000101001000";
WHEN "11110011" => memoryC3_uid53_sqrtTableGenerator_q <= "000100100011100111111110100101110";
WHEN "11110100" => memoryC3_uid53_sqrtTableGenerator_q <= "000100100000101001010101000110001";
WHEN "11110101" => memoryC3_uid53_sqrtTableGenerator_q <= "000100011101101101011001101010000";
WHEN "11110110" => memoryC3_uid53_sqrtTableGenerator_q <= "000100011010110100001001001111011";
WHEN "11110111" => memoryC3_uid53_sqrtTableGenerator_q <= "000100010111111101011111100111110";
WHEN "11111000" => memoryC3_uid53_sqrtTableGenerator_q <= "000100010101001001011011010101100";
WHEN "11111001" => memoryC3_uid53_sqrtTableGenerator_q <= "000100010010010111111000000111011";
WHEN "11111010" => memoryC3_uid53_sqrtTableGenerator_q <= "000100001111101000110011001001101";
WHEN "11111011" => memoryC3_uid53_sqrtTableGenerator_q <= "000100001100111100001010001101001";
WHEN "11111100" => memoryC3_uid53_sqrtTableGenerator_q <= "000100001010010001111010010011100";
WHEN "11111101" => memoryC3_uid53_sqrtTableGenerator_q <= "000100000111101010000001011001100";
WHEN "11111110" => memoryC3_uid53_sqrtTableGenerator_q <= "000100000101000100011011000101011";
WHEN "11111111" => memoryC3_uid53_sqrtTableGenerator_q <= "000100000010100001000110000110010";
WHEN OTHERS =>
memoryC3_uid53_sqrtTableGenerator_q <= "001111111111111111111110110111010";
END CASE;
END IF;
END PROCESS;
--sumAHighB_uid66_sqrtPolynomialEvaluator(ADD,65)@9
sumAHighB_uid66_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((33 downto 33 => memoryC3_uid53_sqrtTableGenerator_q(32)) & memoryC3_uid53_sqrtTableGenerator_q);
sumAHighB_uid66_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((33 downto 26 => reg_highBBits_uid65_sqrtPolynomialEvaluator_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_1_q(25)) & reg_highBBits_uid65_sqrtPolynomialEvaluator_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_1_q);
sumAHighB_uid66_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid66_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid66_sqrtPolynomialEvaluator_b));
sumAHighB_uid66_sqrtPolynomialEvaluator_q <= sumAHighB_uid66_sqrtPolynomialEvaluator_o(33 downto 0);
--lowRangeB_uid64_sqrtPolynomialEvaluator(BITSELECT,63)@8
lowRangeB_uid64_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid91_pT2_uid63_sqrtPolynomialEvaluator_b(0 downto 0);
lowRangeB_uid64_sqrtPolynomialEvaluator_b <= lowRangeB_uid64_sqrtPolynomialEvaluator_in(0 downto 0);
--ld_lowRangeB_uid64_sqrtPolynomialEvaluator_b_to_s2_uid64_uid67_sqrtPolynomialEvaluator_a(DELAY,217)@8
ld_lowRangeB_uid64_sqrtPolynomialEvaluator_b_to_s2_uid64_uid67_sqrtPolynomialEvaluator_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => lowRangeB_uid64_sqrtPolynomialEvaluator_b, xout => ld_lowRangeB_uid64_sqrtPolynomialEvaluator_b_to_s2_uid64_uid67_sqrtPolynomialEvaluator_a_q, clk => clk, aclr => areset );
--s2_uid64_uid67_sqrtPolynomialEvaluator(BITJOIN,66)@9
s2_uid64_uid67_sqrtPolynomialEvaluator_q <= sumAHighB_uid66_sqrtPolynomialEvaluator_q & ld_lowRangeB_uid64_sqrtPolynomialEvaluator_b_to_s2_uid64_uid67_sqrtPolynomialEvaluator_a_q;
--reg_s2_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_1(REG,132)@9
reg_s2_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s2_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_s2_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_1_q <= s2_uid64_uid67_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor(LOGICAL,459)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_b <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_q <= not (ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_a or ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_b);
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_mem_top(CONSTANT,455)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_mem_top_q <= "0110";
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp(LOGICAL,456)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_a <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_mem_top_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_q);
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_q <= "1" when ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_a = ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_b else "0";
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmpReg(REG,457)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmpReg_q <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmp_q;
END IF;
END PROCESS;
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_sticky_ena(REG,460)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_nor_q = "1") THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_sticky_ena_q <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd(LOGICAL,461)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_a <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_b <= VCC_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_q <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_a and ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_b;
--yT3_uid68_sqrtPolynomialEvaluator(BITSELECT,67)@1
yT3_uid68_sqrtPolynomialEvaluator_in <= X44dto0_uid33_fpSqrtTest_b;
yT3_uid68_sqrtPolynomialEvaluator_b <= yT3_uid68_sqrtPolynomialEvaluator_in(44 downto 12);
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt(COUNTER,451)
-- every=1, low=0, high=6, step=1, init=1
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i = 5 THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '1';
ELSE
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_eq = '1') THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i - 6;
ELSE
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_i,3));
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg(REG,452)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg_q <= "000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg_q <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux(MUX,453)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_s <= VCC_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux: PROCESS (ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_s, ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg_q, ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_q)
BEGIN
CASE ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_s IS
WHEN "0" => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg_q;
WHEN "1" => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
WHEN OTHERS => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem(DUALMEM,450)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_reset0 <= areset;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_ia <= yT3_uid68_sqrtPolynomialEvaluator_b;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_aa <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdreg_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_ab <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_rdmux_q;
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 33,
widthad_a => 3,
numwords_a => 7,
width_b => 33,
widthad_b => 3,
numwords_b => 7,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_iq,
address_a => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_aa,
data_a => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_ia
);
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_q <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_iq(32 downto 0);
--ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_outputreg(DELAY,449)
ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_outputreg : dspba_delay
GENERIC MAP ( width => 33, depth => 1 )
PORT MAP ( xin => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_replace_mem_q, xout => ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_outputreg_q, clk => clk, aclr => areset );
--prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator(MULT,92)@10
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a),34)) * SIGNED(prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_b);
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a <= ld_yT3_uid68_sqrtPolynomialEvaluator_b_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_a_outputreg_q;
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_b <= reg_s2_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_1_q;
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_pr,68));
END IF;
END PROCESS;
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_q <= prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_s1;
END IF;
END PROCESS;
--prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator(BITSELECT,93)@13
prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator_in <= prodXY_uid93_pT3_uid69_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator_in(67 downto 34);
--highBBits_uid71_sqrtPolynomialEvaluator(BITSELECT,70)@13
highBBits_uid71_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator_b;
highBBits_uid71_sqrtPolynomialEvaluator_b <= highBBits_uid71_sqrtPolynomialEvaluator_in(33 downto 1);
--reg_highBBits_uid71_sqrtPolynomialEvaluator_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_1(REG,133)@13
reg_highBBits_uid71_sqrtPolynomialEvaluator_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid71_sqrtPolynomialEvaluator_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_1_q <= "000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid71_sqrtPolynomialEvaluator_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_1_q <= highBBits_uid71_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor(LOGICAL,409)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_b <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_q <= not (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_a or ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_b);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_mem_top(CONSTANT,405)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_mem_top_q <= "01010";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp(LOGICAL,406)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_mem_top_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_q);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_q <= "1" when ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_a = ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_b else "0";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmpReg(REG,407)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmpReg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_sticky_ena(REG,410)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_nor_q = "1") THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_sticky_ena_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd(LOGICAL,411)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_b <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_a and ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_b;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt(COUNTER,401)
-- every=1, low=0, high=10, step=1, init=1
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i = 9 THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i - 10;
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_i,4));
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg(REG,402)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg_q <= "0000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux(MUX,403)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux: PROCESS (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_s, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg_q, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem(DUALMEM,400)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_reset0 <= areset;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_ia <= addrTable_uid32_fpSqrtTest_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_aa <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdreg_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_ab <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_rdmux_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 4,
numwords_a => 11,
width_b => 8,
widthad_b => 4,
numwords_b => 11,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_iq,
address_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_aa,
data_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_ia
);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_iq(7 downto 0);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_outputreg(DELAY,399)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_replace_mem_q, xout => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC2_uid52_sqrtTableGenerator(LOOKUP,51)@13
memoryC2_uid52_sqrtTableGenerator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC2_uid52_sqrtTableGenerator_q <= "1100000000000000000000000000000001000010";
ELSIF (clk'EVENT AND clk = '1'AND VCC_q = "1") THEN
CASE (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC2_uid52_sqrtTableGenerator_a_outputreg_q) IS
WHEN "00000000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100000000000000000000000000000001000010";
WHEN "00000001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100000010111110001001000101011001110000";
WHEN "00000010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100000101111000101000100110010100110010";
WHEN "00000011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100001000101111100100110001011011010000";
WHEN "00000100" => memoryC2_uid52_sqrtTableGenerator_q <= "1100001011100011000011100111110000000101";
WHEN "00000101" => memoryC2_uid52_sqrtTableGenerator_q <= "1100001110010011001010111101010101110111";
WHEN "00000110" => memoryC2_uid52_sqrtTableGenerator_q <= "1100010001000000000000011001110010011100";
WHEN "00000111" => memoryC2_uid52_sqrtTableGenerator_q <= "1100010011101001101001011000101110111001";
WHEN "00001000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100010110010000001011001010010001101000";
WHEN "00001001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100011000110011101010110011100011101000";
WHEN "00001010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100011011010100001101001111000110001010";
WHEN "00001011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100011101110001110111001101010001100100";
WHEN "00001100" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100000001100101101010100101100111111";
WHEN "00001101" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100010100100110100000010100111100111";
WHEN "00001110" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100100111010001111101011001010101101";
WHEN "00001111" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100111001101000100011001111011000110";
WHEN "00010000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101001011101010110010010000000000001";
WHEN "00010001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101011101011001001001110100111100110";
WHEN "00010010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101101110110100001000011001001000101";
WHEN "00010011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101111111111100001011011100010101101";
WHEN "00010100" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110010000110001101111100101011011110";
WHEN "00010101" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110100001010101010000100011101100010";
WHEN "00010110" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110110001100111001001010001001001001";
WHEN "00010111" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111000001100111110011110100101110000";
WHEN "00011000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111010001010111101001100100001010100";
WHEN "00011001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111100000110111000011000101001011010";
WHEN "00011010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111110000000110011000001111111111110";
WHEN "00011011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111111111000110000000010000000011001";
WHEN "00011100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000001101110110010001100110011100101";
WHEN "00011101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000011100010111100010001011000001100";
WHEN "00011110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000101010101010000111001101111001101";
WHEN "00011111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000111000101110010101011000001100011";
WHEN "00100000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001000110100100100000101110101001011";
WHEN "00100001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001010100001100111100110001110000001";
WHEN "00100010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001100001100111111100011111010001100";
WHEN "00100011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001101110110101110010010011111110011";
WHEN "00100100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001111011110110110000001011110011110";
WHEN "00100101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010001000101011000111100011101001111";
WHEN "00100110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010010101010011001001011010011001010";
WHEN "00100111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010100001101111000110010001000110010";
WHEN "00101000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010101101111111001110001101000110010";
WHEN "00101001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010111010000011110000111000000010011";
WHEN "00101010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011000101111100111101100001001100100";
WHEN "00101011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011010001101011000010111110011100010";
WHEN "00101100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011011101001110001111101100010111110";
WHEN "00101101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011101000100110110001101111111100111";
WHEN "00101110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011110011110100110110110110110011010";
WHEN "00101111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011111110111000101100010111111100010";
WHEN "00110000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100001001110010011111010100001110010";
WHEN "00110001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100010100100010011100011000010010010";
WHEN "00110010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100011111001000101111111011000111001";
WHEN "00110011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100101001100101100110000000101010010";
WHEN "00110100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100110011111001001010011001010000000";
WHEN "00110101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100111110000011101000100010110111001";
WHEN "00110110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101001000000101001011101000111000010";
WHEN "00110111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101010001111101111110100101000110110";
WHEN "00111000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101011011101110001100000001000011001";
WHEN "00111001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101100101010101111110010100110001000";
WHEN "00111010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101101110110101011111101000110011011";
WHEN "00111011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101111000001100111001110101111110010";
WHEN "00111100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110000001011100010110100110000100000";
WHEN "00111101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110001010100011111111010011111000011";
WHEN "00111110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110010011100011111101001100010101000";
WHEN "00111111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110011100011100011001001101111010001";
WHEN "01000000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110100101001101011100001010101010010";
WHEN "01000001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110101101110111001110100110100010001";
WHEN "01000010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110110110011001111000111001010011001";
WHEN "01000011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110111110110101100011001110000001111";
WHEN "01000100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111000111001010010101100100011010011";
WHEN "01000101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111001111011000010111101111111100100";
WHEN "01000110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111010111011111110001011000110011111";
WHEN "01000111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111011111100000101001111100010001110";
WHEN "01001000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111100111011011001000101101000010111";
WHEN "01001001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111101111001111010100110011001001100";
WHEN "01001010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111110110111101010101001100100011000";
WHEN "01001011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111111110100101010000101101011110101";
WHEN "01001100" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000000110000111001110000000001110010";
WHEN "01001101" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000001101100011010011100110000011111";
WHEN "01001110" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000010100111001100111110111000100101";
WHEN "01001111" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000011100001010010001000010000100110";
WHEN "01010000" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000100011010101010101001101111101001";
WHEN "01010001" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000101010011010111010011000101011101";
WHEN "01010010" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000110001011011000110011000100100110";
WHEN "01010011" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000111000010101111110111011001101011";
WHEN "01010100" => memoryC2_uid52_sqrtTableGenerator_q <= "1110000111111001011101001100111000100001";
WHEN "01010101" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001000101111100001011111010101001110";
WHEN "01010110" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001001100100111101011001101011110000";
WHEN "01010111" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001010011001110001100101111101101110";
WHEN "01011000" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001011001101111110101101010010010001";
WHEN "01011001" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001100000001100101010111111100111010";
WHEN "01011010" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001100110100100110001101011100000000";
WHEN "01011011" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001101100111000001110100010111010111";
WHEN "01011100" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001110011000111000110010100111110110";
WHEN "01011101" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001111001010001011101101010010110110";
WHEN "01011110" => memoryC2_uid52_sqrtTableGenerator_q <= "1110001111111010111011001000101101000110";
WHEN "01011111" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010000101011000111101000100000001001";
WHEN "01100000" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010001011010110001101111100010010001";
WHEN "01100001" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010010001001111001111111111111100011";
WHEN "01100010" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010010111000100000111011011011010100";
WHEN "01100011" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010011100110100111000010101101000000";
WHEN "01100100" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010100010100001100110101111101011011";
WHEN "01100101" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010101000001010010110100110100000110";
WHEN "01100110" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010101101101111001011110001110000000";
WHEN "01100111" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010110011010000001010000100010111011";
WHEN "01101000" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010111000101101010101001100000110100";
WHEN "01101001" => memoryC2_uid52_sqrtTableGenerator_q <= "1110010111110000110110000110010100001001";
WHEN "01101010" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011000011011100100000011100111100101";
WHEN "01101011" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011001000101110100111101011110110110";
WHEN "01101100" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011001101111101001001111011110011101";
WHEN "01101101" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011010011001000001010100100101100101";
WHEN "01101110" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011011000001111101100111010111010110";
WHEN "01101111" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011011101010011110100001110011000011";
WHEN "01110000" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011100010010100100011101011100001011";
WHEN "01110001" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011100111010001111110011010011110011";
WHEN "01110010" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011101100001100000111100000000100110";
WHEN "01110011" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011110001000011000001111101010111100";
WHEN "01110100" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011110101110110110000110000000000010";
WHEN "01110101" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011111010100111010110110001111011011";
WHEN "01110110" => memoryC2_uid52_sqrtTableGenerator_q <= "1110011111111010100110110111001101100111";
WHEN "01110111" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100000011111111010011111010011110101";
WHEN "01111000" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100001000100110110000100100101000010";
WHEN "01111001" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100001101001011001111100100110001111";
WHEN "01111010" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100010001101100110011100100110001011";
WHEN "01111011" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100010110001011011111001011010001001";
WHEN "01111100" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100011010100111010100111100000011111";
WHEN "01111101" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100011111000000010111010111111010011";
WHEN "01111110" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100100011010110101000111101001000001";
WHEN "01111111" => memoryC2_uid52_sqrtTableGenerator_q <= "1110100100111101010001100000110011010011";
WHEN "10000000" => memoryC2_uid52_sqrtTableGenerator_q <= "1010010101111101100001100110011010010110";
WHEN "10000001" => memoryC2_uid52_sqrtTableGenerator_q <= "1010011010001010011011010010001010010101";
WHEN "10000010" => memoryC2_uid52_sqrtTableGenerator_q <= "1010011110010010001010101001101000100010";
WHEN "10000011" => memoryC2_uid52_sqrtTableGenerator_q <= "1010100010010100111000100000100001010100";
WHEN "10000100" => memoryC2_uid52_sqrtTableGenerator_q <= "1010100110010010101101010111010111011000";
WHEN "10000101" => memoryC2_uid52_sqrtTableGenerator_q <= "1010101010001011110001011100011000100011";
WHEN "10000110" => memoryC2_uid52_sqrtTableGenerator_q <= "1010101110000000001100101100000011010111";
WHEN "10000111" => memoryC2_uid52_sqrtTableGenerator_q <= "1010110001110000000110110010000000000011";
WHEN "10001000" => memoryC2_uid52_sqrtTableGenerator_q <= "1010110101011011100111001001100111011011";
WHEN "10001001" => memoryC2_uid52_sqrtTableGenerator_q <= "1010111001000010110100111110101001100111";
WHEN "10001010" => memoryC2_uid52_sqrtTableGenerator_q <= "1010111100100101110111001101111010011010";
WHEN "10001011" => memoryC2_uid52_sqrtTableGenerator_q <= "1011000000000100110100100101111001101001";
WHEN "10001100" => memoryC2_uid52_sqrtTableGenerator_q <= "1011000011011111110011100111001110011011";
WHEN "10001101" => memoryC2_uid52_sqrtTableGenerator_q <= "1011000110110110111010100101010000010010";
WHEN "10001110" => memoryC2_uid52_sqrtTableGenerator_q <= "1011001010001010001111100110100011110101";
WHEN "10001111" => memoryC2_uid52_sqrtTableGenerator_q <= "1011001101011001111000100101100000101111";
WHEN "10010000" => memoryC2_uid52_sqrtTableGenerator_q <= "1011010000100101111011010000100110101110";
WHEN "10010001" => memoryC2_uid52_sqrtTableGenerator_q <= "1011010011101110011101001011000010101011";
WHEN "10010010" => memoryC2_uid52_sqrtTableGenerator_q <= "1011010110110011100011101101000011000010";
WHEN "10010011" => memoryC2_uid52_sqrtTableGenerator_q <= "1011011001110101010100000100010110000111";
WHEN "10010100" => memoryC2_uid52_sqrtTableGenerator_q <= "1011011100110011110011010100100011000111";
WHEN "10010101" => memoryC2_uid52_sqrtTableGenerator_q <= "1011011111101111000110010111011111101100";
WHEN "10010110" => memoryC2_uid52_sqrtTableGenerator_q <= "1011100010100111010001111101100111011011";
WHEN "10010111" => memoryC2_uid52_sqrtTableGenerator_q <= "1011100101011100011010101110010010000110";
WHEN "10011000" => memoryC2_uid52_sqrtTableGenerator_q <= "1011101000001110100101001000001010111101";
WHEN "10011001" => memoryC2_uid52_sqrtTableGenerator_q <= "1011101010111101110101100001100000011001";
WHEN "10011010" => memoryC2_uid52_sqrtTableGenerator_q <= "1011101101101010010000001000011000010001";
WHEN "10011011" => memoryC2_uid52_sqrtTableGenerator_q <= "1011110000010011111001000011000111101011";
WHEN "10011100" => memoryC2_uid52_sqrtTableGenerator_q <= "1011110010111010110100010000011100001100";
WHEN "10011101" => memoryC2_uid52_sqrtTableGenerator_q <= "1011110101011111000101100111110011100101";
WHEN "10011110" => memoryC2_uid52_sqrtTableGenerator_q <= "1011111000000000110000111001100101000110";
WHEN "10011111" => memoryC2_uid52_sqrtTableGenerator_q <= "1011111010011111111001101111011010100001";
WHEN "10100000" => memoryC2_uid52_sqrtTableGenerator_q <= "1011111100111100100011101100010111001001";
WHEN "10100001" => memoryC2_uid52_sqrtTableGenerator_q <= "1011111111010110110010001101001000000010";
WHEN "10100010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100000001101110101000101000010011000010";
WHEN "10100011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100000100000100001010001110100110010110";
WHEN "10100100" => memoryC2_uid52_sqrtTableGenerator_q <= "1100000110010111011010001010111100001100";
WHEN "10100101" => memoryC2_uid52_sqrtTableGenerator_q <= "1100001000101000011011100010110101000101";
WHEN "10100110" => memoryC2_uid52_sqrtTableGenerator_q <= "1100001010110111010001010110010001011110";
WHEN "10100111" => memoryC2_uid52_sqrtTableGenerator_q <= "1100001101000011111110100000010001011111";
WHEN "10101000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100001111001110100101110110101101000100";
WHEN "10101001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100010001010111001010001010101010101100";
WHEN "10101010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100010011011101101110001000100101000110";
WHEN "10101011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100010101100010010100011000010100001111";
WHEN "10101100" => memoryC2_uid52_sqrtTableGenerator_q <= "1100010111100100111111011101011000100010";
WHEN "10101101" => memoryC2_uid52_sqrtTableGenerator_q <= "1100011001100101110001110110111110010101";
WHEN "10101110" => memoryC2_uid52_sqrtTableGenerator_q <= "1100011011100100101110000000010010011100";
WHEN "10101111" => memoryC2_uid52_sqrtTableGenerator_q <= "1100011101100001110110010000011011110101";
WHEN "10110000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100011111011101001100111010101110100000";
WHEN "10110001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100001010110110100001110101011100101";
WHEN "10110010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100011001110101110011000010000001010";
WHEN "10110011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100101000100111101011111110110001110";
WHEN "10110100" => memoryC2_uid52_sqrtTableGenerator_q <= "1100100110111001100011101010011101101000";
WHEN "10110101" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101000101100100010111001110100110000";
WHEN "10110110" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101010011101111101001100011011001010";
WHEN "10110111" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101100001101110100011101101011001111";
WHEN "10111000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101101111100001010100101111011111011";
WHEN "10111001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100101111101001000001011010101011010010";
WHEN "10111010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110001010100011010101110100001011000";
WHEN "10111011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110010111110011000010001010111000011";
WHEN "10111100" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110100100110111011110000010101011000";
WHEN "10111101" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110110001110000110110110000100000011";
WHEN "10111110" => memoryC2_uid52_sqrtTableGenerator_q <= "1100110111110011111011001010101000110101";
WHEN "10111111" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111001011000011010010011101011001011";
WHEN "11000000" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111010111011100101110100011010101110";
WHEN "11000001" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111100011101011111001101110001101111";
WHEN "11000010" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111101111110000111111110100000110001";
WHEN "11000011" => memoryC2_uid52_sqrtTableGenerator_q <= "1100111111011101100001100011000011000000";
WHEN "11000100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000000111011101101010101110101010001";
WHEN "11000101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000010011000101100101111001011000001";
WHEN "11000110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000011110100100001000101011000110001";
WHEN "11000111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000101001111001011101100110101010110";
WHEN "11001000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101000110101000101101111000000000110111";
WHEN "11001001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001000000001001000110111100001010111";
WHEN "11001010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001001011000011101111010001111110001";
WHEN "11001011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001010101110101110001101001101110101";
WHEN "11001100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001100000011111010111011110111110000";
WHEN "11001101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001101011000000101001111111100011101";
WHEN "11001110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001110101011001110010001100010111100";
WHEN "11001111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101001111111101010111000111010001000110";
WHEN "11010000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010001001110100000110110000111100011";
WHEN "11010001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010010011110101100100001101010101001";
WHEN "11010010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010011101101111011001100000010100000";
WHEN "11010011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010100111100001101110101111100001101";
WHEN "11010100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010110001001100101011110101001100110";
WHEN "11010101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101010111010110000011000100001100011101";
WHEN "11010110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011000100001100111100011010001111111";
WHEN "11010111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011001101100010011110111010100001110";
WHEN "11011000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011010110110001000111010011110001001";
WHEN "11011001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011011111111000111100101101111110010";
WHEN "11011010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011101000111010000110000111010101011";
WHEN "11011011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011110001110100101010010101100100001";
WHEN "11011100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101011111010101000110000000100101101100";
WHEN "11011101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100000011010110011101111000011001010";
WHEN "11011110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100001011111101111010001011110110001";
WHEN "11011111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100010100011111001011010001110110110";
WHEN "11100000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100011100111010010111010101010010110";
WHEN "11100001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100100101001111100100011000111111010";
WHEN "11100010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100101101011110111000011000000111010";
WHEN "11100011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100110101101000011001000110001011000";
WHEN "11100100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101100111101101100001100001111111111000";
WHEN "11100101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101000101101010010111011010010110011";
WHEN "11100110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101001101100011000000000011100000010";
WHEN "11100111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101010101010110001011100010111011101";
WHEN "11101000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101011101000011111111001001100001101";
WHEN "11101001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101100100101100100000000001010010110";
WHEN "11101010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101101100001111110011001110010001001";
WHEN "11101011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101110011101101111101101101111100100";
WHEN "11101100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101101111011000111000100011000011000111";
WHEN "11101101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110000010011011001011111111001001110";
WHEN "11101110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110001001101010011001001110000110111";
WHEN "11101111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110010000110100110000101011110010110";
WHEN "11110000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110010111111010010110111000110011101";
WHEN "11110001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110011110111011010000010000101001011";
WHEN "11110010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110100101110111100001001001011100101";
WHEN "11110011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110101100101111001101110100011000111";
WHEN "11110100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110110011100010011010011101011100000";
WHEN "11110101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101110111010010001001011001011100011001";
WHEN "11110110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111000000111011100100000000111011011";
WHEN "11110111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111000111100001101000111011011001110";
WHEN "11111000" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111001110000011011101110011100101111";
WHEN "11111001" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111010100100001000110011110010000101";
WHEN "11111010" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111011010111010100110101011011110000";
WHEN "11111011" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111100001010000000010000110110110111";
WHEN "11111100" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111100111100001011100011000000010101";
WHEN "11111101" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111101101101110111001000010010110000";
WHEN "11111110" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111110011111000011011100101100011100";
WHEN "11111111" => memoryC2_uid52_sqrtTableGenerator_q <= "1101111111001111110000111011100111010010";
WHEN OTHERS =>
memoryC2_uid52_sqrtTableGenerator_q <= "1100000000000000000000000000000001000010";
END CASE;
END IF;
END PROCESS;
--sumAHighB_uid72_sqrtPolynomialEvaluator(ADD,71)@14
sumAHighB_uid72_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((40 downto 40 => memoryC2_uid52_sqrtTableGenerator_q(39)) & memoryC2_uid52_sqrtTableGenerator_q);
sumAHighB_uid72_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((40 downto 33 => reg_highBBits_uid71_sqrtPolynomialEvaluator_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_1_q(32)) & reg_highBBits_uid71_sqrtPolynomialEvaluator_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_1_q);
sumAHighB_uid72_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid72_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid72_sqrtPolynomialEvaluator_b));
sumAHighB_uid72_sqrtPolynomialEvaluator_q <= sumAHighB_uid72_sqrtPolynomialEvaluator_o(40 downto 0);
--lowRangeB_uid70_sqrtPolynomialEvaluator(BITSELECT,69)@13
lowRangeB_uid70_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid94_pT3_uid69_sqrtPolynomialEvaluator_b(0 downto 0);
lowRangeB_uid70_sqrtPolynomialEvaluator_b <= lowRangeB_uid70_sqrtPolynomialEvaluator_in(0 downto 0);
--ld_lowRangeB_uid70_sqrtPolynomialEvaluator_b_to_s3_uid70_uid73_sqrtPolynomialEvaluator_a(DELAY,224)@13
ld_lowRangeB_uid70_sqrtPolynomialEvaluator_b_to_s3_uid70_uid73_sqrtPolynomialEvaluator_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => lowRangeB_uid70_sqrtPolynomialEvaluator_b, xout => ld_lowRangeB_uid70_sqrtPolynomialEvaluator_b_to_s3_uid70_uid73_sqrtPolynomialEvaluator_a_q, clk => clk, aclr => areset );
--s3_uid70_uid73_sqrtPolynomialEvaluator(BITJOIN,72)@14
s3_uid70_uid73_sqrtPolynomialEvaluator_q <= sumAHighB_uid72_sqrtPolynomialEvaluator_q & ld_lowRangeB_uid70_sqrtPolynomialEvaluator_b_to_s3_uid70_uid73_sqrtPolynomialEvaluator_a_q;
--yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,101)@14
yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_in <= s3_uid70_uid73_sqrtPolynomialEvaluator_q;
yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_b <= yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_in(41 downto 24);
--reg_yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_9(REG,134)@14
reg_yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_9_q <= "000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_9_q <= yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor(LOGICAL,435)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_b <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_q <= not (ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_a or ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_b);
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_mem_top(CONSTANT,431)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_mem_top_q <= "01011";
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp(LOGICAL,432)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_a <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_mem_top_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_q);
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_q <= "1" when ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_a = ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_b else "0";
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmpReg(REG,433)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmpReg_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmp_q;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_sticky_ena(REG,436)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_nor_q = "1") THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_sticky_ena_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd(LOGICAL,437)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_a <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_b <= VCC_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_a and ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_b;
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt(COUNTER,427)
-- every=1, low=0, high=11, step=1, init=1
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i = 10 THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '1';
ELSE
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_eq = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i - 11;
ELSE
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_i,4));
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg(REG,428)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg_q <= "0000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux(MUX,429)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_s <= VCC_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux: PROCESS (ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_s, ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg_q, ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_q)
BEGIN
CASE ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_s IS
WHEN "0" => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg_q;
WHEN "1" => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
WHEN OTHERS => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem(DUALMEM,426)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_reset0 <= areset;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_ia <= X44dto0_uid33_fpSqrtTest_b;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_aa <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdreg_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_ab <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_rdmux_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 45,
widthad_a => 4,
numwords_a => 12,
width_b => 45,
widthad_b => 4,
numwords_b => 12,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_iq,
address_a => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_aa,
data_a => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_ia
);
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_iq(44 downto 0);
--ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_outputreg(DELAY,425)
ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_outputreg : dspba_delay
GENERIC MAP ( width => 45, depth => 1 )
PORT MAP ( xin => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_replace_mem_q, xout => ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_outputreg_q, clk => clk, aclr => areset );
--yT4_uid74_sqrtPolynomialEvaluator(BITSELECT,73)@15
yT4_uid74_sqrtPolynomialEvaluator_in <= ld_X44dto0_uid33_fpSqrtTest_b_to_yT4_uid74_sqrtPolynomialEvaluator_a_outputreg_q;
yT4_uid74_sqrtPolynomialEvaluator_b <= yT4_uid74_sqrtPolynomialEvaluator_in(44 downto 5);
--xBottomBits_uid101_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,100)@15
xBottomBits_uid101_pT4_uid75_sqrtPolynomialEvaluator_in <= yT4_uid74_sqrtPolynomialEvaluator_b(12 downto 0);
xBottomBits_uid101_pT4_uid75_sqrtPolynomialEvaluator_b <= xBottomBits_uid101_pT4_uid75_sqrtPolynomialEvaluator_in(12 downto 0);
--pad_xBottomBits_uid101_uid104_pT4_uid75_sqrtPolynomialEvaluator(BITJOIN,103)@15
pad_xBottomBits_uid101_uid104_pT4_uid75_sqrtPolynomialEvaluator_q <= xBottomBits_uid101_pT4_uid75_sqrtPolynomialEvaluator_b & STD_LOGIC_VECTOR((3 downto 1 => GND_q(0)) & GND_q);
--yBottomBits_uid100_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,99)@14
yBottomBits_uid100_pT4_uid75_sqrtPolynomialEvaluator_in <= s3_uid70_uid73_sqrtPolynomialEvaluator_q(14 downto 0);
yBottomBits_uid100_pT4_uid75_sqrtPolynomialEvaluator_b <= yBottomBits_uid100_pT4_uid75_sqrtPolynomialEvaluator_in(14 downto 0);
--spad_yBottomBits_uid100_uid103_pT4_uid75_sqrtPolynomialEvaluator(BITJOIN,102)@14
spad_yBottomBits_uid100_uid103_pT4_uid75_sqrtPolynomialEvaluator_q <= GND_q & yBottomBits_uid100_pT4_uid75_sqrtPolynomialEvaluator_b;
--pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator(BITJOIN,104)@14
pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_q <= spad_yBottomBits_uid100_uid103_pT4_uid75_sqrtPolynomialEvaluator_q & STD_LOGIC_VECTOR((1 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_6(REG,135)@14
reg_pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_6_q <= "000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_6_q <= pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--xTop18Bits_uid99_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,98)@15
xTop18Bits_uid99_pT4_uid75_sqrtPolynomialEvaluator_in <= yT4_uid74_sqrtPolynomialEvaluator_b;
xTop18Bits_uid99_pT4_uid75_sqrtPolynomialEvaluator_b <= xTop18Bits_uid99_pT4_uid75_sqrtPolynomialEvaluator_in(39 downto 22);
--multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma(CHAINMULTADD,127)@15
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_p(0) <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a(0) * multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c(0);
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_p(1) <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a(1) * multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c(1);
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_w(0) <= RESIZE(multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_p(0),38) + RESIZE(multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_p(1),38);
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_x(0) <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_w(0);
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_y(0) <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_x(0);
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a <= (others => (others => '0'));
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c <= (others => (others => '0'));
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a(0) <= SIGNED(RESIZE(UNSIGNED(xTop18Bits_uid99_pT4_uid75_sqrtPolynomialEvaluator_b),19));
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_a(1) <= SIGNED(RESIZE(UNSIGNED(pad_xBottomBits_uid101_uid104_pT4_uid75_sqrtPolynomialEvaluator_q),19));
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c(0) <= SIGNED(RESIZE(SIGNED(reg_pad_yBottomBits_uid100_uid105_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_6_q),18));
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_c(1) <= SIGNED(RESIZE(SIGNED(reg_yTop18Bits_uid102_pT4_uid75_sqrtPolynomialEvaluator_0_to_multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_9_q),18));
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s(0) <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_y(0);
END IF;
END PROCESS;
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s0 <= STD_LOGIC_VECTOR(RESIZE(multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s(0),37));
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_q <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_s0;
END IF;
END PROCESS;
--multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,106)@18
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_in <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_cma_q;
multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_b <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_in(36 downto 2);
--highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,108)@18
highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_in <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_b;
highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_b <= highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_in(34 downto 6);
--reg_highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_1(REG,137)@18
reg_highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_1_q <= highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,96)@14
yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_in <= s3_uid70_uid73_sqrtPolynomialEvaluator_q;
yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_b <= yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_in(41 downto 15);
--reg_yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_0_to_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_1(REG,136)@14
reg_yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_0_to_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_0_to_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_0_to_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_1_q <= yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--xTop27Bits_uid96_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,95)@15
xTop27Bits_uid96_pT4_uid75_sqrtPolynomialEvaluator_in <= yT4_uid74_sqrtPolynomialEvaluator_b;
xTop27Bits_uid96_pT4_uid75_sqrtPolynomialEvaluator_b <= xTop27Bits_uid96_pT4_uid75_sqrtPolynomialEvaluator_in(39 downto 13);
--topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator(MULT,97)@15
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_a),28)) * SIGNED(topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_b);
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_a <= (others => '0');
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_b <= (others => '0');
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_a <= xTop27Bits_uid96_pT4_uid75_sqrtPolynomialEvaluator_b;
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_b <= reg_yTop27Bits_uid97_pT4_uid75_sqrtPolynomialEvaluator_0_to_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_1_q;
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_pr,54));
END IF;
END PROCESS;
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_q <= topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_s1;
END IF;
END PROCESS;
--reg_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_0(REG,138)@18
reg_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_0_q <= topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator(ADD,109)@19
sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((54 downto 54 => reg_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_0_q(53)) & reg_topProd_uid98_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((54 downto 29 => reg_highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_1_q(28)) & reg_highBBits_uid109_pT4_uid75_sqrtPolynomialEvaluator_0_to_sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_1_q);
sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_b));
sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_q <= sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_o(54 downto 0);
--lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,107)@18
lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_in <= multSumOfTwo18_uid103_pT4_uid75_sqrtPolynomialEvaluator_b(5 downto 0);
lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b <= lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_in(5 downto 0);
--ld_lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b_to_add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_a(DELAY,260)@18
ld_lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b_to_add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_a : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b, xout => ld_lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b_to_add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_a_q, clk => clk, aclr => areset );
--add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator(BITJOIN,110)@19
add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_q <= sumAHighB_uid110_pT4_uid75_sqrtPolynomialEvaluator_q & ld_lowRangeB_uid108_pT4_uid75_sqrtPolynomialEvaluator_b_to_add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_a_q;
--R_uid112_pT4_uid75_sqrtPolynomialEvaluator(BITSELECT,111)@19
R_uid112_pT4_uid75_sqrtPolynomialEvaluator_in <= add0_uid108_uid111_pT4_uid75_sqrtPolynomialEvaluator_q(59 downto 0);
R_uid112_pT4_uid75_sqrtPolynomialEvaluator_b <= R_uid112_pT4_uid75_sqrtPolynomialEvaluator_in(59 downto 17);
--reg_R_uid112_pT4_uid75_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_1(REG,139)@19
reg_R_uid112_pT4_uid75_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid112_pT4_uid75_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_1_q <= "0000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_R_uid112_pT4_uid75_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_1_q <= R_uid112_pT4_uid75_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor(LOGICAL,396)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_b <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_q <= not (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_a or ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_b);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_mem_top(CONSTANT,392)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_mem_top_q <= "010000";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp(LOGICAL,393)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_mem_top_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_q);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_q <= "1" when ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_a = ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_b else "0";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmpReg(REG,394)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmpReg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_sticky_ena(REG,397)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_nor_q = "1") THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_sticky_ena_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd(LOGICAL,398)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_b <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_a and ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_b;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt(COUNTER,388)
-- every=1, low=0, high=16, step=1, init=1
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i = 15 THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i - 16;
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_i,5));
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg(REG,389)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux(MUX,390)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux: PROCESS (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_s, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg_q, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem(DUALMEM,387)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_reset0 <= areset;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_ia <= addrTable_uid32_fpSqrtTest_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_aa <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdreg_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_ab <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_rdmux_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 5,
numwords_a => 17,
width_b => 8,
widthad_b => 5,
numwords_b => 17,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_iq,
address_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_aa,
data_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_ia
);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_iq(7 downto 0);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_outputreg(DELAY,386)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_replace_mem_q, xout => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC1_uid51_sqrtTableGenerator(LOOKUP,50)@19
memoryC1_uid51_sqrtTableGenerator: PROCESS (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_outputreg_q)
BEGIN
-- Begin reserved scope level
CASE (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC1_uid51_sqrtTableGenerator_a_outputreg_q) IS
WHEN "00000000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111111111111111111111111111111111111111111011";
WHEN "00000001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111111000000010111110110000100010110000010100";
WHEN "00000010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111110000001011110110001000101000001111001011";
WHEN "00000011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111101000011010011110111011011000011101001111";
WHEN "00000100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111100000101110110010001000001011000000111001";
WHEN "00000101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111011001001000101000111010001100100001111010";
WHEN "00000110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111010001100111111100101000000101001011000100";
WHEN "00000111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111001010001100100110110011100000001110100011";
WHEN "00001000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011111000010110110100001001000110100101010110011";
WHEN "00001001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110111011100101100101011110101110101001001000";
WHEN "00001010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110110100011001101101110101111001111000100001";
WHEN "00001011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110101101010010110100011000101100111101001111";
WHEN "00001100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110100110010000110011011010110101010110000000";
WHEN "00001101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110011111010011100101011001000100010010001010";
WHEN "00001110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110011000011011000100111000111100011000101011";
WHEN "00001111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110010001100111001100101000011111111001101011";
WHEN "00010000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110001010110111110111011101111111101111101110";
WHEN "00010001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011110000100001101000000010111101011000100111101";
WHEN "00010010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101111101100110100010011011011111100110000011";
WHEN "00010011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101110111000100011000110110111010010101100011";
WHEN "00010100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101110000100110011110111110101001000101011101";
WHEN "00010101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101101010001100110000001110011100010100101010";
WHEN "00010110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101100011110111001000001000111001101011100100";
WHEN "00010111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101011101100101100010010111001110111000101001";
WHEN "00011000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101010111010111111010101001000101001011100110";
WHEN "00011001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101010001001110001100110100010101001110000111";
WHEN "00011010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101001011001000010100110100111011010110101100";
WHEN "00011011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011101000101000110001110101100101100011000010100";
WHEN "00011100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100111111000111110110100011001010101001001000";
WHEN "00011101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100111001001101001000100101011011100011011010";
WHEN "00011110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100110011010110000001000101111101011101000111";
WHEN "00011111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100101101100010011100011100011101110111000011";
WHEN "00100000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100100111110010010111000101101111111110110110";
WHEN "00100001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100100010000101101101100011100011101000111011";
WHEN "00100010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100011100011100011100011100011100011100011100";
WHEN "00100011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100010110110110100000011011101001010001110001";
WHEN "00100100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100010001010011110110010000111100000101011100";
WHEN "00100101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100001011110100011010110000100001111010011010";
WHEN "00100110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100000110011000001010110010111011001110001001";
WHEN "00100111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011100000000111111000011010100110100011110000000";
WHEN "00101000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011111011101001000001010110111110111001100111";
WHEN "00101001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011110110010110000001111110001001100110111100";
WHEN "00101010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011110001000110000010010010111010110011000101";
WHEN "00101011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011101011111000111111100001101001010001010000";
WHEN "00101100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011100110101110110110111010010110001000010000";
WHEN "00101101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011100001100111100101110000100110101010110010";
WHEN "00101110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011011100100011001001011011011110011101110000";
WHEN "00101111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011010111100001011111010101011001101001111001";
WHEN "00110000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011010010100010100100111100000111011001011100";
WHEN "00110001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011001101100110010111110000100100011110010000";
WHEN "00110010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011001000101100110101010110110110000111110100";
WHEN "00110011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011011000011110101111011010110000100111101010001";
WHEN "00110100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010111111000001100111011000011000000110001110";
WHEN "00110101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010111010001111110111001010110000011010000111";
WHEN "00110110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010110101100000101000011101000011111011000100";
WHEN "00110111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010110000110011111001000001111001010111101100";
WHEN "00111000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010101100001001100110101110100011110101001011";
WHEN "00111001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010100111100001101111011010111110100100110000";
WHEN "00111010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010100010111100010001000001101000111101000110";
WHEN "00111011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010011110011001001001011111100010011110000100";
WHEN "00111100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010011001111000010110110100000110111010110100";
WHEN "00111101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010010101011001110111000001001010101011110101";
WHEN "00111110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010010000111101101000001010110111000110011001";
WHEN "00111111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010001100100011101000010111100110111101000001";
WHEN "01000000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010001000001011110101110000000011000010101111";
WHEN "01000001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011010000011110110001110011110111110111001110101";
WHEN "01000010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001111111100010110000110001010101100011010110";
WHEN "01000011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001111011010001011010110110000110011000000010";
WHEN "01000100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001110111000010001010111110010010000100010000";
WHEN "01000101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001110010110100111111011100110111101100001101";
WHEN "01000110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001101110101001110110100110110001110011001101";
WHEN "01000111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001101010100000101110110010110011101000100110";
WHEN "01001000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001100110011001100110011001100110011001100111";
WHEN "01001001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001100010010100011011110101100110101000110100";
WHEN "01001010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001011110010001001101100011000001101000110111";
WHEN "01001011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001011010001111111001111111110010111100011010";
WHEN "01001100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001010110010000011111101011100001111010110101";
WHEN "01001101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001010010010010111101000111011111010111100111";
WHEN "01001110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001001110010111010000110110100011001111011111";
WHEN "01001111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001001010011101011001011101001010011001000011";
WHEN "01010000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001000110100101010101100001010100010111011001";
WHEN "01010001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011001000010101111000011101010100001010011101010";
WHEN "01010010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000111110111010100010100001101111110110111011";
WHEN "01010011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000111011000111110000110001011011001010110110";
WHEN "01010100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000110111010110101101000101011000111001111100";
WHEN "01010101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000110011100111010110001010110111010110011001";
WHEN "01010110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000101111111001101010110000011011100001101110";
WHEN "01010111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000101100001101101001100101111111011001001000";
WHEN "01011000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000101000100011010001011100110000000100001011";
WHEN "01011001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000100100111010100001000111001100000101101001";
WHEN "01011010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000100001010011010111011001000001110000001010";
WHEN "01011011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000011101101101110011000111001101011101101001";
WHEN "01011100" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000011010001001110011000111111000000111111110";
WHEN "01011101" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000010110100111010110010010010101100110000110";
WHEN "01011110" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000010011000110011011011111000011001010011100";
WHEN "01011111" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000001111100111000001100111100110000011001110";
WHEN "01100000" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000001100001001000111100110101001111111011001";
WHEN "01100001" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000001000101100101100010111111111110011000000";
WHEN "01100010" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000000101010001101110111000011011111111000001";
WHEN "01100011" => memoryC1_uid51_sqrtTableGenerator_q <= "0011000000001111000001110000101110101011011111010";
WHEN "01100100" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111111110100000001000111111000100000110100011";
WHEN "01100101" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111111011001001011110100011111111101100000101";
WHEN "01100110" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111110111110100001101110101011110011011101110";
WHEN "01100111" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111110100100000010101110101010011111000000000";
WHEN "01101000" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111110001001101110101100110001111101011100110";
WHEN "01101001" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111101101111100101100001011111100011101100000";
WHEN "01101010" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111101010101100111000101010111110101000110011";
WHEN "01101011" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111100111011110011010001000110011010110100011";
WHEN "01101100" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111100100010001001111101011101111010111011101";
WHEN "01101101" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111100001000101011000011010111110000001010110";
WHEN "01101110" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111011101111010110011011110100000001011101001";
WHEN "01101111" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111011010110001011111111111001011010000001011";
WHEN "01110000" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111010111101001011101000110101000001010111000";
WHEN "01110001" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111010100100010101001111111010010011101010111";
WHEN "01110010" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111010001011101000101110100010111010001011101";
WHEN "01110011" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111001110011000101111110001110100011111000010";
WHEN "01110100" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111001011010101100111000100010111110010000101";
WHEN "01110101" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111001000010011101010111001011101110010111011";
WHEN "01110110" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111000101010010111010011111010001001110111001";
WHEN "01110111" => memoryC1_uid51_sqrtTableGenerator_q <= "0010111000010010011010101000100101010000010101111";
WHEN "01111000" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110111111010100111001111001001100100101001010";
WHEN "01111001" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110111100010111101000001101001000110100111100";
WHEN "01111010" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110111001011011011111010001011001100101010110";
WHEN "01111011" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110110110100000011110010111100011101101100100";
WHEN "01111100" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110110011100110100100110001110101011000010010";
WHEN "01111101" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110110000101101110001110011000101010101000000";
WHEN "01111110" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110101101110110000100101110110010001001001000";
WHEN "01111111" => memoryC1_uid51_sqrtTableGenerator_q <= "0010110101010111111011100111001000001100100101101";
WHEN "10000000" => memoryC1_uid51_sqrtTableGenerator_q <= "0101101010000010011110011001100111111100111001110";
WHEN "10000001" => memoryC1_uid51_sqrtTableGenerator_q <= "0101101000101000011111100000001101011100010111111";
WHEN "10000010" => memoryC1_uid51_sqrtTableGenerator_q <= "0101100111001111100011001011101111011000111000110";
WHEN "10000011" => memoryC1_uid51_sqrtTableGenerator_q <= "0101100101110111101000001010101111100101100001110";
WHEN "10000100" => memoryC1_uid51_sqrtTableGenerator_q <= "0101100100100000101101001101111010010110100100001";
WHEN "10000101" => memoryC1_uid51_sqrtTableGenerator_q <= "0101100011001010110001001000000001110100111001000";
WHEN "10000110" => memoryC1_uid51_sqrtTableGenerator_q <= "0101100001110101110010101101111001011110000101111";
WHEN "10000111" => memoryC1_uid51_sqrtTableGenerator_q <= "0101100000100001110000110110010001101111110011101";
WHEN "10001000" => memoryC1_uid51_sqrtTableGenerator_q <= "0101011111001110101010011001110011111110100111011";
WHEN "10001001" => memoryC1_uid51_sqrtTableGenerator_q <= "0101011101111100011110010010111110010111100101110";
WHEN "10001010" => memoryC1_uid51_sqrtTableGenerator_q <= "0101011100101011001011011110000000001011110011110";
WHEN "10001011" => memoryC1_uid51_sqrtTableGenerator_q <= "0101011011011010110000111000110110000101100111000";
WHEN "10001100" => memoryC1_uid51_sqrtTableGenerator_q <= "0101011010001011001101100011000110100111010110010";
WHEN "10001101" => memoryC1_uid51_sqrtTableGenerator_q <= "0101011000111100100000011101111110110010100110011";
WHEN "10001110" => memoryC1_uid51_sqrtTableGenerator_q <= "0101010111101110101000101100001110111000000001100";
WHEN "10001111" => memoryC1_uid51_sqrtTableGenerator_q <= "0101010110100001100101010010000111001111000000110";
WHEN "10010000" => memoryC1_uid51_sqrtTableGenerator_q <= "0101010101010101010101010101010101010101010100111";
WHEN "10010001" => memoryC1_uid51_sqrtTableGenerator_q <= "0101010100001001110111111101000000110101101011100";
WHEN "10010010" => memoryC1_uid51_sqrtTableGenerator_q <= "0101010010111111001100010001101000110101101010011";
WHEN "10010011" => memoryC1_uid51_sqrtTableGenerator_q <= "0101010001110101010001011101000001001010000011100";
WHEN "10010100" => memoryC1_uid51_sqrtTableGenerator_q <= "0101010000101100000110101010001111110001101011100";
WHEN "10010101" => memoryC1_uid51_sqrtTableGenerator_q <= "0101001111100011101011000101101010010110010111111";
WHEN "10010110" => memoryC1_uid51_sqrtTableGenerator_q <= "0101001110011011111101111100110011110011101111100";
WHEN "10010111" => memoryC1_uid51_sqrtTableGenerator_q <= "0101001101010100111110011110011010000011100001010";
WHEN "10011000" => memoryC1_uid51_sqrtTableGenerator_q <= "0101001100001110101011111010010011101111001111000";
WHEN "10011001" => memoryC1_uid51_sqrtTableGenerator_q <= "0101001011001001000101100001011110000111001000110";
WHEN "10011010" => memoryC1_uid51_sqrtTableGenerator_q <= "0101001010000100001010100101111010111101111011111";
WHEN "10011011" => memoryC1_uid51_sqrtTableGenerator_q <= "0101001000111111111010011010101110101001000101111";
WHEN "10011100" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000111111100010100010011111110000101111111100";
WHEN "10011101" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000110111001010111100110101101000011000010110";
WHEN "10011110" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000101110111000011101000111100001101001110110";
WHEN "10011111" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000100110101010111110001100111100001010011101";
WHEN "10100000" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000011110100010011011000100100100001000111110";
WHEN "10100001" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000010110011110101110110100000101100011100100";
WHEN "10100010" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000001110011111110100100111111111101010001110";
WHEN "10100011" => memoryC1_uid51_sqrtTableGenerator_q <= "0101000000110100101100111110011011000111100001000";
WHEN "10100100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111111110110000000011101111110011100000110010";
WHEN "10100101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111110110111111000011111101000001110110000000";
WHEN "10100110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111101111010010100100000000111011111011011100";
WHEN "10100111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111100111101010011111100111010100101110001111";
WHEN "10101000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111100000000110110010100001110000000001101000";
WHEN "10101001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111011000100111011000100111011000100111010111";
WHEN "10101010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111010001001100001101110100110110101111010010";
WHEN "10101011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111001001110101001110001100000110111010001010";
WHEN "10101100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100111000010100010010101110100010000111110100001";
WHEN "10101101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110111011010011100000111001011111100001100000";
WHEN "10101110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110110100001000101011101100110111011101100111";
WHEN "10101111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110101101000001110010100100001111111111001110";
WHEN "10110000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110100101111110110001111010001010101100111001";
WHEN "10110001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110011110111111100110001101101100000010010101";
WHEN "10110010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110011000000100001100000010010011111000000001";
WHEN "10110011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110010001001100011111111111110110011011010111";
WHEN "10110100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110001010011000011110110010010101010011110100";
WHEN "10110101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100110000011101000000101001001111000110101000111";
WHEN "10110110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101111100111011001111111010101001011111101101";
WHEN "10110111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101110110010001111011111100101001101100011101";
WHEN "10111000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101101111101100000110001011101111100101100101";
WHEN "10111001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101101001001001101011100111011111001001111100";
WHEN "10111010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101100010101010101001010011000100011100101001";
WHEN "10111011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101011100001110111100010101001101111111000001";
WHEN "10111100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101010101110110100001111000000111010110000110";
WHEN "10111101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101001111100001010111001001010011110111110010";
WHEN "10111110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101001001001111011001011001101001100100001010";
WHEN "10111111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100101000011000000100101111101001100000111110011";
WHEN "11000000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100111100110100111010001011001000000110010001";
WHEN "11000001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100110110101100010011011101101110001110100001";
WHEN "11000010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100110000100110101111010010001110110101110001";
WHEN "11000011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100101010100100001011001000110101011111000110";
WHEN "11000100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100100100100100100100100100100100100100100100";
WHEN "11000101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100011110100111111001001011010001001110001011";
WHEN "11000110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100011000101110000110100101011111001100010100";
WHEN "11000111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100010010110111001010011110011100111011010000";
WHEN "11001000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100001101000011000010100011111111101100010000";
WHEN "11001001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100000111010001101100100110011111110111100100";
WHEN "11001010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100100000001100011000110011000110101010001110001";
WHEN "11001011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011111011110111001101110000010011101011101001";
WHEN "11001100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011110110001110000000100100100111010011001010";
WHEN "11001101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011110000100111011100101111110001011110111100";
WHEN "11001110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011101011000011100000001110000101011100111111";
WHEN "11001111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011100101100010001000111110000101000110111001";
WHEN "11010000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011100000000011010101000000011101111101000101";
WHEN "11010001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011011010100111000010011000000110000101001110";
WHEN "11010010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011010101001101001111001001111001001111000010";
WHEN "11010011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011001111110101111001011100110101111101110110";
WHEN "11010100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011001010100000111111011001111010110111001110";
WHEN "11010101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100011000101001110011111001100000011110011111110";
WHEN "11010110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010111111111110010111000000000111011000100001";
WHEN "11010111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010111010110000100101000100110100010000100010";
WHEN "11011000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010110101100101000111101010101110101110010011";
WHEN "11011001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010110000011011111101000100001110001101111001";
WHEN "11011010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010101011010101000011100101011010111110000000";
WHEN "11011011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010100110010000011001100100001011101001111001";
WHEN "11011100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010100001001101111101011000000011001010100000";
WHEN "11011101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010011100001101101101011010001110010111111110";
WHEN "11011110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010010111001111101000000101100010000000001111";
WHEN "11011111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010010010010011101011110110011000100001000001";
WHEN "11100000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010001101011001110111001010110000000100100001";
WHEN "11100001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010001000100010001000100010001000100010000111";
WHEN "11100010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100010000011101100011110011101100001100001010100";
WHEN "11100011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001111110111000110111011111011000100000101010";
WHEN "11100100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001111010000111010010001011100110111101101010";
WHEN "11100101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001110101010111101101000111100000100011001100";
WHEN "11100110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001110000101010000110111001110001010110101110";
WHEN "11100111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001101011111110011110001010011100001010010000";
WHEN "11101000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001100111010100110001100010111000110000110000";
WHEN "11101001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001100010101100111111101101110010010101100001";
WHEN "11101010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001011110000111000111010111000101110100011000";
WHEN "11101011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001011001100011000111001100000000010110011100";
WHEN "11101100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001010101000000111101111010111101101110101000";
WHEN "11101101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001010000100000101010010011100110111011111001";
WHEN "11101110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001001100000010001011000110110000101010100110";
WHEN "11101111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001000111100101011111000110011001111000111011";
WHEN "11110000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100001000011001010100101000101101010011110101110";
WHEN "11110001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000111110110001011011111000110001110101010010";
WHEN "11110010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000111010011010000010010101000101100010100101";
WHEN "11110011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000110110000100010111010001000000000101101101";
WHEN "11110100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000110001110000011001100011111111100101111111";
WHEN "11110101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000101101011110001000000110100100100011001001";
WHEN "11110110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000101001001101100001110010010000100111011110";
WHEN "11110111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000100100111110100101100001100101011011010000";
WHEN "11111000" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000100000110001010010010000000011011011110100";
WHEN "11111001" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000011100100101100110111010001000110000111101";
WHEN "11111010" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000011000011011100010011101010000000111001101";
WHEN "11111011" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000010100010011000011110111101111101010000000";
WHEN "11111100" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000010000001100001010001000110111111111001100";
WHEN "11111101" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000001100000110110100010000110011000100111101";
WHEN "11111110" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000001000000011000001010000100011001111110101";
WHEN "11111111" => memoryC1_uid51_sqrtTableGenerator_q <= "0100000000100000000110000001010000010001100011010";
WHEN OTHERS =>
memoryC1_uid51_sqrtTableGenerator_q <= "0011111111111111111111111111111111111111111111011";
END CASE;
-- End reserved scope level
END PROCESS;
--rndBit_uid76_sqrtPolynomialEvaluator(CONSTANT,75)
rndBit_uid76_sqrtPolynomialEvaluator_q <= "01";
--cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator(BITJOIN,76)@19
cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_q <= memoryC1_uid51_sqrtTableGenerator_q & rndBit_uid76_sqrtPolynomialEvaluator_q;
--reg_cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_0(REG,140)@19
reg_cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_0_q <= cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--ts4_uid78_sqrtPolynomialEvaluator(ADD,77)@20
ts4_uid78_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((51 downto 51 => reg_cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_0_q(50)) & reg_cIncludingRoundingBit_uid77_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_0_q);
ts4_uid78_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((51 downto 43 => reg_R_uid112_pT4_uid75_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_1_q(42)) & reg_R_uid112_pT4_uid75_sqrtPolynomialEvaluator_0_to_ts4_uid78_sqrtPolynomialEvaluator_1_q);
ts4_uid78_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(ts4_uid78_sqrtPolynomialEvaluator_a) + SIGNED(ts4_uid78_sqrtPolynomialEvaluator_b));
ts4_uid78_sqrtPolynomialEvaluator_q <= ts4_uid78_sqrtPolynomialEvaluator_o(51 downto 0);
--s4_uid79_sqrtPolynomialEvaluator(BITSELECT,78)@20
s4_uid79_sqrtPolynomialEvaluator_in <= ts4_uid78_sqrtPolynomialEvaluator_q;
s4_uid79_sqrtPolynomialEvaluator_b <= s4_uid79_sqrtPolynomialEvaluator_in(51 downto 1);
--yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,113)@20
yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_in <= s4_uid79_sqrtPolynomialEvaluator_b;
yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_b <= yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_in(50 downto 24);
--reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_9(REG,141)@20
reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_9_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_9_q <= yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor(LOGICAL,485)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_b <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_sticky_ena_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_q <= not (ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_a or ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_b);
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_mem_top(CONSTANT,481)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_mem_top_q <= "010001";
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp(LOGICAL,482)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_a <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_mem_top_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_q);
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_q <= "1" when ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_a = ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_b else "0";
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmpReg(REG,483)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmpReg_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmp_q;
END IF;
END PROCESS;
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_sticky_ena(REG,486)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_nor_q = "1") THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_sticky_ena_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd(LOGICAL,487)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_a <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_sticky_ena_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_b <= VCC_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_a and ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_b;
--xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,116)@1
xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_in <= X44dto0_uid33_fpSqrtTest_b(17 downto 0);
xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b <= xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_in(17 downto 0);
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt(COUNTER,477)
-- every=1, low=0, high=17, step=1, init=1
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i = 16 THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_eq <= '1';
ELSE
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_eq = '1') THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i - 17;
ELSE
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_i,5));
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg(REG,478)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux(MUX,479)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_s <= VCC_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux: PROCESS (ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_s, ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg_q, ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_q)
BEGIN
CASE ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_s IS
WHEN "0" => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg_q;
WHEN "1" => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdcnt_q;
WHEN OTHERS => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem(DUALMEM,476)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_reset0 <= areset;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_ia <= xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_aa <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdreg_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_ab <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_rdmux_q;
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 18,
widthad_a => 5,
numwords_a => 18,
width_b => 18,
widthad_b => 5,
numwords_b => 18,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_iq,
address_a => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_aa,
data_a => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_ia
);
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_iq(17 downto 0);
--ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_outputreg(DELAY,475)
ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_outputreg : dspba_delay
GENERIC MAP ( width => 18, depth => 1 )
PORT MAP ( xin => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_replace_mem_q, xout => ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_outputreg_q, clk => clk, aclr => areset );
--pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator(BITJOIN,118)@21
pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_q <= ld_xBottomBits_uid117_pT5_uid81_sqrtPolynomialEvaluator_b_to_pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_b_outputreg_q & STD_LOGIC_VECTOR((7 downto 1 => GND_q(0)) & GND_q);
--yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,115)@20
yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_in <= s4_uid79_sqrtPolynomialEvaluator_b(23 downto 0);
yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b <= yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_in(23 downto 0);
--ld_yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b_to_spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_a(DELAY,269)@20
ld_yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b_to_spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_a : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b, xout => ld_yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b_to_spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_a_q, clk => clk, aclr => areset );
--spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator(BITJOIN,117)@21
spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_q <= GND_q & ld_yBottomBits_uid116_pT5_uid81_sqrtPolynomialEvaluator_b_to_spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_a_q;
--pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator(BITJOIN,119)@21
pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_q <= spad_yBottomBits_uid116_uid118_pT5_uid81_sqrtPolynomialEvaluator_q & STD_LOGIC_VECTOR((1 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_6(REG,142)@21
reg_pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_6_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_6_q <= pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor(LOGICAL,472)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_b <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_q <= not (ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_a or ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_b);
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_mem_top(CONSTANT,468)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_mem_top_q <= "010010";
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp(LOGICAL,469)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_a <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_mem_top_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_q);
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_q <= "1" when ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_a = ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_b else "0";
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmpReg(REG,470)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmpReg_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmp_q;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_sticky_ena(REG,473)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_nor_q = "1") THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_sticky_ena_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd(LOGICAL,474)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_a <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_b <= VCC_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_a and ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_b;
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt(COUNTER,464)
-- every=1, low=0, high=18, step=1, init=1
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i = 17 THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '1';
ELSE
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_eq = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i - 18;
ELSE
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_i,5));
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg(REG,465)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux(MUX,466)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_s <= VCC_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux: PROCESS (ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_s, ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg_q, ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_q)
BEGIN
CASE ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_s IS
WHEN "0" => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg_q;
WHEN "1" => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdcnt_q;
WHEN OTHERS => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem(DUALMEM,463)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_reset0 <= areset;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_ia <= X44dto0_uid33_fpSqrtTest_b;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_aa <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdreg_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_ab <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_rdmux_q;
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 45,
widthad_a => 5,
numwords_a => 19,
width_b => 45,
widthad_b => 5,
numwords_b => 19,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_iq,
address_a => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_aa,
data_a => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_ia
);
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_q <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_iq(44 downto 0);
--ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_outputreg(DELAY,462)
ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_outputreg : dspba_delay
GENERIC MAP ( width => 45, depth => 1 )
PORT MAP ( xin => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_replace_mem_q, xout => ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_outputreg_q, clk => clk, aclr => areset );
--xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,112)@22
xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_in <= ld_X44dto0_uid33_fpSqrtTest_b_to_xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_a_outputreg_q;
xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_b <= xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_in(44 downto 18);
--multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma(CHAINMULTADD,128)@22
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_p(0) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a(0) * multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c(0);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_p(1) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a(1) * multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c(1);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_w(0) <= RESIZE(multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_p(0),56);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_w(1) <= RESIZE(multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_p(1),56);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_x(0) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_w(0);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_x(1) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_w(1);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_y(0) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s(1) + multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_x(0);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_y(1) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_x(1);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a <= (others => (others => '0'));
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c <= (others => (others => '0'));
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a(0) <= SIGNED(RESIZE(UNSIGNED(xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_b),28));
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_a(1) <= SIGNED(RESIZE(UNSIGNED(pad_xBottomBits_uid117_uid119_pT5_uid81_sqrtPolynomialEvaluator_q),28));
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c(0) <= SIGNED(RESIZE(SIGNED(reg_pad_yBottomBits_uid116_uid120_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_6_q),27));
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_c(1) <= SIGNED(RESIZE(SIGNED(reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_9_q),27));
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s(0) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_y(0);
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s(1) <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_y(1);
END IF;
END PROCESS;
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s0 <= STD_LOGIC_VECTOR(RESIZE(multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s(0),55));
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_q <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_s0;
END IF;
END PROCESS;
--multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,121)@25
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_in <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_cma_q;
multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_b <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_in(54 downto 2);
--highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,123)@25
highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_in <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_b;
highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_b <= highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_in(52 downto 24);
--reg_highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_1(REG,144)@25
reg_highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_1_q <= highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1(REG,143)@20
reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q <= "000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q <= yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--ld_reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b(DELAY,266)@21
ld_reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q, xout => ld_reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b_q, clk => clk, aclr => areset );
--topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator(MULT,114)@22
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_a),28)) * SIGNED(topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b);
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_a <= (others => '0');
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b <= (others => '0');
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_a <= xTop27Bits_uid113_pT5_uid81_sqrtPolynomialEvaluator_b;
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b <= ld_reg_yTop27Bits_uid114_pT5_uid81_sqrtPolynomialEvaluator_0_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_1_q_to_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_b_q;
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_pr,54));
END IF;
END PROCESS;
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_q <= topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_s1;
END IF;
END PROCESS;
--reg_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_0(REG,145)@25
reg_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_0_q <= topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator(ADD,124)@26
sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((54 downto 54 => reg_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_0_q(53)) & reg_topProd_uid115_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((54 downto 29 => reg_highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_1_q(28)) & reg_highBBits_uid124_pT5_uid81_sqrtPolynomialEvaluator_0_to_sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_1_q);
sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_b));
sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_q <= sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_o(54 downto 0);
--lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,122)@25
lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_in <= multSumOfTwo27_uid118_pT5_uid81_sqrtPolynomialEvaluator_b(23 downto 0);
lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b <= lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_in(23 downto 0);
--ld_lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b_to_add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_a(DELAY,277)@25
ld_lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b_to_add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_a : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b, xout => ld_lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b_to_add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_a_q, clk => clk, aclr => areset );
--add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator(BITJOIN,125)@26
add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_q <= sumAHighB_uid125_pT5_uid81_sqrtPolynomialEvaluator_q & ld_lowRangeB_uid123_pT5_uid81_sqrtPolynomialEvaluator_b_to_add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_a_q;
--R_uid127_pT5_uid81_sqrtPolynomialEvaluator(BITSELECT,126)@26
R_uid127_pT5_uid81_sqrtPolynomialEvaluator_in <= add0_uid123_uid126_pT5_uid81_sqrtPolynomialEvaluator_q(77 downto 0);
R_uid127_pT5_uid81_sqrtPolynomialEvaluator_b <= R_uid127_pT5_uid81_sqrtPolynomialEvaluator_in(77 downto 25);
--reg_R_uid127_pT5_uid81_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_1(REG,146)@26
reg_R_uid127_pT5_uid81_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid127_pT5_uid81_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_R_uid127_pT5_uid81_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_1_q <= R_uid127_pT5_uid81_sqrtPolynomialEvaluator_b;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor(LOGICAL,383)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_b <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_q <= not (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_a or ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_b);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_mem_top(CONSTANT,379)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_mem_top_q <= "010111";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp(LOGICAL,380)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_mem_top_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_q);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_q <= "1" when ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_a = ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_b else "0";
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmpReg(REG,381)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmpReg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmp_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_sticky_ena(REG,384)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_nor_q = "1") THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_sticky_ena_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd(LOGICAL,385)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_a <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_sticky_ena_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_b <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_a and ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_b;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt(COUNTER,375)
-- every=1, low=0, high=23, step=1, init=1
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i = 22 THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_eq <= '1';
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_eq = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i - 23;
ELSE
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_i,5));
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg(REG,376)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux(MUX,377)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_s <= VCC_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux: PROCESS (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_s, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg_q, ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_q)
BEGIN
CASE ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_s IS
WHEN "0" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg_q;
WHEN "1" => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdcnt_q;
WHEN OTHERS => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem(DUALMEM,374)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_reset0 <= areset;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_ia <= addrTable_uid32_fpSqrtTest_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_aa <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdreg_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_ab <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_rdmux_q;
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 5,
numwords_a => 24,
width_b => 8,
widthad_b => 5,
numwords_b => 24,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_iq,
address_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_aa,
data_a => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_ia
);
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_q <= ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_iq(7 downto 0);
--ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_outputreg(DELAY,373)
ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_outputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_replace_mem_q, xout => ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_outputreg_q, clk => clk, aclr => areset );
--memoryC0_uid50_sqrtTableGenerator(LOOKUP,49)@26
memoryC0_uid50_sqrtTableGenerator: PROCESS (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_outputreg_q)
BEGIN
-- Begin reserved scope level
CASE (ld_addrTable_uid32_fpSqrtTest_q_to_memoryC0_uid50_sqrtTableGenerator_a_outputreg_q) IS
WHEN "00000000" => memoryC0_uid50_sqrtTableGenerator_q <= "010000000000000000000000000000000000000000000000000000100";
WHEN "00000001" => memoryC0_uid50_sqrtTableGenerator_q <= "010000000011111111100000000111111101100000110111101011101";
WHEN "00000010" => memoryC0_uid50_sqrtTableGenerator_q <= "010000000111111110000000111111011000011011101011010000110";
WHEN "00000011" => memoryC0_uid50_sqrtTableGenerator_q <= "010000001011111011100011010100111000110000111101001000001";
WHEN "00000100" => memoryC0_uid50_sqrtTableGenerator_q <= "010000001111111000000111110110001101101011100000001100010";
WHEN "00000101" => memoryC0_uid50_sqrtTableGenerator_q <= "010000010011110011101111010000001111000000101001100100110";
WHEN "00000110" => memoryC0_uid50_sqrtTableGenerator_q <= "010000010111101110011010001110111110101101010010001011010";
WHEN "00000111" => memoryC0_uid50_sqrtTableGenerator_q <= "010000011011101000001001011101101010001111110000101011110";
WHEN "00001000" => memoryC0_uid50_sqrtTableGenerator_q <= "010000011111100000111101100110101011111110110100000111100";
WHEN "00001001" => memoryC0_uid50_sqrtTableGenerator_q <= "010000100011011000110111010011101100011101100110101010101";
WHEN "00001010" => memoryC0_uid50_sqrtTableGenerator_q <= "010000100111001111110111001101100011101101000000001001100";
WHEN "00001011" => memoryC0_uid50_sqrtTableGenerator_q <= "010000101011000101111101111100011010011010001111001000111";
WHEN "00001100" => memoryC0_uid50_sqrtTableGenerator_q <= "010000101110111011001100000111101011001010111111011011011";
WHEN "00001101" => memoryC0_uid50_sqrtTableGenerator_q <= "010000110010101111100010010110000011100111000100001101110";
WHEN "00001110" => memoryC0_uid50_sqrtTableGenerator_q <= "010000110110100011000001001101100101011111101100000110010";
WHEN "00001111" => memoryC0_uid50_sqrtTableGenerator_q <= "010000111010010101101001010011100111110100100100101100100";
WHEN "00010000" => memoryC0_uid50_sqrtTableGenerator_q <= "010000111110000111011011001100110111110110110011011001111";
WHEN "00010001" => memoryC0_uid50_sqrtTableGenerator_q <= "010001000001111000010111011101011010001001101000101001001";
WHEN "00010010" => memoryC0_uid50_sqrtTableGenerator_q <= "010001000101101000011110101000101011100001010010100100000";
WHEN "00010011" => memoryC0_uid50_sqrtTableGenerator_q <= "010001001001010111110001010001100001111111110100001001001";
WHEN "00010100" => memoryC0_uid50_sqrtTableGenerator_q <= "010001001101000110001111111010001101110000000101001110100";
WHEN "00010101" => memoryC0_uid50_sqrtTableGenerator_q <= "010001010000110011111011000100011001111110111111111011101";
WHEN "00010110" => memoryC0_uid50_sqrtTableGenerator_q <= "010001010100100000110011010001001101110010111111101011000";
WHEN "00010111" => memoryC0_uid50_sqrtTableGenerator_q <= "010001011000001100111001000001001101000001110101110100101";
WHEN "00011000" => memoryC0_uid50_sqrtTableGenerator_q <= "010001011011111000001100110100011001000100110111111001000";
WHEN "00011001" => memoryC0_uid50_sqrtTableGenerator_q <= "010001011111100010101111001010010001101011101010111001110";
WHEN "00011010" => memoryC0_uid50_sqrtTableGenerator_q <= "010001100011001100100000100001110101101101001111100011010";
WHEN "00011011" => memoryC0_uid50_sqrtTableGenerator_q <= "010001100110110101100001011001100011110111110010011111100";
WHEN "00011100" => memoryC0_uid50_sqrtTableGenerator_q <= "010001101010011101110010001111011011011111000100000100000";
WHEN "00011101" => memoryC0_uid50_sqrtTableGenerator_q <= "010001101110000101010011100000111101001001011010100000110";
WHEN "00011110" => memoryC0_uid50_sqrtTableGenerator_q <= "010001110001101100000101101011001011011011100001110000101";
WHEN "00011111" => memoryC0_uid50_sqrtTableGenerator_q <= "010001110101010010001001001010101011100010111011100001111";
WHEN "00100000" => memoryC0_uid50_sqrtTableGenerator_q <= "010001111000110111011110011011100101111111010010101000010";
WHEN "00100001" => memoryC0_uid50_sqrtTableGenerator_q <= "010001111100011100000101111001100111001010100011111111010";
WHEN "00100010" => memoryC0_uid50_sqrtTableGenerator_q <= "010010000000000000000000000000000000000000000000000000100";
WHEN "00100011" => memoryC0_uid50_sqrtTableGenerator_q <= "010010000011100011001101001001100110100010000110101001011";
WHEN "00100100" => memoryC0_uid50_sqrtTableGenerator_q <= "010010000111000101101101110000110110011111100000100100001";
WHEN "00100101" => memoryC0_uid50_sqrtTableGenerator_q <= "010010001010100111100010001111110001110110110111100101101";
WHEN "00100110" => memoryC0_uid50_sqrtTableGenerator_q <= "010010001110001000101011000000000001011001110000101000011";
WHEN "00100111" => memoryC0_uid50_sqrtTableGenerator_q <= "010010010001101001001000011010110101001110101001001001001";
WHEN "00101000" => memoryC0_uid50_sqrtTableGenerator_q <= "010010010101001000111010111001000101010001111010000101110";
WHEN "00101001" => memoryC0_uid50_sqrtTableGenerator_q <= "010010011000101000000010110011010001110110000010010110110";
WHEN "00101010" => memoryC0_uid50_sqrtTableGenerator_q <= "010010011100000110100000100001100100000010111010011010101";
WHEN "00101011" => memoryC0_uid50_sqrtTableGenerator_q <= "010010011111100100010100011011101110010100010011000011101";
WHEN "00101100" => memoryC0_uid50_sqrtTableGenerator_q <= "010010100011000001011110111001001100110111100000110100011";
WHEN "00101101" => memoryC0_uid50_sqrtTableGenerator_q <= "010010100110011110000000010001000110001000010101110101010";
WHEN "00101110" => memoryC0_uid50_sqrtTableGenerator_q <= "010010101001111001111000111010001011001101001011100110001";
WHEN "00101111" => memoryC0_uid50_sqrtTableGenerator_q <= "010010101101010101001001001010111000010010011110001110010";
WHEN "00110000" => memoryC0_uid50_sqrtTableGenerator_q <= "010010110000101111110001011001010101000101011010100111010";
WHEN "00110001" => memoryC0_uid50_sqrtTableGenerator_q <= "010010110100001001110001111011010101001110000000111110110";
WHEN "00110010" => memoryC0_uid50_sqrtTableGenerator_q <= "010010110111100011001011000110011000101000011101000001111";
WHEN "00110011" => memoryC0_uid50_sqrtTableGenerator_q <= "010010111010111011111101001111101011111101110101001011001";
WHEN "00110100" => memoryC0_uid50_sqrtTableGenerator_q <= "010010111110010100001000101100001000111100010001111110011";
WHEN "00110101" => memoryC0_uid50_sqrtTableGenerator_q <= "010011000001101011101101110000010110101110011111000100000";
WHEN "00110110" => memoryC0_uid50_sqrtTableGenerator_q <= "010011000101000010101100110000101010010010100110101011110";
WHEN "00110111" => memoryC0_uid50_sqrtTableGenerator_q <= "010011001000011001000110000001000110110000101001000001010";
WHEN "00111000" => memoryC0_uid50_sqrtTableGenerator_q <= "010011001011101110111001110101011101110000010000010111000";
WHEN "00111001" => memoryC0_uid50_sqrtTableGenerator_q <= "010011001111000100001000100001001111101110000011001001111";
WHEN "00111010" => memoryC0_uid50_sqrtTableGenerator_q <= "010011010010011000110010010111101100010000010101000000100";
WHEN "00111011" => memoryC0_uid50_sqrtTableGenerator_q <= "010011010101101100110111101011110010011011010111100010101";
WHEN "00111100" => memoryC0_uid50_sqrtTableGenerator_q <= "010011011001000000011000110000010001000101001100000110001";
WHEN "00111101" => memoryC0_uid50_sqrtTableGenerator_q <= "010011011100010011010101110111100111001000110111001011011";
WHEN "00111110" => memoryC0_uid50_sqrtTableGenerator_q <= "010011011111100101101111010100000011111001010110100010000";
WHEN "00111111" => memoryC0_uid50_sqrtTableGenerator_q <= "010011100010110111100101010111100111010011111010101011000";
WHEN "01000000" => memoryC0_uid50_sqrtTableGenerator_q <= "010011100110001000111000010100000010010010000100101111000";
WHEN "01000001" => memoryC0_uid50_sqrtTableGenerator_q <= "010011101001011001101000011010110110111011001001010111111";
WHEN "01000010" => memoryC0_uid50_sqrtTableGenerator_q <= "010011101100101001110101111101011000110101011001100011000";
WHEN "01000011" => memoryC0_uid50_sqrtTableGenerator_q <= "010011101111111001100001001100101101010110110001110111111";
WHEN "01000100" => memoryC0_uid50_sqrtTableGenerator_q <= "010011110011001000101010011001101011110101010001010011100";
WHEN "01000101" => memoryC0_uid50_sqrtTableGenerator_q <= "010011110110010111010001110100111101110110110111110010101";
WHEN "01000110" => memoryC0_uid50_sqrtTableGenerator_q <= "010011111001100101010111101110111111100001001101100111101";
WHEN "01000111" => memoryC0_uid50_sqrtTableGenerator_q <= "010011111100110010111100010111111111101000110100000100011";
WHEN "01001000" => memoryC0_uid50_sqrtTableGenerator_q <= "010100000000000000000000000000000000000000000000000000100";
WHEN "01001001" => memoryC0_uid50_sqrtTableGenerator_q <= "010100000011001100100010110110110101100101011111000010010";
WHEN "01001010" => memoryC0_uid50_sqrtTableGenerator_q <= "010100000110011000100101001100001000110010100111110000101";
WHEN "01001011" => memoryC0_uid50_sqrtTableGenerator_q <= "010100001001100100000111001111010101101001010101110010000";
WHEN "01001100" => memoryC0_uid50_sqrtTableGenerator_q <= "010100001100101111001001001111101100000001110001111010110";
WHEN "01001101" => memoryC0_uid50_sqrtTableGenerator_q <= "010100001111111001101011011100001111110111100111001110100";
WHEN "01001110" => memoryC0_uid50_sqrtTableGenerator_q <= "010100010011000011101110000011111001010111000101010011110";
WHEN "01001111" => memoryC0_uid50_sqrtTableGenerator_q <= "010100010110001101010001010101010101001001110000011010110";
WHEN "01010000" => memoryC0_uid50_sqrtTableGenerator_q <= "010100011001010110010101011111000100100010111111110110111";
WHEN "01010001" => memoryC0_uid50_sqrtTableGenerator_q <= "010100011100011110111010101111011101101100001011000110101";
WHEN "01010010" => memoryC0_uid50_sqrtTableGenerator_q <= "010100011111100111000001010100101011110000100110001001110";
WHEN "01010011" => memoryC0_uid50_sqrtTableGenerator_q <= "010100100010101110101001011100101111001001001101011111001";
WHEN "01010100" => memoryC0_uid50_sqrtTableGenerator_q <= "010100100101110101110011010101011101101000000010001000010";
WHEN "01010101" => memoryC0_uid50_sqrtTableGenerator_q <= "010100101000111100011111001100100010100011010110001010010";
WHEN "01010110" => memoryC0_uid50_sqrtTableGenerator_q <= "010100101100000010101101001111011111000000101010001000000";
WHEN "01010111" => memoryC0_uid50_sqrtTableGenerator_q <= "010100101111001000011101101011101001111111011011101100110";
WHEN "01011000" => memoryC0_uid50_sqrtTableGenerator_q <= "010100110010001101110000101110010000100011100110000000011";
WHEN "01011001" => memoryC0_uid50_sqrtTableGenerator_q <= "010100110101010010100110100100010101111111110011111100010";
WHEN "01011010" => memoryC0_uid50_sqrtTableGenerator_q <= "010100111000010110111111011010110011111111100100110111010";
WHEN "01011011" => memoryC0_uid50_sqrtTableGenerator_q <= "010100111011011010111011011110011010110001000011111110111";
WHEN "01011100" => memoryC0_uid50_sqrtTableGenerator_q <= "010100111110011110011010111011110001001110110010110011100";
WHEN "01011101" => memoryC0_uid50_sqrtTableGenerator_q <= "010101000001100001011101111111010101001001000110111011010";
WHEN "01011110" => memoryC0_uid50_sqrtTableGenerator_q <= "010101000100100100000100110101011011001111011011100000110";
WHEN "01011111" => memoryC0_uid50_sqrtTableGenerator_q <= "010101000111100110001111101010001111011001010110110001000";
WHEN "01100000" => memoryC0_uid50_sqrtTableGenerator_q <= "010101001010100111111110101001110100101111100011101010010";
WHEN "01100001" => memoryC0_uid50_sqrtTableGenerator_q <= "010101001101101001010010000000000101110100100000010000010";
WHEN "01100010" => memoryC0_uid50_sqrtTableGenerator_q <= "010101010000101010001001111000110100101101000000110110001";
WHEN "01100011" => memoryC0_uid50_sqrtTableGenerator_q <= "010101010011101010100110011111101011001000101000001111101";
WHEN "01100100" => memoryC0_uid50_sqrtTableGenerator_q <= "010101010110101010101000000000001010101001110101011010100";
WHEN "01100101" => memoryC0_uid50_sqrtTableGenerator_q <= "010101011001101010001110100101101100101110000110110001111";
WHEN "01100110" => memoryC0_uid50_sqrtTableGenerator_q <= "010101011100101001011010011011100010110101110011011000110";
WHEN "01100111" => memoryC0_uid50_sqrtTableGenerator_q <= "010101011111101000001011101100110110101011111010001101100";
WHEN "01101000" => memoryC0_uid50_sqrtTableGenerator_q <= "010101100010100110100010100100101010001101100111110011111";
WHEN "01101001" => memoryC0_uid50_sqrtTableGenerator_q <= "010101100101100100011111001101110111110001110010100101110";
WHEN "01101010" => memoryC0_uid50_sqrtTableGenerator_q <= "010101101000100010000001110011010010010000001101110111000";
WHEN "01101011" => memoryC0_uid50_sqrtTableGenerator_q <= "010101101011011111001010011111100101001000110011111011001";
WHEN "01101100" => memoryC0_uid50_sqrtTableGenerator_q <= "010101101110011011111001011101010100101010100111011010101";
WHEN "01101101" => memoryC0_uid50_sqrtTableGenerator_q <= "010101110001011000001110110110111101111010101100000011111";
WHEN "01101110" => memoryC0_uid50_sqrtTableGenerator_q <= "010101110100010100001010110110110110111010110111000101111";
WHEN "01101111" => memoryC0_uid50_sqrtTableGenerator_q <= "010101110111001111101101100111001110110000010111011111010";
WHEN "01110000" => memoryC0_uid50_sqrtTableGenerator_q <= "010101111010001010110111010010001101101010010110001111100";
WHEN "01110001" => memoryC0_uid50_sqrtTableGenerator_q <= "010101111101000101101000000001110101001000001110110100011";
WHEN "01110010" => memoryC0_uid50_sqrtTableGenerator_q <= "010110000000000000000000000000000000000000000000000000100";
WHEN "01110011" => memoryC0_uid50_sqrtTableGenerator_q <= "010110000010111001111111010110100010100100010101010100110";
WHEN "01110100" => memoryC0_uid50_sqrtTableGenerator_q <= "010110000101110011100110001111001010101010101001001000010";
WHEN "01110101" => memoryC0_uid50_sqrtTableGenerator_q <= "010110001000101100110100110011011111110000111111101000110";
WHEN "01110110" => memoryC0_uid50_sqrtTableGenerator_q <= "010110001011100101101011001101000011000011111010111110010";
WHEN "01110111" => memoryC0_uid50_sqrtTableGenerator_q <= "010110001110011110001001100101001111100100001000011011010";
WHEN "01111000" => memoryC0_uid50_sqrtTableGenerator_q <= "010110010001010110010000000101011010001100000111000100000";
WHEN "01111001" => memoryC0_uid50_sqrtTableGenerator_q <= "010110010100001101111110110110110001110101100111110100110";
WHEN "01111010" => memoryC0_uid50_sqrtTableGenerator_q <= "010110010111000101010110000010011111011111000111010010010";
WHEN "01111011" => memoryC0_uid50_sqrtTableGenerator_q <= "010110011001111100010101110001100110010001000001001011101";
WHEN "01111100" => memoryC0_uid50_sqrtTableGenerator_q <= "010110011100110010111110001101000011100010111101110111011";
WHEN "01111101" => memoryC0_uid50_sqrtTableGenerator_q <= "010110011111101001001111011101101111000000111001110011011";
WHEN "01111110" => memoryC0_uid50_sqrtTableGenerator_q <= "010110100010011111001001101100011010110000000111010001000";
WHEN "01111111" => memoryC0_uid50_sqrtTableGenerator_q <= "010110100101010100101101000001110011010100001010010011110";
WHEN "10000000" => memoryC0_uid50_sqrtTableGenerator_q <= "010110101000001001111001100110011111110011101111001101001";
WHEN "10000001" => memoryC0_uid50_sqrtTableGenerator_q <= "010110101101110011001110111111110110001100011001001000100";
WHEN "10000010" => memoryC0_uid50_sqrtTableGenerator_q <= "010110110011011011001010111011101100100001001011101011111";
WHEN "10000011" => memoryC0_uid50_sqrtTableGenerator_q <= "010110111001000001101110011011111110110011101101110101010";
WHEN "10000100" => memoryC0_uid50_sqrtTableGenerator_q <= "010110111110100110111010100001011000101101000011110000100";
WHEN "10000101" => memoryC0_uid50_sqrtTableGenerator_q <= "010111000100001010110000001011010111100101111000110010001";
WHEN "10000110" => memoryC0_uid50_sqrtTableGenerator_q <= "010111001001101101010000011000001100101010000010111110100";
WHEN "10000111" => memoryC0_uid50_sqrtTableGenerator_q <= "010111001111001110011100000100111110110111101100100011110";
WHEN "10001000" => memoryC0_uid50_sqrtTableGenerator_q <= "010111010100101110010100001101101100111010001110100010010";
WHEN "10001001" => memoryC0_uid50_sqrtTableGenerator_q <= "010111011010001100111001101101001111000001000111100000010";
WHEN "10001010" => memoryC0_uid50_sqrtTableGenerator_q <= "010111011111101010001101011101011000110010111001000011110";
WHEN "10001011" => memoryC0_uid50_sqrtTableGenerator_q <= "010111100101000110010000010110111010111100010101101100111";
WHEN "10001100" => memoryC0_uid50_sqrtTableGenerator_q <= "010111101010100001000011010001100100111100001000101101011";
WHEN "10001101" => memoryC0_uid50_sqrtTableGenerator_q <= "010111101111111010100111000100000110101011000001000000110";
WHEN "10001110" => memoryC0_uid50_sqrtTableGenerator_q <= "010111110101010010111100100100010010000000100111101001110";
WHEN "10001111" => memoryC0_uid50_sqrtTableGenerator_q <= "010111111010101010000100100110111100010101001010000100011";
WHEN "10010000" => memoryC0_uid50_sqrtTableGenerator_q <= "011000000000000000000000000000000000000000000000000000100";
WHEN "10010001" => memoryC0_uid50_sqrtTableGenerator_q <= "011000000101010100101111100010011101110011010100100110011";
WHEN "10010010" => memoryC0_uid50_sqrtTableGenerator_q <= "011000001010101000010100000000011110010100111001101100011";
WHEN "10010011" => memoryC0_uid50_sqrtTableGenerator_q <= "011000001111111010101110001011010011010100001100010101101";
WHEN "10010100" => memoryC0_uid50_sqrtTableGenerator_q <= "011000010101001011111110110011011000111101110000111001111";
WHEN "10010101" => memoryC0_uid50_sqrtTableGenerator_q <= "011000011010011100000110101000010111001100001101000111000";
WHEN "10010110" => memoryC0_uid50_sqrtTableGenerator_q <= "011000011111101011000110011001000010110110100101111010101";
WHEN "10010111" => memoryC0_uid50_sqrtTableGenerator_q <= "011000100100111000111110110011011110111100100111000001011";
WHEN "10011000" => memoryC0_uid50_sqrtTableGenerator_q <= "011000101010000101110000100100111101110000011001011010000";
WHEN "10011001" => memoryC0_uid50_sqrtTableGenerator_q <= "011000101111010001011100011010000001111110001110001011000";
WHEN "10011010" => memoryC0_uid50_sqrtTableGenerator_q <= "011000110100011100000010111110011111110010000010101100010";
WHEN "10011011" => memoryC0_uid50_sqrtTableGenerator_q <= "011000111001100101100100111101011101111011000010111000011";
WHEN "10011100" => memoryC0_uid50_sqrtTableGenerator_q <= "011000111110101110000011000001010110101101001110001010011";
WHEN "10011101" => memoryC0_uid50_sqrtTableGenerator_q <= "011001000011110101011101110011111001000001000011100100101";
WHEN "10011110" => memoryC0_uid50_sqrtTableGenerator_q <= "011001001000111011110101111110001001010001011001001101010";
WHEN "10011111" => memoryC0_uid50_sqrtTableGenerator_q <= "011001001110000001001100001000100010010111100011000101100";
WHEN "10100000" => memoryC0_uid50_sqrtTableGenerator_q <= "011001010011000101100000111010110110100101101101010011001";
WHEN "10100001" => memoryC0_uid50_sqrtTableGenerator_q <= "011001011000001000110100111100010000011111101101001011101";
WHEN "10100010" => memoryC0_uid50_sqrtTableGenerator_q <= "011001011101001011001000110011010011110010001101000110101";
WHEN "10100011" => memoryC0_uid50_sqrtTableGenerator_q <= "011001100010001100011101000101111110001000010110010010111";
WHEN "10100100" => memoryC0_uid50_sqrtTableGenerator_q <= "011001100111001100110010011001100111111111111100000000101";
WHEN "10100101" => memoryC0_uid50_sqrtTableGenerator_q <= "011001101100001100001001010011000101011100001011001110011";
WHEN "10100110" => memoryC0_uid50_sqrtTableGenerator_q <= "011001110001001010100010010110100110110111000001110111001";
WHEN "10100111" => memoryC0_uid50_sqrtTableGenerator_q <= "011001110110000111111110000111111001110001010000100010011";
WHEN "10101000" => memoryC0_uid50_sqrtTableGenerator_q <= "011001111011000100011101001010001001100001001001100100011";
WHEN "10101001" => memoryC0_uid50_sqrtTableGenerator_q <= "011010000000000000000000000000000000000000000000000000100";
WHEN "10101010" => memoryC0_uid50_sqrtTableGenerator_q <= "011010000100111010100111001011100110010110011001010001000";
WHEN "10101011" => memoryC0_uid50_sqrtTableGenerator_q <= "011010001001110100010011001110100101100111010011110101010";
WHEN "10101100" => memoryC0_uid50_sqrtTableGenerator_q <= "011010001110101101000100101010000111011010000101100000111";
WHEN "10101101" => memoryC0_uid50_sqrtTableGenerator_q <= "011010010011100100111011111110110110100011010011011110100";
WHEN "10101110" => memoryC0_uid50_sqrtTableGenerator_q <= "011010011000011011111001101100111111101100100110010111010";
WHEN "10101111" => memoryC0_uid50_sqrtTableGenerator_q <= "011010011101010001111110010100010001111011011100100100101";
WHEN "10110000" => memoryC0_uid50_sqrtTableGenerator_q <= "011010100010000111001010010011111111010110111100110100101";
WHEN "10110001" => memoryC0_uid50_sqrtTableGenerator_q <= "011010100110111011011110001010111101101100101010111100110";
WHEN "10110010" => memoryC0_uid50_sqrtTableGenerator_q <= "011010101011101110111010010111100110110100100000111000010";
WHEN "10110011" => memoryC0_uid50_sqrtTableGenerator_q <= "011010110000100001011111010111111001010011101101100110100";
WHEN "10110100" => memoryC0_uid50_sqrtTableGenerator_q <= "011010110101010011001101101001011000111110111011111100001";
WHEN "10110101" => memoryC0_uid50_sqrtTableGenerator_q <= "011010111010000100000101101001001111011011100010110100110";
WHEN "10110110" => memoryC0_uid50_sqrtTableGenerator_q <= "011010111110110100000111110100001100100000000000101110011";
WHEN "10110111" => memoryC0_uid50_sqrtTableGenerator_q <= "011011000011100011010100100110100110110011100011110101100";
WHEN "10111000" => memoryC0_uid50_sqrtTableGenerator_q <= "011011001000010001101100011100011100001101000000100011100";
WHEN "10111001" => memoryC0_uid50_sqrtTableGenerator_q <= "011011001100111111001111110001010010010000110111101111001";
WHEN "10111010" => memoryC0_uid50_sqrtTableGenerator_q <= "011011010001101011111111000000010110101110101110001001111";
WHEN "10111011" => memoryC0_uid50_sqrtTableGenerator_q <= "011011010110010111111010100100011111111101110110100011010";
WHEN "10111100" => memoryC0_uid50_sqrtTableGenerator_q <= "011011011011000011000010111000001101011001001111100110110";
WHEN "10111101" => memoryC0_uid50_sqrtTableGenerator_q <= "011011011111101101011000010101100111111010110111001000010";
WHEN "10111110" => memoryC0_uid50_sqrtTableGenerator_q <= "011011100100010110111011010110100010010110010011101100101";
WHEN "10111111" => memoryC0_uid50_sqrtTableGenerator_q <= "011011101000111111101100010100011001110010110101111100011";
WHEN "11000000" => memoryC0_uid50_sqrtTableGenerator_q <= "011011101101100111101011101000010110000100110010101011000";
WHEN "11000001" => memoryC0_uid50_sqrtTableGenerator_q <= "011011110010001110111001101011001010000110010110111001110";
WHEN "11000010" => memoryC0_uid50_sqrtTableGenerator_q <= "011011110110110101010110110101010100001111110110111100101";
WHEN "11000011" => memoryC0_uid50_sqrtTableGenerator_q <= "011011111011011011000011011110111110101111011001100001110";
WHEN "11000100" => memoryC0_uid50_sqrtTableGenerator_q <= "011100000000000000000000000000000000000000000000000000100";
WHEN "11000101" => memoryC0_uid50_sqrtTableGenerator_q <= "011100000100100100001100101111111011000000001100101001111";
WHEN "11000110" => memoryC0_uid50_sqrtTableGenerator_q <= "011100001001000111101010000101111111101000000111111010110";
WHEN "11000111" => memoryC0_uid50_sqrtTableGenerator_q <= "011100001101101010011000011001001010111111000101101000010";
WHEN "11001000" => memoryC0_uid50_sqrtTableGenerator_q <= "011100010010001100011000000000000111110000101011000000010";
WHEN "11001001" => memoryC0_uid50_sqrtTableGenerator_q <= "011100010110101101101001010001001110100001010110010011011";
WHEN "11001010" => memoryC0_uid50_sqrtTableGenerator_q <= "011100011011001110001100100010100110000010101000111111110";
WHEN "11001011" => memoryC0_uid50_sqrtTableGenerator_q <= "011100011111101110000010001010000011100110110101001100111";
WHEN "11001100" => memoryC0_uid50_sqrtTableGenerator_q <= "011100100100001101001010011101001011010100001111001110000";
WHEN "11001101" => memoryC0_uid50_sqrtTableGenerator_q <= "011100101000101011100101110001010000011000000011110111011";
WHEN "11001110" => memoryC0_uid50_sqrtTableGenerator_q <= "011100101101001001010100011011010101011000110100010111110";
WHEN "11001111" => memoryC0_uid50_sqrtTableGenerator_q <= "011100110001100110010110110000001100101000011000100010010";
WHEN "11010000" => memoryC0_uid50_sqrtTableGenerator_q <= "011100110110000010101101000100011000010101100111110011111";
WHEN "11010001" => memoryC0_uid50_sqrtTableGenerator_q <= "011100111010011110010111101100001010111101101010000000001";
WHEN "11010010" => memoryC0_uid50_sqrtTableGenerator_q <= "011100111110111001010110111011100111011100110000001100110";
WHEN "11010011" => memoryC0_uid50_sqrtTableGenerator_q <= "011101000011010011101011000110100001011110110110100100111";
WHEN "11010100" => memoryC0_uid50_sqrtTableGenerator_q <= "011101000111101101010100100000011101101111101111101001110";
WHEN "11010101" => memoryC0_uid50_sqrtTableGenerator_q <= "011101001100000110010011011100110010001010111001100111110";
WHEN "11010110" => memoryC0_uid50_sqrtTableGenerator_q <= "011101010000011110101000001110100110001010111110010001101";
WHEN "11010111" => memoryC0_uid50_sqrtTableGenerator_q <= "011101010100110110010011001000110010111000111110000110110";
WHEN "11011000" => memoryC0_uid50_sqrtTableGenerator_q <= "011101011001001101010100011110000011011011000111000110010";
WHEN "11011001" => memoryC0_uid50_sqrtTableGenerator_q <= "011101011101100011101100100000110101000011010111110000000";
WHEN "11011010" => memoryC0_uid50_sqrtTableGenerator_q <= "011101100001111001011011100011010111011101101110110010101";
WHEN "11011011" => memoryC0_uid50_sqrtTableGenerator_q <= "011101100110001110100001110111101100111110001000000111100";
WHEN "11011100" => memoryC0_uid50_sqrtTableGenerator_q <= "011101101010100010111111101111101010101110000111011000100";
WHEN "11011101" => memoryC0_uid50_sqrtTableGenerator_q <= "011101101110110110110101011100111000111010010000101111010";
WHEN "11011110" => memoryC0_uid50_sqrtTableGenerator_q <= "011101110011001010000011010000110010111111010000001000101";
WHEN "11011111" => memoryC0_uid50_sqrtTableGenerator_q <= "011101110111011100101001011100100111110110101111101000101";
WHEN "11100000" => memoryC0_uid50_sqrtTableGenerator_q <= "011101111011101110101000010001011010000011111101001001101";
WHEN "11100001" => memoryC0_uid50_sqrtTableGenerator_q <= "011110000000000000000000000000000000000000000000000000100";
WHEN "11100010" => memoryC0_uid50_sqrtTableGenerator_q <= "011110000100010000110000111001000100000101111110101111101";
WHEN "11100011" => memoryC0_uid50_sqrtTableGenerator_q <= "011110001000100000111011001101000100111110110101100000011";
WHEN "11100100" => memoryC0_uid50_sqrtTableGenerator_q <= "011110001100110000011111001100010101101100111101011100011";
WHEN "11100101" => memoryC0_uid50_sqrtTableGenerator_q <= "011110010000111111011101000110111101110111100101011011010";
WHEN "11100110" => memoryC0_uid50_sqrtTableGenerator_q <= "011110010101001101110101001100111001110101111100011110011";
WHEN "11100111" => memoryC0_uid50_sqrtTableGenerator_q <= "011110011001011011100111101101111010111010001110001110011";
WHEN "11101000" => memoryC0_uid50_sqrtTableGenerator_q <= "011110011101101000110100111001100111011100010001110000001";
WHEN "11101001" => memoryC0_uid50_sqrtTableGenerator_q <= "011110100001110101011100111111011011000100001011000110000";
WHEN "11101010" => memoryC0_uid50_sqrtTableGenerator_q <= "011110100110000001100000001110100110110100011111110010001";
WHEN "11101011" => memoryC0_uid50_sqrtTableGenerator_q <= "011110101010001100111110110110010001010100011110101011101";
WHEN "11101100" => memoryC0_uid50_sqrtTableGenerator_q <= "011110101110010111111001000101010110111001111011011011111";
WHEN "11101101" => memoryC0_uid50_sqrtTableGenerator_q <= "011110110010100010001111001010101001110010111101110011101";
WHEN "11101110" => memoryC0_uid50_sqrtTableGenerator_q <= "011110110110101100000001010100110010001111100101001100110";
WHEN "11101111" => memoryC0_uid50_sqrtTableGenerator_q <= "011110111010110101001111110010001110101011000000101000011";
WHEN "11110000" => memoryC0_uid50_sqrtTableGenerator_q <= "011110111110111101111010110001010011110100111011011010010";
WHEN "11110001" => memoryC0_uid50_sqrtTableGenerator_q <= "011111000011000110000010100000001100111010011110110011010";
WHEN "11110010" => memoryC0_uid50_sqrtTableGenerator_q <= "011111000111001101100111001100111011101111001000111001110";
WHEN "11110011" => memoryC0_uid50_sqrtTableGenerator_q <= "011111001011010100101001000101011000110101011001000000010";
WHEN "11110100" => memoryC0_uid50_sqrtTableGenerator_q <= "011111001111011011001000010111010011100111010001101001000";
WHEN "11110101" => memoryC0_uid50_sqrtTableGenerator_q <= "011111010011100001000101010000010010011110110000100110001";
WHEN "11110110" => memoryC0_uid50_sqrtTableGenerator_q <= "011111010111100110011111111101110010111101111101000100001";
WHEN "11110111" => memoryC0_uid50_sqrtTableGenerator_q <= "011111011011101011011000101101001001110111001100001101010";
WHEN "11111000" => memoryC0_uid50_sqrtTableGenerator_q <= "011111011111101111101111101011100011010100111100010010011";
WHEN "11111001" => memoryC0_uid50_sqrtTableGenerator_q <= "011111100011110011100101000110000011000001100110101000111";
WHEN "11111010" => memoryC0_uid50_sqrtTableGenerator_q <= "011111100111110110111001001001100100001111001000100111110";
WHEN "11111011" => memoryC0_uid50_sqrtTableGenerator_q <= "011111101011111001101100000010111001111110100011110010110";
WHEN "11111100" => memoryC0_uid50_sqrtTableGenerator_q <= "011111101111111011111101111110101111000111010101011111001";
WHEN "11111101" => memoryC0_uid50_sqrtTableGenerator_q <= "011111110011111101101111001001100110011110100101111101101";
WHEN "11111110" => memoryC0_uid50_sqrtTableGenerator_q <= "011111110111111110111111101111111010111110001111010110010";
WHEN "11111111" => memoryC0_uid50_sqrtTableGenerator_q <= "011111111011111111101111111101111111101011111100011111111";
WHEN OTHERS =>
memoryC0_uid50_sqrtTableGenerator_q <= "010000000000000000000000000000000000000000000000000000100";
END CASE;
-- End reserved scope level
END PROCESS;
--rndBit_uid82_sqrtPolynomialEvaluator(CONSTANT,81)
rndBit_uid82_sqrtPolynomialEvaluator_q <= "001";
--cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator(BITJOIN,82)@26
cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_q <= memoryC0_uid50_sqrtTableGenerator_q & rndBit_uid82_sqrtPolynomialEvaluator_q;
--reg_cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_0(REG,147)@26
reg_cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_0_q <= "000000000000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_0_q <= cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_q;
END IF;
END PROCESS;
--ts5_uid84_sqrtPolynomialEvaluator(ADD,83)@27
ts5_uid84_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((60 downto 60 => reg_cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_0_q(59)) & reg_cIncludingRoundingBit_uid83_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_0_q);
ts5_uid84_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((60 downto 53 => reg_R_uid127_pT5_uid81_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_1_q(52)) & reg_R_uid127_pT5_uid81_sqrtPolynomialEvaluator_0_to_ts5_uid84_sqrtPolynomialEvaluator_1_q);
ts5_uid84_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(ts5_uid84_sqrtPolynomialEvaluator_a) + SIGNED(ts5_uid84_sqrtPolynomialEvaluator_b));
ts5_uid84_sqrtPolynomialEvaluator_q <= ts5_uid84_sqrtPolynomialEvaluator_o(60 downto 0);
--s5_uid85_sqrtPolynomialEvaluator(BITSELECT,84)@27
s5_uid85_sqrtPolynomialEvaluator_in <= ts5_uid84_sqrtPolynomialEvaluator_q;
s5_uid85_sqrtPolynomialEvaluator_b <= s5_uid85_sqrtPolynomialEvaluator_in(60 downto 1);
--fracR_uid35_fpSqrtTest(BITSELECT,34)@27
fracR_uid35_fpSqrtTest_in <= s5_uid85_sqrtPolynomialEvaluator_b(56 downto 0);
fracR_uid35_fpSqrtTest_b <= fracR_uid35_fpSqrtTest_in(56 downto 5);
--reg_fracR_uid35_fpSqrtTest_0_to_fracRPostExc_uid47_fpSqrtTest_3(REG,148)@27
reg_fracR_uid35_fpSqrtTest_0_to_fracRPostExc_uid47_fpSqrtTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracR_uid35_fpSqrtTest_0_to_fracRPostExc_uid47_fpSqrtTest_3_q <= "0000000000000000000000000000000000000000000000000000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_fracR_uid35_fpSqrtTest_0_to_fracRPostExc_uid47_fpSqrtTest_3_q <= fracR_uid35_fpSqrtTest_b;
END IF;
END PROCESS;
--fracInf_uid45_fpSqrtTest(CONSTANT,44)
fracInf_uid45_fpSqrtTest_q <= "0000000000000000000000000000000000000000000000000000";
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor(LOGICAL,344)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_a <= ld_xIn_v_to_xOut_v_notEnable_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_b <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_sticky_ena_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_q <= not (ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_a or ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_b);
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_mem_top(CONSTANT,340)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_mem_top_q <= "011000";
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp(LOGICAL,341)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_a <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_mem_top_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_q);
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_q <= "1" when ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_a = ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_b else "0";
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmpReg(REG,342)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmpReg_q <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmp_q;
END IF;
END PROCESS;
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_sticky_ena(REG,345)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_nor_q = "1") THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_sticky_ena_q <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd(LOGICAL,346)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_a <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_sticky_ena_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_b <= VCC_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_q <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_a and ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_b;
--fracX_uid7_fpSqrtTest(BITSELECT,6)@0
fracX_uid7_fpSqrtTest_in <= xIn_0(51 downto 0);
fracX_uid7_fpSqrtTest_b <= fracX_uid7_fpSqrtTest_in(51 downto 0);
--fracIsZero_uid12_fpSqrtTest(LOGICAL,11)@0
fracIsZero_uid12_fpSqrtTest_a <= fracX_uid7_fpSqrtTest_b;
fracIsZero_uid12_fpSqrtTest_b <= STD_LOGIC_VECTOR("000000000000000000000000000000000000000000000000000" & GND_q);
fracIsZero_uid12_fpSqrtTest_q <= "1" when fracIsZero_uid12_fpSqrtTest_a = fracIsZero_uid12_fpSqrtTest_b else "0";
--InvFracIsZero_uid16_fpSqrtTest(LOGICAL,15)@0
InvFracIsZero_uid16_fpSqrtTest_a <= fracIsZero_uid12_fpSqrtTest_q;
InvFracIsZero_uid16_fpSqrtTest_q <= not InvFracIsZero_uid16_fpSqrtTest_a;
--excNaN_uid17_fpSqrtTest(LOGICAL,16)@0
excNaN_uid17_fpSqrtTest_a <= cmpEQ_w11_uid11_fpSqrtTest_q;
excNaN_uid17_fpSqrtTest_b <= InvFracIsZero_uid16_fpSqrtTest_q;
excNaN_uid17_fpSqrtTest_q <= excNaN_uid17_fpSqrtTest_a and excNaN_uid17_fpSqrtTest_b;
--excInf_uid14_fpSqrtTest(LOGICAL,13)@0
excInf_uid14_fpSqrtTest_a <= cmpEQ_w11_uid11_fpSqrtTest_q;
excInf_uid14_fpSqrtTest_b <= fracIsZero_uid12_fpSqrtTest_q;
excInf_uid14_fpSqrtTest_q <= excInf_uid14_fpSqrtTest_a and excInf_uid14_fpSqrtTest_b;
--join_uid41_fpSqrtTest(BITJOIN,40)@0
join_uid41_fpSqrtTest_q <= excNaN_uid17_fpSqrtTest_q & excInf_uid14_fpSqrtTest_q & expZ_uid9_fpSqrtTest_q;
--fracSelIn_uid42_fpSqrtTest(BITJOIN,41)@0
fracSelIn_uid42_fpSqrtTest_q <= signX_uid8_fpSqrtTest_b & join_uid41_fpSqrtTest_q;
--reg_fracSelIn_uid42_fpSqrtTest_0_to_fracSel_uid43_fpSqrtTest_0(REG,129)@0
reg_fracSelIn_uid42_fpSqrtTest_0_to_fracSel_uid43_fpSqrtTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracSelIn_uid42_fpSqrtTest_0_to_fracSel_uid43_fpSqrtTest_0_q <= "0000";
ELSIF(clk'EVENT AND clk = '1') THEN
reg_fracSelIn_uid42_fpSqrtTest_0_to_fracSel_uid43_fpSqrtTest_0_q <= fracSelIn_uid42_fpSqrtTest_q;
END IF;
END PROCESS;
--fracSel_uid43_fpSqrtTest(LOOKUP,42)@1
fracSel_uid43_fpSqrtTest: PROCESS (reg_fracSelIn_uid42_fpSqrtTest_0_to_fracSel_uid43_fpSqrtTest_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_fracSelIn_uid42_fpSqrtTest_0_to_fracSel_uid43_fpSqrtTest_0_q) IS
WHEN "0000" => fracSel_uid43_fpSqrtTest_q <= "01";
WHEN "0001" => fracSel_uid43_fpSqrtTest_q <= "00";
WHEN "0010" => fracSel_uid43_fpSqrtTest_q <= "10";
WHEN "0011" => fracSel_uid43_fpSqrtTest_q <= "00";
WHEN "0100" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN "0101" => fracSel_uid43_fpSqrtTest_q <= "00";
WHEN "0110" => fracSel_uid43_fpSqrtTest_q <= "10";
WHEN "0111" => fracSel_uid43_fpSqrtTest_q <= "00";
WHEN "1000" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN "1001" => fracSel_uid43_fpSqrtTest_q <= "00";
WHEN "1010" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN "1011" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN "1100" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN "1101" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN "1110" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN "1111" => fracSel_uid43_fpSqrtTest_q <= "11";
WHEN OTHERS =>
fracSel_uid43_fpSqrtTest_q <= "01";
END CASE;
-- End reserved scope level
END PROCESS;
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt(COUNTER,336)
-- every=1, low=0, high=24, step=1, init=1
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i = 23 THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_eq = '1') THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i - 24;
ELSE
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_i,5));
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg(REG,337)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg_q <= "00000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg_q <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux(MUX,338)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_s <= VCC_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux: PROCESS (ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_s, ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg_q, ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_q)
BEGIN
CASE ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_s IS
WHEN "0" => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_q <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg_q;
WHEN "1" => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_q <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem(DUALMEM,335)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_reset0 <= areset;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_ia <= fracSel_uid43_fpSqrtTest_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_aa <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdreg_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_ab <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_rdmux_q;
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 5,
numwords_a => 25,
width_b => 2,
widthad_b => 5,
numwords_b => 25,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_iq,
address_a => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_aa,
data_a => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_ia
);
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_q <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_iq(1 downto 0);
--ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_outputreg(DELAY,334)
ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_outputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_replace_mem_q, xout => ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_outputreg_q, clk => clk, aclr => areset );
--fracRPostExc_uid47_fpSqrtTest(MUX,46)@28
fracRPostExc_uid47_fpSqrtTest_s <= ld_fracSel_uid43_fpSqrtTest_q_to_fracRPostExc_uid47_fpSqrtTest_b_outputreg_q;
fracRPostExc_uid47_fpSqrtTest: PROCESS (fracRPostExc_uid47_fpSqrtTest_s, reg_fracR_uid35_fpSqrtTest_0_to_fracRPostExc_uid47_fpSqrtTest_3_q)
BEGIN
CASE fracRPostExc_uid47_fpSqrtTest_s IS
WHEN "00" => fracRPostExc_uid47_fpSqrtTest_q <= fracInf_uid45_fpSqrtTest_q;
WHEN "01" => fracRPostExc_uid47_fpSqrtTest_q <= reg_fracR_uid35_fpSqrtTest_0_to_fracRPostExc_uid47_fpSqrtTest_3_q;
WHEN "10" => fracRPostExc_uid47_fpSqrtTest_q <= fracInf_uid45_fpSqrtTest_q;
WHEN "11" => fracRPostExc_uid47_fpSqrtTest_q <= fracNaN_uid44_fpSqrtTest_q;
WHEN OTHERS => fracRPostExc_uid47_fpSqrtTest_q <= (others => '0');
END CASE;
END PROCESS;
--RSqrt_uid48_fpSqrtTest(BITJOIN,47)@28
RSqrt_uid48_fpSqrtTest_q <= ld_excMZero_uid13_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_c_outputreg_q & ld_expRPostExc_uid40_fpSqrtTest_q_to_RSqrt_uid48_fpSqrtTest_b_replace_mem_q & fracRPostExc_uid47_fpSqrtTest_q;
--ld_xIn_c_to_xOut_c_replace_mem(DUALMEM,322)
ld_xIn_c_to_xOut_c_replace_mem_reset0 <= areset;
ld_xIn_c_to_xOut_c_replace_mem_ia <= xIn_c;
ld_xIn_c_to_xOut_c_replace_mem_aa <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
ld_xIn_c_to_xOut_c_replace_mem_ab <= ld_xIn_v_to_xOut_v_replace_rdmux_q;
ld_xIn_c_to_xOut_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 5,
numwords_a => 26,
width_b => 8,
widthad_b => 5,
numwords_b => 26,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_v_to_xOut_v_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_xIn_c_to_xOut_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_xIn_c_to_xOut_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xIn_c_to_xOut_c_replace_mem_iq,
address_a => ld_xIn_c_to_xOut_c_replace_mem_aa,
data_a => ld_xIn_c_to_xOut_c_replace_mem_ia
);
ld_xIn_c_to_xOut_c_replace_mem_q <= ld_xIn_c_to_xOut_c_replace_mem_iq(7 downto 0);
--ld_xIn_c_to_xOut_c_outputreg(DELAY,321)
ld_xIn_c_to_xOut_c_outputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => ld_xIn_c_to_xOut_c_replace_mem_q, xout => ld_xIn_c_to_xOut_c_outputreg_q, clk => clk, aclr => areset );
--ld_xIn_v_to_xOut_v_replace_mem(DUALMEM,309)
ld_xIn_v_to_xOut_v_replace_mem_reset0 <= areset;
ld_xIn_v_to_xOut_v_replace_mem_ia <= xIn_v;
ld_xIn_v_to_xOut_v_replace_mem_aa <= ld_xIn_v_to_xOut_v_replace_rdreg_q;
ld_xIn_v_to_xOut_v_replace_mem_ab <= ld_xIn_v_to_xOut_v_replace_rdmux_q;
ld_xIn_v_to_xOut_v_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 26,
width_b => 1,
widthad_b => 5,
numwords_b => 26,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xIn_v_to_xOut_v_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_xIn_v_to_xOut_v_replace_mem_reset0,
clock1 => clk,
address_b => ld_xIn_v_to_xOut_v_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xIn_v_to_xOut_v_replace_mem_iq,
address_a => ld_xIn_v_to_xOut_v_replace_mem_aa,
data_a => ld_xIn_v_to_xOut_v_replace_mem_ia
);
ld_xIn_v_to_xOut_v_replace_mem_q <= ld_xIn_v_to_xOut_v_replace_mem_iq(0 downto 0);
--ld_xIn_v_to_xOut_v_outputreg(DELAY,308)
ld_xIn_v_to_xOut_v_outputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => ld_xIn_v_to_xOut_v_replace_mem_q, xout => ld_xIn_v_to_xOut_v_outputreg_q, clk => clk, aclr => areset );
--xOut(PORTOUT,4)@28
xOut_v <= ld_xIn_v_to_xOut_v_outputreg_q;
xOut_c <= ld_xIn_c_to_xOut_c_outputreg_q;
xOut_0 <= RSqrt_uid48_fpSqrtTest_q;
end normal;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_cordic_start1.vhd | 10 | 3284 | -- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/CosDPStratixVf400_safe_path.vhd | 10 | 427 | -- safe_path for CosDPStratixVf400 given rtl dir is . (quartus)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
PACKAGE CosDPStratixVf400_safe_path is
FUNCTION safe_path( path: string ) RETURN string;
END CosDPStratixVf400_safe_path;
PACKAGE body CosDPStratixVf400_safe_path IS
FUNCTION safe_path( path: string )
RETURN string IS
BEGIN
return string'("./") & path;
END FUNCTION safe_path;
END CosDPStratixVf400_safe_path;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_exp_double_s5.vhd | 10 | 750433 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_exp_double_s5
-- VHDL created on Thu Apr 11 10:14:45 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_exp_double_s5 is
port (
a : in std_logic_vector(63 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(63 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_exp_double_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstBias_uid8_fpExpETest_q : std_logic_vector (10 downto 0);
signal cstZeroWE_uid11_fpExpETest_q : std_logic_vector (10 downto 0);
signal cstZeroWEP1_uid12_fpExpETest_q : std_logic_vector (11 downto 0);
signal cstBiasPWE_uid13_fpExpETest_q : std_logic_vector (10 downto 0);
signal cstBiasPWE_uid14_fpExpETest_q : std_logic_vector (6 downto 0);
signal cstAllOWE_uid15_fpExpETest_q : std_logic_vector (10 downto 0);
signal cstAllZWF_uid16_fpExpETest_q : std_logic_vector (51 downto 0);
signal exc_R_uid30_fpExpETest_a : std_logic_vector(0 downto 0);
signal exc_R_uid30_fpExpETest_b : std_logic_vector(0 downto 0);
signal exc_R_uid30_fpExpETest_c : std_logic_vector(0 downto 0);
signal exc_R_uid30_fpExpETest_q_i : std_logic_vector(0 downto 0);
signal exc_R_uid30_fpExpETest_q : std_logic_vector(0 downto 0);
signal zY_uid60_fpExpETest_q : std_logic_vector (54 downto 0);
signal expRPostBiasPreExc0_uid69_fpExpETest_a : std_logic_vector(15 downto 0);
signal expRPostBiasPreExc0_uid69_fpExpETest_b : std_logic_vector(15 downto 0);
signal expRPostBiasPreExc0_uid69_fpExpETest_o : std_logic_vector (15 downto 0);
signal expRPostBiasPreExc0_uid69_fpExpETest_q : std_logic_vector (14 downto 0);
signal oneFracRPostExc2_uid90_fpExpETest_q : std_logic_vector (51 downto 0);
signal p8_uid109_constMult_q : std_logic_vector(61 downto 0);
signal p6_uid111_constMult_q : std_logic_vector(49 downto 0);
signal p4_uid113_constMult_q : std_logic_vector(37 downto 0);
signal p2_uid115_constMult_q : std_logic_vector(25 downto 0);
signal lev1_a0_uid118_constMult_a : std_logic_vector(63 downto 0);
signal lev1_a0_uid118_constMult_b : std_logic_vector(63 downto 0);
signal lev1_a0_uid118_constMult_o : std_logic_vector (63 downto 0);
signal lev1_a0_uid118_constMult_q : std_logic_vector (62 downto 0);
signal lev1_a2_uid120_constMult_a : std_logic_vector(38 downto 0);
signal lev1_a2_uid120_constMult_b : std_logic_vector(38 downto 0);
signal lev1_a2_uid120_constMult_o : std_logic_vector (38 downto 0);
signal lev1_a2_uid120_constMult_q : std_logic_vector (38 downto 0);
signal lev2_a0_uid122_constMult_a : std_logic_vector(64 downto 0);
signal lev2_a0_uid122_constMult_b : std_logic_vector(64 downto 0);
signal lev2_a0_uid122_constMult_o : std_logic_vector (64 downto 0);
signal lev2_a0_uid122_constMult_q : std_logic_vector (63 downto 0);
signal lev3_a0_uid124_constMult_a : std_logic_vector(65 downto 0);
signal lev3_a0_uid124_constMult_b : std_logic_vector(65 downto 0);
signal lev3_a0_uid124_constMult_o : std_logic_vector (65 downto 0);
signal lev3_a0_uid124_constMult_q : std_logic_vector (64 downto 0);
signal z_uid129_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (31 downto 0);
signal z_uid133_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (63 downto 0);
signal rightShiftStage0Idx3_uid137_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(68 downto 0);
signal rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(68 downto 0);
signal rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(68 downto 0);
signal rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(68 downto 0);
signal z_uid141_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (7 downto 0);
signal rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(7 downto 0);
signal rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(7 downto 0);
signal rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(7 downto 0);
signal rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(7 downto 0);
signal z_uid145_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (15 downto 0);
signal rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(15 downto 0);
signal rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(15 downto 0);
signal rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(15 downto 0);
signal rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(15 downto 0);
signal z_uid149_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (23 downto 0);
signal rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(23 downto 0);
signal rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(23 downto 0);
signal rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(23 downto 0);
signal rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(23 downto 0);
signal z_uid155_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (1 downto 0);
signal rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(1 downto 0);
signal rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(1 downto 0);
signal rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(1 downto 0);
signal rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(1 downto 0);
signal z_uid159_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (3 downto 0);
signal rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(3 downto 0);
signal rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(3 downto 0);
signal rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(3 downto 0);
signal rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(3 downto 0);
signal z_uid163_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (5 downto 0);
signal rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(5 downto 0);
signal rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(5 downto 0);
signal rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(5 downto 0);
signal rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(5 downto 0);
signal rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(0 downto 0);
signal rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(0 downto 0);
signal rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_q_i : std_logic_vector(0 downto 0);
signal rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(0 downto 0);
signal p2_uid179_constMult_q : std_logic_vector(76 downto 0);
signal lev1_a0_uid182_constMult_a : std_logic_vector(78 downto 0);
signal lev1_a0_uid182_constMult_b : std_logic_vector(78 downto 0);
signal lev1_a0_uid182_constMult_o : std_logic_vector (78 downto 0);
signal lev1_a0_uid182_constMult_q : std_logic_vector (77 downto 0);
signal rightShiftStage0Idx3_uid195_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(65 downto 0);
signal rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(65 downto 0);
signal rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(65 downto 0);
signal rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(65 downto 0);
signal rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(7 downto 0);
signal rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(7 downto 0);
signal rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(7 downto 0);
signal rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(7 downto 0);
signal rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(15 downto 0);
signal rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(15 downto 0);
signal rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(15 downto 0);
signal rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(15 downto 0);
signal rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(23 downto 0);
signal rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(23 downto 0);
signal rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(23 downto 0);
signal rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(23 downto 0);
signal rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(1 downto 0);
signal rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(1 downto 0);
signal rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(1 downto 0);
signal rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(1 downto 0);
signal rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(3 downto 0);
signal rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(3 downto 0);
signal rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(3 downto 0);
signal rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(3 downto 0);
signal rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(5 downto 0);
signal rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(5 downto 0);
signal rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(5 downto 0);
signal rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(5 downto 0);
signal rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(0 downto 0);
signal rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(0 downto 0);
signal rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_i : std_logic_vector(0 downto 0);
signal rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(0 downto 0);
signal memoryC0_uid234_exp10TabGen_q : std_logic_vector(39 downto 0);
signal memoryC0_uid235_exp10TabGen_q : std_logic_vector(17 downto 0);
signal memoryC1_uid237_exp10TabGen_q : std_logic_vector(39 downto 0);
signal memoryC1_uid238_exp10TabGen_q : std_logic_vector(10 downto 0);
signal memoryC2_uid240_exp10TabGen_q : std_logic_vector(39 downto 0);
signal memoryC2_uid241_exp10TabGen_q : std_logic_vector(2 downto 0);
signal memoryC3_uid243_exp10TabGen_q : std_logic_vector(34 downto 0);
signal memoryC4_uid245_exp10TabGen_q : std_logic_vector(24 downto 0);
signal memoryC5_uid247_exp10TabGen_q : std_logic_vector(15 downto 0);
signal rndBit_uid263_exp10PolyEval_q : std_logic_vector (1 downto 0);
signal rndBit_uid275_exp10PolyEval_q : std_logic_vector (2 downto 0);
signal prodXY_uid280_pT1_uid250_exp10PolyEval_a : std_logic_vector (15 downto 0);
signal prodXY_uid280_pT1_uid250_exp10PolyEval_b : std_logic_vector (15 downto 0);
signal prodXY_uid280_pT1_uid250_exp10PolyEval_s1 : std_logic_vector (31 downto 0);
signal prodXY_uid280_pT1_uid250_exp10PolyEval_pr : SIGNED (32 downto 0);
signal prodXY_uid280_pT1_uid250_exp10PolyEval_q : std_logic_vector (31 downto 0);
signal prodXY_uid283_pT2_uid256_exp10PolyEval_a : std_logic_vector (24 downto 0);
signal prodXY_uid283_pT2_uid256_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal prodXY_uid283_pT2_uid256_exp10PolyEval_s1 : std_logic_vector (51 downto 0);
signal prodXY_uid283_pT2_uid256_exp10PolyEval_pr : SIGNED (52 downto 0);
signal prodXY_uid283_pT2_uid256_exp10PolyEval_q : std_logic_vector (51 downto 0);
signal topProd_uid288_pT3_uid262_exp10PolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid288_pT3_uid262_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid288_pT3_uid262_exp10PolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid288_pT3_uid262_exp10PolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid288_pT3_uid262_exp10PolyEval_q : std_logic_vector (53 downto 0);
signal topProd_uid305_pT4_uid268_exp10PolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid305_pT4_uid268_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid305_pT4_uid268_exp10PolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid305_pT4_uid268_exp10PolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid305_pT4_uid268_exp10PolyEval_q : std_logic_vector (53 downto 0);
signal topProd_uid320_pT5_uid274_exp10PolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid320_pT5_uid274_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid320_pT5_uid274_exp10PolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid320_pT5_uid274_exp10PolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid320_pT5_uid274_exp10PolyEval_q : std_logic_vector (53 downto 0);
signal sm0_uid332_pT5_uid274_exp10PolyEval_a : std_logic_vector (2 downto 0);
signal sm0_uid332_pT5_uid274_exp10PolyEval_b : std_logic_vector (2 downto 0);
signal sm0_uid332_pT5_uid274_exp10PolyEval_s1 : std_logic_vector (5 downto 0);
signal sm0_uid332_pT5_uid274_exp10PolyEval_pr : UNSIGNED (5 downto 0);
attribute multstyle : string;
attribute multstyle of sm0_uid332_pT5_uid274_exp10PolyEval_pr: signal is "logic";
signal sm0_uid332_pT5_uid274_exp10PolyEval_q : std_logic_vector (5 downto 0);
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a_type is array(0 to 1) of UNSIGNED(17 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a_type;
attribute preserve : boolean;
attribute preserve of multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a : signal is true;
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c_type is array(0 to 1) of SIGNED(17 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c_type;
attribute preserve of multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c : signal is true;
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_l_type is array(0 to 1) of SIGNED(18 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_l : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_l_type;
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_p_type is array(0 to 1) of SIGNED(36 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_p : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_p_type;
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_w_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_w : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_w_type;
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_x_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_x : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_x_type;
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_y_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_y : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_y_type;
type multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_s_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_s : multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_s_type;
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_s0 : std_logic_vector(36 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_q : std_logic_vector (36 downto 0);
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a_type is array(0 to 1) of UNSIGNED(26 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a_type;
attribute preserve of multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a : signal is true;
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c_type is array(0 to 1) of SIGNED(26 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c_type;
attribute preserve of multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c : signal is true;
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_l_type is array(0 to 1) of SIGNED(27 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_l : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_l_type;
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_p_type is array(0 to 1) of SIGNED(54 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_p : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_p_type;
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_w_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_w : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_w_type;
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_x_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_x : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_x_type;
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_y_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_y : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_y_type;
type multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s : multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s_type;
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s0 : std_logic_vector(54 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_q : std_logic_vector (54 downto 0);
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a_type is array(0 to 1) of UNSIGNED(26 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a_type;
attribute preserve of multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a : signal is true;
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c_type is array(0 to 1) of SIGNED(26 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c_type;
attribute preserve of multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c : signal is true;
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_l_type is array(0 to 1) of SIGNED(27 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_l : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_l_type;
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_p_type is array(0 to 1) of SIGNED(54 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_p : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_p_type;
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_w_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_w : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_w_type;
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_x_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_x : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_x_type;
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_y_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_y : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_y_type;
type multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s : multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s_type;
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s0 : std_logic_vector(54 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_q : std_logic_vector (54 downto 0);
signal reg_shiftValuePreSat_uid40_fpExpETest_0_to_shiftUdf_uid42_fpExpETest_0_q : std_logic_vector (11 downto 0);
signal reg_xv8_uid108_constMult_0_to_p8_uid109_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_1_q : std_logic_vector (1 downto 0);
signal reg_oFracXZwE_uid39_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_2_q : std_logic_vector (68 downto 0);
signal reg_rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_3_q : std_logic_vector (68 downto 0);
signal reg_rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_4_q : std_logic_vector (68 downto 0);
signal reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_2_q : std_logic_vector (68 downto 0);
signal reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_2_q : std_logic_vector (68 downto 0);
signal reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_q : std_logic_vector (0 downto 0);
signal reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q : std_logic_vector (13 downto 0);
signal reg_fxpInPreAlign_uid51_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_2_q : std_logic_vector (65 downto 0);
signal reg_rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_3_q : std_logic_vector (65 downto 0);
signal reg_rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_4_q : std_logic_vector (65 downto 0);
signal reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_2_q : std_logic_vector (65 downto 0);
signal reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_2_q : std_logic_vector (65 downto 0);
signal reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q : std_logic_vector (0 downto 0);
signal reg_xv2_uid178_constMult_0_to_p2_uid179_constMult_0_q : std_logic_vector (1 downto 0);
signal reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_0_to_yExt_uid57_fpExpETest_0_q : std_logic_vector (73 downto 0);
signal reg_sR_uid184_constMult_0_to_yExt_uid57_fpExpETest_1_q : std_logic_vector (74 downto 0);
signal reg_YExt75_uid59_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_1_q : std_logic_vector (0 downto 0);
signal reg_yRed_uid61_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_2_q : std_logic_vector (54 downto 0);
signal reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_q : std_logic_vector (6 downto 0);
signal reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q : std_logic_vector (6 downto 0);
signal reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_q : std_logic_vector (6 downto 0);
signal reg_yT1_uid249_exp10PolyEval_0_to_prodXY_uid280_pT1_uid250_exp10PolyEval_0_q : std_logic_vector (15 downto 0);
signal reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_q : std_logic_vector (24 downto 0);
signal reg_s1_uid251_uid254_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_xTop18Bits_uid289_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_4_q : std_logic_vector (17 downto 0);
signal reg_pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_6_q : std_logic_vector (17 downto 0);
signal reg_pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_7_q : std_logic_vector (16 downto 0);
signal reg_yTop18Bits_uid292_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_9_q : std_logic_vector (17 downto 0);
signal reg_xTop27Bits_uid286_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_0_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid287_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid264_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_0_q : std_logic_vector (44 downto 0);
signal reg_R_uid302_pT3_uid262_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_1_q : std_logic_vector (36 downto 0);
signal reg_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_4_q : std_logic_vector (26 downto 0);
signal reg_pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_6_q : std_logic_vector (26 downto 0);
signal reg_pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_7_q : std_logic_vector (25 downto 0);
signal reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_9_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid270_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_0_q : std_logic_vector (52 downto 0);
signal reg_R_uid317_pT4_uid268_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_1_q : std_logic_vector (45 downto 0);
signal reg_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_4_q : std_logic_vector (26 downto 0);
signal reg_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_6_q : std_logic_vector (26 downto 0);
signal reg_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_7_q : std_logic_vector (25 downto 0);
signal reg_yTop27Bits_uid319_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_9_q : std_logic_vector (26 downto 0);
signal reg_sSM0H_uid330_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_0_q : std_logic_vector (2 downto 0);
signal reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q : std_logic_vector (2 downto 0);
signal reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid276_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_0_q : std_logic_vector (60 downto 0);
signal reg_R_uid338_pT5_uid274_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_1_q : std_logic_vector (54 downto 0);
signal reg_peORExpInc_uid68_fpExpETest_0_to_expRPostBiasPreExc_uid70_fpExpETest_1_q : std_logic_vector (0 downto 0);
signal reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1_q : std_logic_vector (15 downto 0);
signal reg_expOvfInitial_uid41_fpExpETest_0_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_2_q : std_logic_vector (0 downto 0);
signal reg_concExc_uid88_fpExpETest_0_to_excREnc_uid89_fpExpETest_0_q : std_logic_vector (2 downto 0);
signal ld_shiftUdf_uid42_fpExpETest_n_to_shiftVal_uid44_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_signX_uid7_fpExpETest_b_to_onesCmpFxpIn_uid49_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_yRedPostMux_uid62_fpExpETest_q_to_yPPolyEval_uid65_fpExpETest_a_q : std_logic_vector (54 downto 0);
signal ld_signX_uid7_fpExpETest_b_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_c_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid24_fpExpETest_q_to_posInf_uid86_fpExpETest_a_q : std_logic_vector (0 downto 0);
signal ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_q : std_logic_vector (51 downto 0);
signal ld_expR_uid75_fpExpETest_b_to_expRPostExc_uid97_fpExpETest_d_q : std_logic_vector (10 downto 0);
signal ld_reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q_to_p7_uid110_constMult_a_q : std_logic_vector (5 downto 0);
signal ld_reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q_to_p6_uid111_constMult_a_q : std_logic_vector (5 downto 0);
signal ld_reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q_to_p4_uid113_constMult_a_q : std_logic_vector (5 downto 0);
signal ld_reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q_to_p3_uid114_constMult_a_q : std_logic_vector (5 downto 0);
signal ld_reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q_to_p0_uid117_constMult_a_q : std_logic_vector (5 downto 0);
signal ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_a_q : std_logic_vector (60 downto 0);
signal ld_RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_a_q : std_logic_vector (52 downto 0);
signal ld_RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_a_q : std_logic_vector (44 downto 0);
signal ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_a_q : std_logic_vector (66 downto 0);
signal ld_RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_a_q : std_logic_vector (64 downto 0);
signal ld_RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_a_q : std_logic_vector (62 downto 0);
signal ld_reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_b_q : std_logic_vector (1 downto 0);
signal ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_a_q : std_logic_vector (57 downto 0);
signal ld_RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_a_q : std_logic_vector (49 downto 0);
signal ld_RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_a_q : std_logic_vector (41 downto 0);
signal ld_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_b_q : std_logic_vector (1 downto 0);
signal ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_a_q : std_logic_vector (63 downto 0);
signal ld_RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_a_q : std_logic_vector (61 downto 0);
signal ld_RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_a_q : std_logic_vector (59 downto 0);
signal ld_rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_to_rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC4_uid245_exp10TabGen_0_q_to_memoryC4_uid245_exp10TabGen_a_q : std_logic_vector (6 downto 0);
signal ld_yT4_uid267_exp10PolyEval_b_to_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_a_q : std_logic_vector (42 downto 0);
signal ld_yBottomBits_uid306_pT4_uid268_exp10PolyEval_b_to_spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_a_q : std_logic_vector (17 downto 0);
signal ld_yBottomBits_uid321_pT5_uid274_exp10PolyEval_b_to_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_b_q : std_logic_vector (25 downto 0);
signal ld_TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q_to_sumAHighB_uid336_pT5_uid274_exp10PolyEval_a_q : std_logic_vector (59 downto 0);
signal ld_xv5_uid105_constMult_b_to_reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_a_q : std_logic_vector (5 downto 0);
signal ld_xv2_uid102_constMult_b_to_reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_a_q : std_logic_vector (5 downto 0);
signal ld_xv1_uid101_constMult_b_to_reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_a_q : std_logic_vector (5 downto 0);
signal ld_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_a_q : std_logic_vector (1 downto 0);
signal ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_a_q : std_logic_vector (1 downto 0);
signal ld_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_a_q : std_logic_vector (0 downto 0);
signal ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_a_q : std_logic_vector (1 downto 0);
signal ld_xv1_uid177_constMult_b_to_reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_a_q : std_logic_vector (5 downto 0);
signal ld_xv0_uid176_constMult_b_to_reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_a_q : std_logic_vector (5 downto 0);
signal ld_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b_to_reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_a_q : std_logic_vector (26 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_inputreg_q : std_logic_vector (6 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_reset0 : std_logic;
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_aa : std_logic_vector (1 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_ab : std_logic_vector (1 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_q : std_logic_vector(1 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i : unsigned(1 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_eq : std_logic;
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg_q : std_logic_vector (1 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_mem_top_q : std_logic_vector (2 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena_q : signal is true;
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_inputreg_q : std_logic_vector (52 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_reset0 : std_logic;
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_ia : std_logic_vector (52 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_iq : std_logic_vector (52 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_q : std_logic_vector (52 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_eq : std_logic;
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena_q : signal is true;
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_inputreg_q : std_logic_vector (13 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_reset0 : std_logic;
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_ia : std_logic_vector (13 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_iq : std_logic_vector (13 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_q : std_logic_vector (13 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_eq : std_logic;
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena_q : signal is true;
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_reset0 : std_logic;
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_eq : std_logic;
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_mem_top_q : std_logic_vector (6 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena_q : signal is true;
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_reset0 : std_logic;
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_eq : std_logic;
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_mem_top_q : std_logic_vector (6 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena_q : signal is true;
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_reset0 : std_logic;
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena_q : signal is true;
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_reset0 : std_logic;
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena_q : signal is true;
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_reset0 : std_logic;
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena_q : signal is true;
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_reset0 : std_logic;
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena_q : signal is true;
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_reset0 : std_logic;
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena_q : signal is true;
signal ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_inputreg_q : std_logic_vector (51 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_inputreg_q : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_reset0 : std_logic;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_eq : std_logic;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena_q : signal is true;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_reset0 : std_logic;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_eq : std_logic;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena_q : signal is true;
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_inputreg_q : std_logic_vector (2 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_reset0 : std_logic;
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_ia : std_logic_vector (2 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_iq : std_logic_vector (2 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_q : std_logic_vector (2 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena_q : signal is true;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_reset0 : std_logic;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_eq : std_logic;
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena_q : signal is true;
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_inputreg_q : std_logic_vector (47 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_reset0 : std_logic;
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_ia : std_logic_vector (47 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_iq : std_logic_vector (47 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_q : std_logic_vector (47 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena_q : signal is true;
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_reset0 : std_logic;
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_ia : std_logic_vector (47 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_iq : std_logic_vector (47 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_q : std_logic_vector (47 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena_q : signal is true;
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_inputreg_q : std_logic_vector (25 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_reset0 : std_logic;
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_ia : std_logic_vector (25 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_iq : std_logic_vector (25 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_q : std_logic_vector (25 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena_q : signal is true;
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_inputreg_q : std_logic_vector (20 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_reset0 : std_logic;
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_ia : std_logic_vector (20 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_iq : std_logic_vector (20 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_q : std_logic_vector (20 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena_q : signal is true;
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_inputreg_q : std_logic_vector (2 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_reset0 : std_logic;
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_ia : std_logic_vector (2 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_iq : std_logic_vector (2 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_q : std_logic_vector (2 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena_q : signal is true;
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_inputreg_q : std_logic_vector (6 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_reset0 : std_logic;
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena_q : signal is true;
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_reset0 : std_logic;
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_eq : std_logic;
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena_q : signal is true;
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_inputreg_q : std_logic_vector (24 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_reset0 : std_logic;
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_ia : std_logic_vector (24 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_iq : std_logic_vector (24 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_q : std_logic_vector (24 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena_q : signal is true;
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_inputreg_q : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_reset0 : std_logic;
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_ia : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_iq : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_q : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena_q : signal is true;
signal shiftUdf_uid42_fpExpETest_a : std_logic_vector(14 downto 0);
signal shiftUdf_uid42_fpExpETest_b : std_logic_vector(14 downto 0);
signal shiftUdf_uid42_fpExpETest_o : std_logic_vector (14 downto 0);
signal shiftUdf_uid42_fpExpETest_cin : std_logic_vector (0 downto 0);
signal shiftUdf_uid42_fpExpETest_n : std_logic_vector (0 downto 0);
signal expUdf_uid72_fpExpETest_a : std_logic_vector(18 downto 0);
signal expUdf_uid72_fpExpETest_b : std_logic_vector(18 downto 0);
signal expUdf_uid72_fpExpETest_o : std_logic_vector (18 downto 0);
signal expUdf_uid72_fpExpETest_cin : std_logic_vector (0 downto 0);
signal expUdf_uid72_fpExpETest_n : std_logic_vector (0 downto 0);
signal expOvf_uid74_fpExpETest_a : std_logic_vector(18 downto 0);
signal expOvf_uid74_fpExpETest_b : std_logic_vector(18 downto 0);
signal expOvf_uid74_fpExpETest_o : std_logic_vector (18 downto 0);
signal expOvf_uid74_fpExpETest_cin : std_logic_vector (0 downto 0);
signal expOvf_uid74_fpExpETest_n : std_logic_vector (0 downto 0);
signal spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_q : std_logic_vector (18 downto 0);
signal pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_q : std_logic_vector (26 downto 0);
signal spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_q : std_logic_vector (26 downto 0);
signal pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_q : std_logic_vector (25 downto 0);
signal pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_q : std_logic_vector (26 downto 0);
signal oFracXZwE_uid48_fpExpETest_q : std_logic_vector (65 downto 0);
signal InvExpOvfInitial_uid78_fpExpETest_a : std_logic_vector(0 downto 0);
signal InvExpOvfInitial_uid78_fpExpETest_q : std_logic_vector(0 downto 0);
signal InvSignX_uid81_fpExpETest_a : std_logic_vector(0 downto 0);
signal InvSignX_uid81_fpExpETest_q : std_logic_vector(0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_q : std_logic_vector (1 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_a : std_logic_vector(0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_q : std_logic_vector (0 downto 0);
signal expX_uid6_fpExpETest_in : std_logic_vector (62 downto 0);
signal expX_uid6_fpExpETest_b : std_logic_vector (10 downto 0);
signal signX_uid7_fpExpETest_in : std_logic_vector (63 downto 0);
signal signX_uid7_fpExpETest_b : std_logic_vector (0 downto 0);
signal frac_uid22_fpExpETest_in : std_logic_vector (51 downto 0);
signal frac_uid22_fpExpETest_b : std_logic_vector (51 downto 0);
signal expXIsZero_uid19_fpExpETest_a : std_logic_vector(10 downto 0);
signal expXIsZero_uid19_fpExpETest_b : std_logic_vector(10 downto 0);
signal expXIsZero_uid19_fpExpETest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid21_fpExpETest_a : std_logic_vector(10 downto 0);
signal expXIsMax_uid21_fpExpETest_b : std_logic_vector(10 downto 0);
signal expXIsMax_uid21_fpExpETest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid23_fpExpETest_a : std_logic_vector(51 downto 0);
signal fracXIsZero_uid23_fpExpETest_b : std_logic_vector(51 downto 0);
signal fracXIsZero_uid23_fpExpETest_q : std_logic_vector(0 downto 0);
signal exc_I_uid24_fpExpETest_a : std_logic_vector(0 downto 0);
signal exc_I_uid24_fpExpETest_b : std_logic_vector(0 downto 0);
signal exc_I_uid24_fpExpETest_q : std_logic_vector(0 downto 0);
signal shiftValuePreSat_uid40_fpExpETest_a : std_logic_vector(11 downto 0);
signal shiftValuePreSat_uid40_fpExpETest_b : std_logic_vector(11 downto 0);
signal shiftValuePreSat_uid40_fpExpETest_o : std_logic_vector (11 downto 0);
signal shiftValuePreSat_uid40_fpExpETest_q : std_logic_vector (11 downto 0);
signal shiftVal_uid44_fpExpETest_s : std_logic_vector (0 downto 0);
signal shiftVal_uid44_fpExpETest_q : std_logic_vector (6 downto 0);
signal onesCmpFxpIn_uid49_fpExpETest_a : std_logic_vector(65 downto 0);
signal onesCmpFxpIn_uid49_fpExpETest_b : std_logic_vector(65 downto 0);
signal onesCmpFxpIn_uid49_fpExpETest_q : std_logic_vector(65 downto 0);
signal fxpInExt_uid50_fpExpETest_a : std_logic_vector(67 downto 0);
signal fxpInExt_uid50_fpExpETest_b : std_logic_vector(67 downto 0);
signal fxpInExt_uid50_fpExpETest_o : std_logic_vector (67 downto 0);
signal fxpInExt_uid50_fpExpETest_q : std_logic_vector (66 downto 0);
signal yExt_uid57_fpExpETest_a : std_logic_vector(75 downto 0);
signal yExt_uid57_fpExpETest_b : std_logic_vector(75 downto 0);
signal yExt_uid57_fpExpETest_o : std_logic_vector (75 downto 0);
signal yExt_uid57_fpExpETest_q : std_logic_vector (75 downto 0);
signal yRedPostMux_uid62_fpExpETest_s : std_logic_vector (0 downto 0);
signal yRedPostMux_uid62_fpExpETest_q : std_logic_vector (54 downto 0);
signal expRPostBiasPreExc_uid70_fpExpETest_a : std_logic_vector(16 downto 0);
signal expRPostBiasPreExc_uid70_fpExpETest_b : std_logic_vector(16 downto 0);
signal expRPostBiasPreExc_uid70_fpExpETest_o : std_logic_vector (16 downto 0);
signal expRPostBiasPreExc_uid70_fpExpETest_q : std_logic_vector (15 downto 0);
signal negInf_uid76_fpExpETest_a : std_logic_vector(0 downto 0);
signal negInf_uid76_fpExpETest_b : std_logic_vector(0 downto 0);
signal negInf_uid76_fpExpETest_q : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndNeg_uid77_fpExpETest_a : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndNeg_uid77_fpExpETest_b : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndNeg_uid77_fpExpETest_c : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndNeg_uid77_fpExpETest_q : std_logic_vector(0 downto 0);
signal regXAndUdf_uid79_fpExpETest_a : std_logic_vector(0 downto 0);
signal regXAndUdf_uid79_fpExpETest_b : std_logic_vector(0 downto 0);
signal regXAndUdf_uid79_fpExpETest_c : std_logic_vector(0 downto 0);
signal regXAndUdf_uid79_fpExpETest_q : std_logic_vector(0 downto 0);
signal excRZero_uid80_fpExpETest_a : std_logic_vector(0 downto 0);
signal excRZero_uid80_fpExpETest_b : std_logic_vector(0 downto 0);
signal excRZero_uid80_fpExpETest_c : std_logic_vector(0 downto 0);
signal excRZero_uid80_fpExpETest_q : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndPos_uid82_fpExpETest_a : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndPos_uid82_fpExpETest_b : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndPos_uid82_fpExpETest_c : std_logic_vector(0 downto 0);
signal regXAndExpOverflowAndPos_uid82_fpExpETest_q : std_logic_vector(0 downto 0);
signal regInAndOvf_uid84_fpExpETest_a : std_logic_vector(0 downto 0);
signal regInAndOvf_uid84_fpExpETest_b : std_logic_vector(0 downto 0);
signal regInAndOvf_uid84_fpExpETest_c : std_logic_vector(0 downto 0);
signal regInAndOvf_uid84_fpExpETest_q : std_logic_vector(0 downto 0);
signal posInf_uid86_fpExpETest_a : std_logic_vector(0 downto 0);
signal posInf_uid86_fpExpETest_b : std_logic_vector(0 downto 0);
signal posInf_uid86_fpExpETest_q : std_logic_vector(0 downto 0);
signal excRInf_uid87_fpExpETest_a : std_logic_vector(0 downto 0);
signal excRInf_uid87_fpExpETest_b : std_logic_vector(0 downto 0);
signal excRInf_uid87_fpExpETest_c : std_logic_vector(0 downto 0);
signal excRInf_uid87_fpExpETest_q : std_logic_vector(0 downto 0);
signal excREnc_uid89_fpExpETest_q : std_logic_vector(1 downto 0);
signal fracRPostExc_uid93_fpExpETest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid93_fpExpETest_q : std_logic_vector (51 downto 0);
signal expRPostExc_uid97_fpExpETest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid97_fpExpETest_q : std_logic_vector (10 downto 0);
signal p7_uid110_constMult_q : std_logic_vector(55 downto 0);
signal p5_uid112_constMult_q : std_logic_vector(43 downto 0);
signal p3_uid114_constMult_q : std_logic_vector(31 downto 0);
signal p1_uid116_constMult_q : std_logic_vector(19 downto 0);
signal p0_uid117_constMult_q : std_logic_vector(13 downto 0);
signal lev1_a1_uid119_constMult_a : std_logic_vector(50 downto 0);
signal lev1_a1_uid119_constMult_b : std_logic_vector(50 downto 0);
signal lev1_a1_uid119_constMult_o : std_logic_vector (50 downto 0);
signal lev1_a1_uid119_constMult_q : std_logic_vector (50 downto 0);
signal lev1_a3_uid121_constMult_a : std_logic_vector(26 downto 0);
signal lev1_a3_uid121_constMult_b : std_logic_vector(26 downto 0);
signal lev1_a3_uid121_constMult_o : std_logic_vector (26 downto 0);
signal lev1_a3_uid121_constMult_q : std_logic_vector (26 downto 0);
signal lev2_a1_uid123_constMult_a : std_logic_vector(39 downto 0);
signal lev2_a1_uid123_constMult_b : std_logic_vector(39 downto 0);
signal lev2_a1_uid123_constMult_o : std_logic_vector (39 downto 0);
signal lev2_a1_uid123_constMult_q : std_logic_vector (39 downto 0);
signal lev4_a0_uid125_constMult_a : std_logic_vector(66 downto 0);
signal lev4_a0_uid125_constMult_b : std_logic_vector(66 downto 0);
signal lev4_a0_uid125_constMult_o : std_logic_vector (66 downto 0);
signal lev4_a0_uid125_constMult_q : std_logic_vector (65 downto 0);
signal rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_s : std_logic_vector (1 downto 0);
signal rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal p1_uid180_constMult_q : std_logic_vector(74 downto 0);
signal p0_uid181_constMult_q : std_logic_vector(68 downto 0);
signal lev2_a0_uid183_constMult_a : std_logic_vector(79 downto 0);
signal lev2_a0_uid183_constMult_b : std_logic_vector(79 downto 0);
signal lev2_a0_uid183_constMult_o : std_logic_vector (79 downto 0);
signal lev2_a0_uid183_constMult_q : std_logic_vector (78 downto 0);
signal rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_s : std_logic_vector (1 downto 0);
signal rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal ts3_uid265_exp10PolyEval_a : std_logic_vector(45 downto 0);
signal ts3_uid265_exp10PolyEval_b : std_logic_vector(45 downto 0);
signal ts3_uid265_exp10PolyEval_o : std_logic_vector (45 downto 0);
signal ts3_uid265_exp10PolyEval_q : std_logic_vector (45 downto 0);
signal ts4_uid271_exp10PolyEval_a : std_logic_vector(53 downto 0);
signal ts4_uid271_exp10PolyEval_b : std_logic_vector(53 downto 0);
signal ts4_uid271_exp10PolyEval_o : std_logic_vector (53 downto 0);
signal ts4_uid271_exp10PolyEval_q : std_logic_vector (53 downto 0);
signal ts5_uid277_exp10PolyEval_a : std_logic_vector(61 downto 0);
signal ts5_uid277_exp10PolyEval_b : std_logic_vector(61 downto 0);
signal ts5_uid277_exp10PolyEval_o : std_logic_vector (61 downto 0);
signal ts5_uid277_exp10PolyEval_q : std_logic_vector (61 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal os_uid236_exp10TabGen_q : std_logic_vector (57 downto 0);
signal os_uid239_exp10TabGen_q : std_logic_vector (50 downto 0);
signal os_uid242_exp10TabGen_q : std_logic_vector (42 downto 0);
signal cIncludingRoundingBit_uid264_exp10PolyEval_q : std_logic_vector (44 downto 0);
signal cIncludingRoundingBit_uid270_exp10PolyEval_q : std_logic_vector (52 downto 0);
signal cIncludingRoundingBit_uid276_exp10PolyEval_q : std_logic_vector (60 downto 0);
signal prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval_in : std_logic_vector (31 downto 0);
signal prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval_b : std_logic_vector (16 downto 0);
signal prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval_in : std_logic_vector (51 downto 0);
signal prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval_b : std_logic_vector (27 downto 0);
signal TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q : std_logic_vector (59 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_in : std_logic_vector (36 downto 0);
signal multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_b : std_logic_vector (29 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_in : std_logic_vector (54 downto 0);
signal multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_b : std_logic_vector (46 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_in : std_logic_vector (54 downto 0);
signal multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_b : std_logic_vector (53 downto 0);
signal rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_s : std_logic_vector (1 downto 0);
signal rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_s : std_logic_vector (1 downto 0);
signal rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_s : std_logic_vector (1 downto 0);
signal rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_s : std_logic_vector (1 downto 0);
signal rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal yPPolyEval_uid65_fpExpETest_in : std_logic_vector (47 downto 0);
signal yPPolyEval_uid65_fpExpETest_b : std_logic_vector (47 downto 0);
signal xTop27Bits_uid303_pT4_uid268_exp10PolyEval_in : std_logic_vector (42 downto 0);
signal xTop27Bits_uid303_pT4_uid268_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_a : std_logic_vector(2 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_b : std_logic_vector(2 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_q : std_logic_vector(0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_a : std_logic_vector(6 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_b : std_logic_vector(6 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_a : std_logic_vector(6 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_b : std_logic_vector(6 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_q : std_logic_vector(0 downto 0);
signal concExc_uid88_fpExpETest_q : std_logic_vector (2 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_q : std_logic_vector(0 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_a : std_logic_vector(0 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_b : std_logic_vector(0 downto 0);
signal ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_q : std_logic_vector(0 downto 0);
signal yT3_uid261_exp10PolyEval_in : std_logic_vector (47 downto 0);
signal yT3_uid261_exp10PolyEval_b : std_logic_vector (34 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal yT4_uid267_exp10PolyEval_in : std_logic_vector (47 downto 0);
signal yT4_uid267_exp10PolyEval_b : std_logic_vector (42 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_q : std_logic_vector(0 downto 0);
signal oFracX_uid32_uid32_fpExpETest_q : std_logic_vector (52 downto 0);
signal InvExpXIsZero_uid29_fpExpETest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid29_fpExpETest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid25_fpExpETest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid25_fpExpETest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid28_fpExpETest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid28_fpExpETest_q : std_logic_vector(0 downto 0);
signal expOvfInitial_uid41_fpExpETest_in : std_logic_vector (11 downto 0);
signal expOvfInitial_uid41_fpExpETest_b : std_logic_vector (0 downto 0);
signal shiftValuePreSatRed_uid43_fpExpETest_in : std_logic_vector (6 downto 0);
signal shiftValuePreSatRed_uid43_fpExpETest_b : std_logic_vector (6 downto 0);
signal rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (6 downto 0);
signal rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (4 downto 0);
signal rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (2 downto 0);
signal rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (0 downto 0);
signal rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (0 downto 0);
signal fxpInPreAlign_uid51_fpExpETest_in : std_logic_vector (65 downto 0);
signal fxpInPreAlign_uid51_fpExpETest_b : std_logic_vector (65 downto 0);
signal YExt75_uid59_fpExpETest_in : std_logic_vector (75 downto 0);
signal YExt75_uid59_fpExpETest_b : std_logic_vector (0 downto 0);
signal yRed_uid61_fpExpETest_in : std_logic_vector (60 downto 0);
signal yRed_uid61_fpExpETest_b : std_logic_vector (54 downto 0);
signal addr_uid64_fpExpETest_in : std_logic_vector (54 downto 0);
signal addr_uid64_fpExpETest_b : std_logic_vector (6 downto 0);
signal expR_uid75_fpExpETest_in : std_logic_vector (10 downto 0);
signal expR_uid75_fpExpETest_b : std_logic_vector (10 downto 0);
signal RExpE_uid98_fpExpETest_q : std_logic_vector (63 downto 0);
signal sR_uid126_constMult_in : std_logic_vector (61 downto 0);
signal sR_uid126_constMult_b : std_logic_vector (57 downto 0);
signal RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (60 downto 0);
signal RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (52 downto 0);
signal RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (44 downto 0);
signal sR_uid184_constMult_in : std_logic_vector (76 downto 0);
signal sR_uid184_constMult_b : std_logic_vector (74 downto 0);
signal RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (57 downto 0);
signal RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (49 downto 0);
signal RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (41 downto 0);
signal s3_uid266_exp10PolyEval_in : std_logic_vector (45 downto 0);
signal s3_uid266_exp10PolyEval_b : std_logic_vector (44 downto 0);
signal s4_uid272_exp10PolyEval_in : std_logic_vector (53 downto 0);
signal s4_uid272_exp10PolyEval_b : std_logic_vector (52 downto 0);
signal s5_uid278_exp10PolyEval_in : std_logic_vector (61 downto 0);
signal s5_uid278_exp10PolyEval_b : std_logic_vector (60 downto 0);
signal lowRangeB_uid251_exp10PolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid251_exp10PolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid252_exp10PolyEval_in : std_logic_vector (16 downto 0);
signal highBBits_uid252_exp10PolyEval_b : std_logic_vector (15 downto 0);
signal lowRangeB_uid257_exp10PolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid257_exp10PolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid258_exp10PolyEval_in : std_logic_vector (27 downto 0);
signal highBBits_uid258_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal lowRangeB_uid298_pT3_uid262_exp10PolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid298_pT3_uid262_exp10PolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid299_pT3_uid262_exp10PolyEval_in : std_logic_vector (29 downto 0);
signal highBBits_uid299_pT3_uid262_exp10PolyEval_b : std_logic_vector (28 downto 0);
signal lowRangeB_uid313_pT4_uid268_exp10PolyEval_in : std_logic_vector (17 downto 0);
signal lowRangeB_uid313_pT4_uid268_exp10PolyEval_b : std_logic_vector (17 downto 0);
signal highBBits_uid314_pT4_uid268_exp10PolyEval_in : std_logic_vector (46 downto 0);
signal highBBits_uid314_pT4_uid268_exp10PolyEval_b : std_logic_vector (28 downto 0);
signal lowRangeB_uid334_pT5_uid274_exp10PolyEval_in : std_logic_vector (18 downto 0);
signal lowRangeB_uid334_pT5_uid274_exp10PolyEval_b : std_logic_vector (18 downto 0);
signal highBBits_uid335_pT5_uid274_exp10PolyEval_in : std_logic_vector (53 downto 0);
signal highBBits_uid335_pT5_uid274_exp10PolyEval_b : std_logic_vector (34 downto 0);
signal RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (66 downto 0);
signal RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (64 downto 0);
signal RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (62 downto 0);
signal RightShiftStage268dto1_uid171_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal RightShiftStage268dto1_uid171_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (67 downto 0);
signal RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (63 downto 0);
signal RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (61 downto 0);
signal RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (59 downto 0);
signal RightShiftStage265dto1_uid229_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal RightShiftStage265dto1_uid229_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (64 downto 0);
signal yT1_uid249_exp10PolyEval_in : std_logic_vector (47 downto 0);
signal yT1_uid249_exp10PolyEval_b : std_logic_vector (15 downto 0);
signal yT2_uid255_exp10PolyEval_in : std_logic_vector (47 downto 0);
signal yT2_uid255_exp10PolyEval_b : std_logic_vector (24 downto 0);
signal xTop27Bits_uid318_pT5_uid274_exp10PolyEval_in : std_logic_vector (47 downto 0);
signal xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal xBottomBits_uid322_pT5_uid274_exp10PolyEval_in : std_logic_vector (20 downto 0);
signal xBottomBits_uid322_pT5_uid274_exp10PolyEval_b : std_logic_vector (20 downto 0);
signal xTop26Bits_uid323_pT5_uid274_exp10PolyEval_in : std_logic_vector (47 downto 0);
signal xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b : std_logic_vector (25 downto 0);
signal sSM0W_uid331_pT5_uid274_exp10PolyEval_in : std_logic_vector (20 downto 0);
signal sSM0W_uid331_pT5_uid274_exp10PolyEval_b : std_logic_vector (2 downto 0);
signal xTop27Bits_uid286_pT3_uid262_exp10PolyEval_in : std_logic_vector (34 downto 0);
signal xTop27Bits_uid286_pT3_uid262_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal xTop18Bits_uid289_pT3_uid262_exp10PolyEval_in : std_logic_vector (34 downto 0);
signal xTop18Bits_uid289_pT3_uid262_exp10PolyEval_b : std_logic_vector (17 downto 0);
signal xBottomBits_uid291_pT3_uid262_exp10PolyEval_in : std_logic_vector (7 downto 0);
signal xBottomBits_uid291_pT3_uid262_exp10PolyEval_b : std_logic_vector (7 downto 0);
signal xBottomBits_uid307_pT4_uid268_exp10PolyEval_in : std_logic_vector (15 downto 0);
signal xBottomBits_uid307_pT4_uid268_exp10PolyEval_b : std_logic_vector (15 downto 0);
signal oFracX_uid33_fpExpETest_q : std_logic_vector (53 downto 0);
signal exc_N_uid26_fpExpETest_a : std_logic_vector(0 downto 0);
signal exc_N_uid26_fpExpETest_b : std_logic_vector(0 downto 0);
signal exc_N_uid26_fpExpETest_q : std_logic_vector(0 downto 0);
signal msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (0 downto 0);
signal X65dto32_uid189_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal X65dto32_uid189_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (33 downto 0);
signal X65dto64_uid193_fxpInPostAlign_X_uid56_fpExpETest_in : std_logic_vector (65 downto 0);
signal X65dto64_uid193_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector (1 downto 0);
signal oFracXZwE_uid39_fpExpETest_q : std_logic_vector (68 downto 0);
signal yTop27Bits_uid304_pT4_uid268_exp10PolyEval_in : std_logic_vector (44 downto 0);
signal yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid306_pT4_uid268_exp10PolyEval_in : std_logic_vector (17 downto 0);
signal yBottomBits_uid306_pT4_uid268_exp10PolyEval_b : std_logic_vector (17 downto 0);
signal yTop27Bits_uid319_pT5_uid274_exp10PolyEval_in : std_logic_vector (52 downto 0);
signal yTop27Bits_uid319_pT5_uid274_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid321_pT5_uid274_exp10PolyEval_in : std_logic_vector (25 downto 0);
signal yBottomBits_uid321_pT5_uid274_exp10PolyEval_b : std_logic_vector (25 downto 0);
signal sSM0H_uid330_pT5_uid274_exp10PolyEval_in : std_logic_vector (25 downto 0);
signal sSM0H_uid330_pT5_uid274_exp10PolyEval_b : std_logic_vector (2 downto 0);
signal peOR_uid67_fpExpETest_in : std_logic_vector (57 downto 0);
signal peOR_uid67_fpExpETest_b : std_logic_vector (52 downto 0);
signal peORExpInc_uid68_fpExpETest_in : std_logic_vector (58 downto 0);
signal peORExpInc_uid68_fpExpETest_b : std_logic_vector (0 downto 0);
signal sumAHighB_uid253_exp10PolyEval_a : std_logic_vector(25 downto 0);
signal sumAHighB_uid253_exp10PolyEval_b : std_logic_vector(25 downto 0);
signal sumAHighB_uid253_exp10PolyEval_o : std_logic_vector (25 downto 0);
signal sumAHighB_uid253_exp10PolyEval_q : std_logic_vector (25 downto 0);
signal sumAHighB_uid259_exp10PolyEval_a : std_logic_vector(35 downto 0);
signal sumAHighB_uid259_exp10PolyEval_b : std_logic_vector(35 downto 0);
signal sumAHighB_uid259_exp10PolyEval_o : std_logic_vector (35 downto 0);
signal sumAHighB_uid259_exp10PolyEval_q : std_logic_vector (35 downto 0);
signal sumAHighB_uid300_pT3_uid262_exp10PolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid300_pT3_uid262_exp10PolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid300_pT3_uid262_exp10PolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid300_pT3_uid262_exp10PolyEval_q : std_logic_vector (54 downto 0);
signal sumAHighB_uid315_pT4_uid268_exp10PolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid315_pT4_uid268_exp10PolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid315_pT4_uid268_exp10PolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid315_pT4_uid268_exp10PolyEval_q : std_logic_vector (54 downto 0);
signal sumAHighB_uid336_pT5_uid274_exp10PolyEval_a : std_logic_vector(60 downto 0);
signal sumAHighB_uid336_pT5_uid274_exp10PolyEval_b : std_logic_vector(60 downto 0);
signal sumAHighB_uid336_pT5_uid274_exp10PolyEval_o : std_logic_vector (60 downto 0);
signal sumAHighB_uid336_pT5_uid274_exp10PolyEval_q : std_logic_vector (60 downto 0);
signal rightShiftStage3Idx1_uid172_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_q : std_logic_vector (16 downto 0);
signal pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_q : std_logic_vector (25 downto 0);
signal onesCmpFxpInX_uid34_fpExpETest_a : std_logic_vector(53 downto 0);
signal onesCmpFxpInX_uid34_fpExpETest_b : std_logic_vector(53 downto 0);
signal onesCmpFxpInX_uid34_fpExpETest_q : std_logic_vector(53 downto 0);
signal InvExc_N_uid27_fpExpETest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid27_fpExpETest_q : std_logic_vector(0 downto 0);
signal rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(31 downto 0);
signal rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(31 downto 0);
signal rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(31 downto 0);
signal rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_a : std_logic_vector(63 downto 0);
signal rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_b : std_logic_vector(63 downto 0);
signal rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector(63 downto 0);
signal rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal msbx_uid128_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (0 downto 0);
signal X68dto32_uid131_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal X68dto32_uid131_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (36 downto 0);
signal X68dto64_uid135_fxpInPostAlign_uid45_fpExpETest_in : std_logic_vector (68 downto 0);
signal X68dto64_uid135_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector (4 downto 0);
signal fracR_uid71_fpExpETest_in : std_logic_vector (51 downto 0);
signal fracR_uid71_fpExpETest_b : std_logic_vector (51 downto 0);
signal s1_uid251_uid254_exp10PolyEval_q : std_logic_vector (26 downto 0);
signal s2_uid257_uid260_exp10PolyEval_q : std_logic_vector (36 downto 0);
signal add0_uid298_uid301_pT3_uid262_exp10PolyEval_q : std_logic_vector (55 downto 0);
signal add0_uid313_uid316_pT4_uid268_exp10PolyEval_q : std_logic_vector (72 downto 0);
signal add0_uid334_uid337_pT5_uid274_exp10PolyEval_q : std_logic_vector (79 downto 0);
signal rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_s : std_logic_vector (0 downto 0);
signal rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_s : std_logic_vector (0 downto 0);
signal rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_q : std_logic_vector (65 downto 0);
signal fxpInExtX_uid35_fpExpETest_a : std_logic_vector(55 downto 0);
signal fxpInExtX_uid35_fpExpETest_b : std_logic_vector(55 downto 0);
signal fxpInExtX_uid35_fpExpETest_o : std_logic_vector (55 downto 0);
signal fxpInExtX_uid35_fpExpETest_q : std_logic_vector (54 downto 0);
signal rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(31 downto 0);
signal rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(31 downto 0);
signal rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(31 downto 0);
signal rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_a : std_logic_vector(63 downto 0);
signal rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_b : std_logic_vector(63 downto 0);
signal rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector(63 downto 0);
signal rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_q : std_logic_vector (68 downto 0);
signal yTop27Bits_uid287_pT3_uid262_exp10PolyEval_in : std_logic_vector (36 downto 0);
signal yTop27Bits_uid287_pT3_uid262_exp10PolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid290_pT3_uid262_exp10PolyEval_in : std_logic_vector (9 downto 0);
signal yBottomBits_uid290_pT3_uid262_exp10PolyEval_b : std_logic_vector (9 downto 0);
signal yTop18Bits_uid292_pT3_uid262_exp10PolyEval_in : std_logic_vector (36 downto 0);
signal yTop18Bits_uid292_pT3_uid262_exp10PolyEval_b : std_logic_vector (17 downto 0);
signal R_uid302_pT3_uid262_exp10PolyEval_in : std_logic_vector (54 downto 0);
signal R_uid302_pT3_uid262_exp10PolyEval_b : std_logic_vector (36 downto 0);
signal R_uid317_pT4_uid268_exp10PolyEval_in : std_logic_vector (71 downto 0);
signal R_uid317_pT4_uid268_exp10PolyEval_b : std_logic_vector (45 downto 0);
signal R_uid338_pT5_uid274_exp10PolyEval_in : std_logic_vector (78 downto 0);
signal R_uid338_pT5_uid274_exp10PolyEval_b : std_logic_vector (54 downto 0);
signal ePreRnd_uid46_fpExpETest_in : std_logic_vector (68 downto 0);
signal ePreRnd_uid46_fpExpETest_b : std_logic_vector (13 downto 0);
signal pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_q : std_logic_vector (73 downto 0);
signal fxpInPreAlign_uid36_fpExpETest_in : std_logic_vector (53 downto 0);
signal fxpInPreAlign_uid36_fpExpETest_b : std_logic_vector (53 downto 0);
signal spad_yBottomBits_uid290_uid293_pT3_uid262_exp10PolyEval_q : std_logic_vector (10 downto 0);
signal xv0_uid176_constMult_in : std_logic_vector (5 downto 0);
signal xv0_uid176_constMult_b : std_logic_vector (5 downto 0);
signal xv1_uid177_constMult_in : std_logic_vector (11 downto 0);
signal xv1_uid177_constMult_b : std_logic_vector (5 downto 0);
signal xv2_uid178_constMult_in : std_logic_vector (13 downto 0);
signal xv2_uid178_constMult_b : std_logic_vector (1 downto 0);
signal xv0_uid100_constMult_in : std_logic_vector (5 downto 0);
signal xv0_uid100_constMult_b : std_logic_vector (5 downto 0);
signal xv1_uid101_constMult_in : std_logic_vector (11 downto 0);
signal xv1_uid101_constMult_b : std_logic_vector (5 downto 0);
signal xv2_uid102_constMult_in : std_logic_vector (17 downto 0);
signal xv2_uid102_constMult_b : std_logic_vector (5 downto 0);
signal xv3_uid103_constMult_in : std_logic_vector (23 downto 0);
signal xv3_uid103_constMult_b : std_logic_vector (5 downto 0);
signal xv4_uid104_constMult_in : std_logic_vector (29 downto 0);
signal xv4_uid104_constMult_b : std_logic_vector (5 downto 0);
signal xv5_uid105_constMult_in : std_logic_vector (35 downto 0);
signal xv5_uid105_constMult_b : std_logic_vector (5 downto 0);
signal xv6_uid106_constMult_in : std_logic_vector (41 downto 0);
signal xv6_uid106_constMult_b : std_logic_vector (5 downto 0);
signal xv7_uid107_constMult_in : std_logic_vector (47 downto 0);
signal xv7_uid107_constMult_b : std_logic_vector (5 downto 0);
signal xv8_uid108_constMult_in : std_logic_vector (53 downto 0);
signal xv8_uid108_constMult_b : std_logic_vector (5 downto 0);
signal pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_q : std_logic_vector (17 downto 0);
begin
--GND(CONSTANT,0)
GND_q <= "0";
--cstAllOWE_uid15_fpExpETest(CONSTANT,14)
cstAllOWE_uid15_fpExpETest_q <= "11111111111";
--zY_uid60_fpExpETest(CONSTANT,59)
zY_uid60_fpExpETest_q <= "0000000000000000000000000000000000000000000000000000000";
--signX_uid7_fpExpETest(BITSELECT,6)@0
signX_uid7_fpExpETest_in <= a;
signX_uid7_fpExpETest_b <= signX_uid7_fpExpETest_in(63 downto 63);
--frac_uid22_fpExpETest(BITSELECT,21)@0
frac_uid22_fpExpETest_in <= a(51 downto 0);
frac_uid22_fpExpETest_b <= frac_uid22_fpExpETest_in(51 downto 0);
--oFracX_uid32_uid32_fpExpETest(BITJOIN,31)@0
oFracX_uid32_uid32_fpExpETest_q <= VCC_q & frac_uid22_fpExpETest_b;
--oFracX_uid33_fpExpETest(BITJOIN,32)@0
oFracX_uid33_fpExpETest_q <= GND_q & oFracX_uid32_uid32_fpExpETest_q;
--onesCmpFxpInX_uid34_fpExpETest(LOGICAL,33)@0
onesCmpFxpInX_uid34_fpExpETest_a <= oFracX_uid33_fpExpETest_q;
onesCmpFxpInX_uid34_fpExpETest_b <= STD_LOGIC_VECTOR((53 downto 1 => signX_uid7_fpExpETest_b(0)) & signX_uid7_fpExpETest_b);
onesCmpFxpInX_uid34_fpExpETest_q <= onesCmpFxpInX_uid34_fpExpETest_a xor onesCmpFxpInX_uid34_fpExpETest_b;
--fxpInExtX_uid35_fpExpETest(ADD,34)@0
fxpInExtX_uid35_fpExpETest_a <= STD_LOGIC_VECTOR((55 downto 54 => onesCmpFxpInX_uid34_fpExpETest_q(53)) & onesCmpFxpInX_uid34_fpExpETest_q);
fxpInExtX_uid35_fpExpETest_b <= STD_LOGIC_VECTOR('0' & "000000000000000000000000000000000000000000000000000000" & signX_uid7_fpExpETest_b);
fxpInExtX_uid35_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(fxpInExtX_uid35_fpExpETest_a) + SIGNED(fxpInExtX_uid35_fpExpETest_b));
fxpInExtX_uid35_fpExpETest_q <= fxpInExtX_uid35_fpExpETest_o(54 downto 0);
--fxpInPreAlign_uid36_fpExpETest(BITSELECT,35)@0
fxpInPreAlign_uid36_fpExpETest_in <= fxpInExtX_uid35_fpExpETest_q(53 downto 0);
fxpInPreAlign_uid36_fpExpETest_b <= fxpInPreAlign_uid36_fpExpETest_in(53 downto 0);
--xv0_uid100_constMult(BITSELECT,99)@0
xv0_uid100_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(5 downto 0);
xv0_uid100_constMult_b <= xv0_uid100_constMult_in(5 downto 0);
--reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0(REG,350)@0
reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q <= xv0_uid100_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q_to_p0_uid117_constMult_a(DELAY,529)@1
ld_reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q_to_p0_uid117_constMult_a : dspba_delay
GENERIC MAP ( width => 6, depth => 4 )
PORT MAP ( xin => reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q, xout => ld_reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q_to_p0_uid117_constMult_a_q, ena => en(0), clk => clk, aclr => areset );
--p0_uid117_constMult(LOOKUP,116)@5
p0_uid117_constMult: PROCESS (ld_reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q_to_p0_uid117_constMult_a_q)
BEGIN
-- Begin reserved scope level
CASE (ld_reg_xv0_uid100_constMult_0_to_p0_uid117_constMult_0_q_to_p0_uid117_constMult_a_q) IS
WHEN "000000" => p0_uid117_constMult_q <= "00000000000000";
WHEN "000001" => p0_uid117_constMult_q <= "00000010111001";
WHEN "000010" => p0_uid117_constMult_q <= "00000101110001";
WHEN "000011" => p0_uid117_constMult_q <= "00001000101010";
WHEN "000100" => p0_uid117_constMult_q <= "00001011100011";
WHEN "000101" => p0_uid117_constMult_q <= "00001110011011";
WHEN "000110" => p0_uid117_constMult_q <= "00010001010100";
WHEN "000111" => p0_uid117_constMult_q <= "00010100001101";
WHEN "001000" => p0_uid117_constMult_q <= "00010111000101";
WHEN "001001" => p0_uid117_constMult_q <= "00011001111110";
WHEN "001010" => p0_uid117_constMult_q <= "00011100110111";
WHEN "001011" => p0_uid117_constMult_q <= "00011111101111";
WHEN "001100" => p0_uid117_constMult_q <= "00100010101000";
WHEN "001101" => p0_uid117_constMult_q <= "00100101100001";
WHEN "001110" => p0_uid117_constMult_q <= "00101000011001";
WHEN "001111" => p0_uid117_constMult_q <= "00101011010010";
WHEN "010000" => p0_uid117_constMult_q <= "00101110001011";
WHEN "010001" => p0_uid117_constMult_q <= "00110001000011";
WHEN "010010" => p0_uid117_constMult_q <= "00110011111100";
WHEN "010011" => p0_uid117_constMult_q <= "00110110110101";
WHEN "010100" => p0_uid117_constMult_q <= "00111001101101";
WHEN "010101" => p0_uid117_constMult_q <= "00111100100110";
WHEN "010110" => p0_uid117_constMult_q <= "00111111011111";
WHEN "010111" => p0_uid117_constMult_q <= "01000010010111";
WHEN "011000" => p0_uid117_constMult_q <= "01000101010000";
WHEN "011001" => p0_uid117_constMult_q <= "01001000001001";
WHEN "011010" => p0_uid117_constMult_q <= "01001011000001";
WHEN "011011" => p0_uid117_constMult_q <= "01001101111010";
WHEN "011100" => p0_uid117_constMult_q <= "01010000110011";
WHEN "011101" => p0_uid117_constMult_q <= "01010011101011";
WHEN "011110" => p0_uid117_constMult_q <= "01010110100100";
WHEN "011111" => p0_uid117_constMult_q <= "01011001011101";
WHEN "100000" => p0_uid117_constMult_q <= "01011100010101";
WHEN "100001" => p0_uid117_constMult_q <= "01011111001110";
WHEN "100010" => p0_uid117_constMult_q <= "01100010000111";
WHEN "100011" => p0_uid117_constMult_q <= "01100100111111";
WHEN "100100" => p0_uid117_constMult_q <= "01100111111000";
WHEN "100101" => p0_uid117_constMult_q <= "01101010110001";
WHEN "100110" => p0_uid117_constMult_q <= "01101101101001";
WHEN "100111" => p0_uid117_constMult_q <= "01110000100010";
WHEN "101000" => p0_uid117_constMult_q <= "01110011011011";
WHEN "101001" => p0_uid117_constMult_q <= "01110110010011";
WHEN "101010" => p0_uid117_constMult_q <= "01111001001100";
WHEN "101011" => p0_uid117_constMult_q <= "01111100000101";
WHEN "101100" => p0_uid117_constMult_q <= "01111110111101";
WHEN "101101" => p0_uid117_constMult_q <= "10000001110110";
WHEN "101110" => p0_uid117_constMult_q <= "10000100101111";
WHEN "101111" => p0_uid117_constMult_q <= "10000111100111";
WHEN "110000" => p0_uid117_constMult_q <= "10001010100000";
WHEN "110001" => p0_uid117_constMult_q <= "10001101011001";
WHEN "110010" => p0_uid117_constMult_q <= "10010000010001";
WHEN "110011" => p0_uid117_constMult_q <= "10010011001010";
WHEN "110100" => p0_uid117_constMult_q <= "10010110000011";
WHEN "110101" => p0_uid117_constMult_q <= "10011000111011";
WHEN "110110" => p0_uid117_constMult_q <= "10011011110100";
WHEN "110111" => p0_uid117_constMult_q <= "10011110101101";
WHEN "111000" => p0_uid117_constMult_q <= "10100001100101";
WHEN "111001" => p0_uid117_constMult_q <= "10100100011110";
WHEN "111010" => p0_uid117_constMult_q <= "10100111010111";
WHEN "111011" => p0_uid117_constMult_q <= "10101010001111";
WHEN "111100" => p0_uid117_constMult_q <= "10101101001000";
WHEN "111101" => p0_uid117_constMult_q <= "10110000000001";
WHEN "111110" => p0_uid117_constMult_q <= "10110010111001";
WHEN "111111" => p0_uid117_constMult_q <= "10110101110010";
WHEN OTHERS =>
p0_uid117_constMult_q <= "00000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv1_uid101_constMult(BITSELECT,100)@0
xv1_uid101_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(11 downto 0);
xv1_uid101_constMult_b <= xv1_uid101_constMult_in(11 downto 6);
--ld_xv1_uid101_constMult_b_to_reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_a(DELAY,803)@0
ld_xv1_uid101_constMult_b_to_reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_a : dspba_delay
GENERIC MAP ( width => 6, depth => 3 )
PORT MAP ( xin => xv1_uid101_constMult_b, xout => ld_xv1_uid101_constMult_b_to_reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0(REG,349)@3
reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_q <= ld_xv1_uid101_constMult_b_to_reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_a_q;
END IF;
END IF;
END PROCESS;
--p1_uid116_constMult(LOOKUP,115)@4
p1_uid116_constMult: PROCESS (reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_xv1_uid101_constMult_0_to_p1_uid116_constMult_0_q) IS
WHEN "000000" => p1_uid116_constMult_q <= "00000000000000000000";
WHEN "000001" => p1_uid116_constMult_q <= "00000010111000101011";
WHEN "000010" => p1_uid116_constMult_q <= "00000101110001010101";
WHEN "000011" => p1_uid116_constMult_q <= "00001000101010000000";
WHEN "000100" => p1_uid116_constMult_q <= "00001011100010101010";
WHEN "000101" => p1_uid116_constMult_q <= "00001110011011010101";
WHEN "000110" => p1_uid116_constMult_q <= "00010001010011111111";
WHEN "000111" => p1_uid116_constMult_q <= "00010100001100101010";
WHEN "001000" => p1_uid116_constMult_q <= "00010111000101010100";
WHEN "001001" => p1_uid116_constMult_q <= "00011001111101111111";
WHEN "001010" => p1_uid116_constMult_q <= "00011100110110101010";
WHEN "001011" => p1_uid116_constMult_q <= "00011111101111010100";
WHEN "001100" => p1_uid116_constMult_q <= "00100010100111111111";
WHEN "001101" => p1_uid116_constMult_q <= "00100101100000101001";
WHEN "001110" => p1_uid116_constMult_q <= "00101000011001010100";
WHEN "001111" => p1_uid116_constMult_q <= "00101011010001111110";
WHEN "010000" => p1_uid116_constMult_q <= "00101110001010101001";
WHEN "010001" => p1_uid116_constMult_q <= "00110001000011010011";
WHEN "010010" => p1_uid116_constMult_q <= "00110011111011111110";
WHEN "010011" => p1_uid116_constMult_q <= "00110110110100101001";
WHEN "010100" => p1_uid116_constMult_q <= "00111001101101010011";
WHEN "010101" => p1_uid116_constMult_q <= "00111100100101111110";
WHEN "010110" => p1_uid116_constMult_q <= "00111111011110101000";
WHEN "010111" => p1_uid116_constMult_q <= "01000010010111010011";
WHEN "011000" => p1_uid116_constMult_q <= "01000101001111111101";
WHEN "011001" => p1_uid116_constMult_q <= "01001000001000101000";
WHEN "011010" => p1_uid116_constMult_q <= "01001011000001010011";
WHEN "011011" => p1_uid116_constMult_q <= "01001101111001111101";
WHEN "011100" => p1_uid116_constMult_q <= "01010000110010101000";
WHEN "011101" => p1_uid116_constMult_q <= "01010011101011010010";
WHEN "011110" => p1_uid116_constMult_q <= "01010110100011111101";
WHEN "011111" => p1_uid116_constMult_q <= "01011001011100100111";
WHEN "100000" => p1_uid116_constMult_q <= "01011100010101010010";
WHEN "100001" => p1_uid116_constMult_q <= "01011111001101111100";
WHEN "100010" => p1_uid116_constMult_q <= "01100010000110100111";
WHEN "100011" => p1_uid116_constMult_q <= "01100100111111010010";
WHEN "100100" => p1_uid116_constMult_q <= "01100111110111111100";
WHEN "100101" => p1_uid116_constMult_q <= "01101010110000100111";
WHEN "100110" => p1_uid116_constMult_q <= "01101101101001010001";
WHEN "100111" => p1_uid116_constMult_q <= "01110000100001111100";
WHEN "101000" => p1_uid116_constMult_q <= "01110011011010100110";
WHEN "101001" => p1_uid116_constMult_q <= "01110110010011010001";
WHEN "101010" => p1_uid116_constMult_q <= "01111001001011111011";
WHEN "101011" => p1_uid116_constMult_q <= "01111100000100100110";
WHEN "101100" => p1_uid116_constMult_q <= "01111110111101010001";
WHEN "101101" => p1_uid116_constMult_q <= "10000001110101111011";
WHEN "101110" => p1_uid116_constMult_q <= "10000100101110100110";
WHEN "101111" => p1_uid116_constMult_q <= "10000111100111010000";
WHEN "110000" => p1_uid116_constMult_q <= "10001010011111111011";
WHEN "110001" => p1_uid116_constMult_q <= "10001101011000100101";
WHEN "110010" => p1_uid116_constMult_q <= "10010000010001010000";
WHEN "110011" => p1_uid116_constMult_q <= "10010011001001111010";
WHEN "110100" => p1_uid116_constMult_q <= "10010110000010100101";
WHEN "110101" => p1_uid116_constMult_q <= "10011000111011010000";
WHEN "110110" => p1_uid116_constMult_q <= "10011011110011111010";
WHEN "110111" => p1_uid116_constMult_q <= "10011110101100100101";
WHEN "111000" => p1_uid116_constMult_q <= "10100001100101001111";
WHEN "111001" => p1_uid116_constMult_q <= "10100100011101111010";
WHEN "111010" => p1_uid116_constMult_q <= "10100111010110100100";
WHEN "111011" => p1_uid116_constMult_q <= "10101010001111001111";
WHEN "111100" => p1_uid116_constMult_q <= "10101101000111111001";
WHEN "111101" => p1_uid116_constMult_q <= "10110000000000100100";
WHEN "111110" => p1_uid116_constMult_q <= "10110010111001001111";
WHEN "111111" => p1_uid116_constMult_q <= "10110101110001111001";
WHEN OTHERS =>
p1_uid116_constMult_q <= "00000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv2_uid102_constMult(BITSELECT,101)@0
xv2_uid102_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(17 downto 0);
xv2_uid102_constMult_b <= xv2_uid102_constMult_in(17 downto 12);
--ld_xv2_uid102_constMult_b_to_reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_a(DELAY,802)@0
ld_xv2_uid102_constMult_b_to_reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_a : dspba_delay
GENERIC MAP ( width => 6, depth => 2 )
PORT MAP ( xin => xv2_uid102_constMult_b, xout => ld_xv2_uid102_constMult_b_to_reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0(REG,348)@2
reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_q <= ld_xv2_uid102_constMult_b_to_reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_a_q;
END IF;
END IF;
END PROCESS;
--p2_uid115_constMult(LOOKUP,114)@3
p2_uid115_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p2_uid115_constMult_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_xv2_uid102_constMult_0_to_p2_uid115_constMult_0_q) IS
WHEN "000000" => p2_uid115_constMult_q <= "00000000000000000000000000";
WHEN "000001" => p2_uid115_constMult_q <= "00000010111000101010100100";
WHEN "000010" => p2_uid115_constMult_q <= "00000101110001010101000111";
WHEN "000011" => p2_uid115_constMult_q <= "00001000101001111111101011";
WHEN "000100" => p2_uid115_constMult_q <= "00001011100010101010001111";
WHEN "000101" => p2_uid115_constMult_q <= "00001110011011010100110010";
WHEN "000110" => p2_uid115_constMult_q <= "00010001010011111111010110";
WHEN "000111" => p2_uid115_constMult_q <= "00010100001100101001111010";
WHEN "001000" => p2_uid115_constMult_q <= "00010111000101010100011110";
WHEN "001001" => p2_uid115_constMult_q <= "00011001111101111111000001";
WHEN "001010" => p2_uid115_constMult_q <= "00011100110110101001100101";
WHEN "001011" => p2_uid115_constMult_q <= "00011111101111010100001001";
WHEN "001100" => p2_uid115_constMult_q <= "00100010100111111110101100";
WHEN "001101" => p2_uid115_constMult_q <= "00100101100000101001010000";
WHEN "001110" => p2_uid115_constMult_q <= "00101000011001010011110100";
WHEN "001111" => p2_uid115_constMult_q <= "00101011010001111110010111";
WHEN "010000" => p2_uid115_constMult_q <= "00101110001010101000111011";
WHEN "010001" => p2_uid115_constMult_q <= "00110001000011010011011111";
WHEN "010010" => p2_uid115_constMult_q <= "00110011111011111110000011";
WHEN "010011" => p2_uid115_constMult_q <= "00110110110100101000100110";
WHEN "010100" => p2_uid115_constMult_q <= "00111001101101010011001010";
WHEN "010101" => p2_uid115_constMult_q <= "00111100100101111101101110";
WHEN "010110" => p2_uid115_constMult_q <= "00111111011110101000010001";
WHEN "010111" => p2_uid115_constMult_q <= "01000010010111010010110101";
WHEN "011000" => p2_uid115_constMult_q <= "01000101001111111101011001";
WHEN "011001" => p2_uid115_constMult_q <= "01001000001000100111111100";
WHEN "011010" => p2_uid115_constMult_q <= "01001011000001010010100000";
WHEN "011011" => p2_uid115_constMult_q <= "01001101111001111101000100";
WHEN "011100" => p2_uid115_constMult_q <= "01010000110010100111101000";
WHEN "011101" => p2_uid115_constMult_q <= "01010011101011010010001011";
WHEN "011110" => p2_uid115_constMult_q <= "01010110100011111100101111";
WHEN "011111" => p2_uid115_constMult_q <= "01011001011100100111010011";
WHEN "100000" => p2_uid115_constMult_q <= "01011100010101010001110110";
WHEN "100001" => p2_uid115_constMult_q <= "01011111001101111100011010";
WHEN "100010" => p2_uid115_constMult_q <= "01100010000110100110111110";
WHEN "100011" => p2_uid115_constMult_q <= "01100100111111010001100001";
WHEN "100100" => p2_uid115_constMult_q <= "01100111110111111100000101";
WHEN "100101" => p2_uid115_constMult_q <= "01101010110000100110101001";
WHEN "100110" => p2_uid115_constMult_q <= "01101101101001010001001101";
WHEN "100111" => p2_uid115_constMult_q <= "01110000100001111011110000";
WHEN "101000" => p2_uid115_constMult_q <= "01110011011010100110010100";
WHEN "101001" => p2_uid115_constMult_q <= "01110110010011010000111000";
WHEN "101010" => p2_uid115_constMult_q <= "01111001001011111011011011";
WHEN "101011" => p2_uid115_constMult_q <= "01111100000100100101111111";
WHEN "101100" => p2_uid115_constMult_q <= "01111110111101010000100011";
WHEN "101101" => p2_uid115_constMult_q <= "10000001110101111011000110";
WHEN "101110" => p2_uid115_constMult_q <= "10000100101110100101101010";
WHEN "101111" => p2_uid115_constMult_q <= "10000111100111010000001110";
WHEN "110000" => p2_uid115_constMult_q <= "10001010011111111010110001";
WHEN "110001" => p2_uid115_constMult_q <= "10001101011000100101010101";
WHEN "110010" => p2_uid115_constMult_q <= "10010000010001001111111001";
WHEN "110011" => p2_uid115_constMult_q <= "10010011001001111010011101";
WHEN "110100" => p2_uid115_constMult_q <= "10010110000010100101000000";
WHEN "110101" => p2_uid115_constMult_q <= "10011000111011001111100100";
WHEN "110110" => p2_uid115_constMult_q <= "10011011110011111010001000";
WHEN "110111" => p2_uid115_constMult_q <= "10011110101100100100101011";
WHEN "111000" => p2_uid115_constMult_q <= "10100001100101001111001111";
WHEN "111001" => p2_uid115_constMult_q <= "10100100011101111001110011";
WHEN "111010" => p2_uid115_constMult_q <= "10100111010110100100010110";
WHEN "111011" => p2_uid115_constMult_q <= "10101010001111001110111010";
WHEN "111100" => p2_uid115_constMult_q <= "10101101000111111001011110";
WHEN "111101" => p2_uid115_constMult_q <= "10110000000000100100000010";
WHEN "111110" => p2_uid115_constMult_q <= "10110010111001001110100101";
WHEN "111111" => p2_uid115_constMult_q <= "10110101110001111001001001";
WHEN OTHERS =>
p2_uid115_constMult_q <= "00000000000000000000000000";
END CASE;
END IF;
END IF;
END PROCESS;
--lev1_a3_uid121_constMult(ADD,120)@4
lev1_a3_uid121_constMult_a <= STD_LOGIC_VECTOR("0" & p2_uid115_constMult_q);
lev1_a3_uid121_constMult_b <= STD_LOGIC_VECTOR("0000000" & p1_uid116_constMult_q);
lev1_a3_uid121_constMult_o <= STD_LOGIC_VECTOR(UNSIGNED(lev1_a3_uid121_constMult_a) + UNSIGNED(lev1_a3_uid121_constMult_b));
lev1_a3_uid121_constMult_q <= lev1_a3_uid121_constMult_o(26 downto 0);
--xv3_uid103_constMult(BITSELECT,102)@0
xv3_uid103_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(23 downto 0);
xv3_uid103_constMult_b <= xv3_uid103_constMult_in(23 downto 18);
--reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0(REG,347)@0
reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q <= xv3_uid103_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q_to_p3_uid114_constMult_a(DELAY,526)@1
ld_reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q_to_p3_uid114_constMult_a : dspba_delay
GENERIC MAP ( width => 6, depth => 2 )
PORT MAP ( xin => reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q, xout => ld_reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q_to_p3_uid114_constMult_a_q, ena => en(0), clk => clk, aclr => areset );
--p3_uid114_constMult(LOOKUP,113)@3
p3_uid114_constMult: PROCESS (ld_reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q_to_p3_uid114_constMult_a_q)
BEGIN
-- Begin reserved scope level
CASE (ld_reg_xv3_uid103_constMult_0_to_p3_uid114_constMult_0_q_to_p3_uid114_constMult_a_q) IS
WHEN "000000" => p3_uid114_constMult_q <= "00000000000000000000000000000000";
WHEN "000001" => p3_uid114_constMult_q <= "00000010111000101010100011101101";
WHEN "000010" => p3_uid114_constMult_q <= "00000101110001010101000111011001";
WHEN "000011" => p3_uid114_constMult_q <= "00001000101001111111101011000110";
WHEN "000100" => p3_uid114_constMult_q <= "00001011100010101010001110110011";
WHEN "000101" => p3_uid114_constMult_q <= "00001110011011010100110010011111";
WHEN "000110" => p3_uid114_constMult_q <= "00010001010011111111010110001100";
WHEN "000111" => p3_uid114_constMult_q <= "00010100001100101001111001111001";
WHEN "001000" => p3_uid114_constMult_q <= "00010111000101010100011101100101";
WHEN "001001" => p3_uid114_constMult_q <= "00011001111101111111000001010010";
WHEN "001010" => p3_uid114_constMult_q <= "00011100110110101001100100111110";
WHEN "001011" => p3_uid114_constMult_q <= "00011111101111010100001000101011";
WHEN "001100" => p3_uid114_constMult_q <= "00100010100111111110101100011000";
WHEN "001101" => p3_uid114_constMult_q <= "00100101100000101001010000000100";
WHEN "001110" => p3_uid114_constMult_q <= "00101000011001010011110011110001";
WHEN "001111" => p3_uid114_constMult_q <= "00101011010001111110010111011110";
WHEN "010000" => p3_uid114_constMult_q <= "00101110001010101000111011001010";
WHEN "010001" => p3_uid114_constMult_q <= "00110001000011010011011110110111";
WHEN "010010" => p3_uid114_constMult_q <= "00110011111011111110000010100100";
WHEN "010011" => p3_uid114_constMult_q <= "00110110110100101000100110010000";
WHEN "010100" => p3_uid114_constMult_q <= "00111001101101010011001001111101";
WHEN "010101" => p3_uid114_constMult_q <= "00111100100101111101101101101010";
WHEN "010110" => p3_uid114_constMult_q <= "00111111011110101000010001010110";
WHEN "010111" => p3_uid114_constMult_q <= "01000010010111010010110101000011";
WHEN "011000" => p3_uid114_constMult_q <= "01000101001111111101011000110000";
WHEN "011001" => p3_uid114_constMult_q <= "01001000001000100111111100011100";
WHEN "011010" => p3_uid114_constMult_q <= "01001011000001010010100000001001";
WHEN "011011" => p3_uid114_constMult_q <= "01001101111001111101000011110101";
WHEN "011100" => p3_uid114_constMult_q <= "01010000110010100111100111100010";
WHEN "011101" => p3_uid114_constMult_q <= "01010011101011010010001011001111";
WHEN "011110" => p3_uid114_constMult_q <= "01010110100011111100101110111011";
WHEN "011111" => p3_uid114_constMult_q <= "01011001011100100111010010101000";
WHEN "100000" => p3_uid114_constMult_q <= "01011100010101010001110110010101";
WHEN "100001" => p3_uid114_constMult_q <= "01011111001101111100011010000001";
WHEN "100010" => p3_uid114_constMult_q <= "01100010000110100110111101101110";
WHEN "100011" => p3_uid114_constMult_q <= "01100100111111010001100001011011";
WHEN "100100" => p3_uid114_constMult_q <= "01100111110111111100000101000111";
WHEN "100101" => p3_uid114_constMult_q <= "01101010110000100110101000110100";
WHEN "100110" => p3_uid114_constMult_q <= "01101101101001010001001100100001";
WHEN "100111" => p3_uid114_constMult_q <= "01110000100001111011110000001101";
WHEN "101000" => p3_uid114_constMult_q <= "01110011011010100110010011111010";
WHEN "101001" => p3_uid114_constMult_q <= "01110110010011010000110111100110";
WHEN "101010" => p3_uid114_constMult_q <= "01111001001011111011011011010011";
WHEN "101011" => p3_uid114_constMult_q <= "01111100000100100101111111000000";
WHEN "101100" => p3_uid114_constMult_q <= "01111110111101010000100010101100";
WHEN "101101" => p3_uid114_constMult_q <= "10000001110101111011000110011001";
WHEN "101110" => p3_uid114_constMult_q <= "10000100101110100101101010000110";
WHEN "101111" => p3_uid114_constMult_q <= "10000111100111010000001101110010";
WHEN "110000" => p3_uid114_constMult_q <= "10001010011111111010110001011111";
WHEN "110001" => p3_uid114_constMult_q <= "10001101011000100101010101001100";
WHEN "110010" => p3_uid114_constMult_q <= "10010000010001001111111000111000";
WHEN "110011" => p3_uid114_constMult_q <= "10010011001001111010011100100101";
WHEN "110100" => p3_uid114_constMult_q <= "10010110000010100101000000010010";
WHEN "110101" => p3_uid114_constMult_q <= "10011000111011001111100011111110";
WHEN "110110" => p3_uid114_constMult_q <= "10011011110011111010000111101011";
WHEN "110111" => p3_uid114_constMult_q <= "10011110101100100100101011011000";
WHEN "111000" => p3_uid114_constMult_q <= "10100001100101001111001111000100";
WHEN "111001" => p3_uid114_constMult_q <= "10100100011101111001110010110001";
WHEN "111010" => p3_uid114_constMult_q <= "10100111010110100100010110011101";
WHEN "111011" => p3_uid114_constMult_q <= "10101010001111001110111010001010";
WHEN "111100" => p3_uid114_constMult_q <= "10101101000111111001011101110111";
WHEN "111101" => p3_uid114_constMult_q <= "10110000000000100100000001100011";
WHEN "111110" => p3_uid114_constMult_q <= "10110010111001001110100101010000";
WHEN "111111" => p3_uid114_constMult_q <= "10110101110001111001001000111101";
WHEN OTHERS =>
p3_uid114_constMult_q <= "00000000000000000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv4_uid104_constMult(BITSELECT,103)@0
xv4_uid104_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(29 downto 0);
xv4_uid104_constMult_b <= xv4_uid104_constMult_in(29 downto 24);
--reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0(REG,346)@0
reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q <= xv4_uid104_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q_to_p4_uid113_constMult_a(DELAY,525)@1
ld_reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q_to_p4_uid113_constMult_a : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q, xout => ld_reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q_to_p4_uid113_constMult_a_q, ena => en(0), clk => clk, aclr => areset );
--p4_uid113_constMult(LOOKUP,112)@2
p4_uid113_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p4_uid113_constMult_q <= "00000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_xv4_uid104_constMult_0_to_p4_uid113_constMult_0_q_to_p4_uid113_constMult_a_q) IS
WHEN "000000" => p4_uid113_constMult_q <= "00000000000000000000000000000000000000";
WHEN "000001" => p4_uid113_constMult_q <= "00000010111000101010100011101100101001";
WHEN "000010" => p4_uid113_constMult_q <= "00000101110001010101000111011001010011";
WHEN "000011" => p4_uid113_constMult_q <= "00001000101001111111101011000101111100";
WHEN "000100" => p4_uid113_constMult_q <= "00001011100010101010001110110010100101";
WHEN "000101" => p4_uid113_constMult_q <= "00001110011011010100110010011111001111";
WHEN "000110" => p4_uid113_constMult_q <= "00010001010011111111010110001011111000";
WHEN "000111" => p4_uid113_constMult_q <= "00010100001100101001111001111000100010";
WHEN "001000" => p4_uid113_constMult_q <= "00010111000101010100011101100101001011";
WHEN "001001" => p4_uid113_constMult_q <= "00011001111101111111000001010001110100";
WHEN "001010" => p4_uid113_constMult_q <= "00011100110110101001100100111110011110";
WHEN "001011" => p4_uid113_constMult_q <= "00011111101111010100001000101011000111";
WHEN "001100" => p4_uid113_constMult_q <= "00100010100111111110101100010111110000";
WHEN "001101" => p4_uid113_constMult_q <= "00100101100000101001010000000100011010";
WHEN "001110" => p4_uid113_constMult_q <= "00101000011001010011110011110001000011";
WHEN "001111" => p4_uid113_constMult_q <= "00101011010001111110010111011101101100";
WHEN "010000" => p4_uid113_constMult_q <= "00101110001010101000111011001010010110";
WHEN "010001" => p4_uid113_constMult_q <= "00110001000011010011011110110110111111";
WHEN "010010" => p4_uid113_constMult_q <= "00110011111011111110000010100011101000";
WHEN "010011" => p4_uid113_constMult_q <= "00110110110100101000100110010000010010";
WHEN "010100" => p4_uid113_constMult_q <= "00111001101101010011001001111100111011";
WHEN "010101" => p4_uid113_constMult_q <= "00111100100101111101101101101001100101";
WHEN "010110" => p4_uid113_constMult_q <= "00111111011110101000010001010110001110";
WHEN "010111" => p4_uid113_constMult_q <= "01000010010111010010110101000010110111";
WHEN "011000" => p4_uid113_constMult_q <= "01000101001111111101011000101111100001";
WHEN "011001" => p4_uid113_constMult_q <= "01001000001000100111111100011100001010";
WHEN "011010" => p4_uid113_constMult_q <= "01001011000001010010100000001000110011";
WHEN "011011" => p4_uid113_constMult_q <= "01001101111001111101000011110101011101";
WHEN "011100" => p4_uid113_constMult_q <= "01010000110010100111100111100010000110";
WHEN "011101" => p4_uid113_constMult_q <= "01010011101011010010001011001110101111";
WHEN "011110" => p4_uid113_constMult_q <= "01010110100011111100101110111011011001";
WHEN "011111" => p4_uid113_constMult_q <= "01011001011100100111010010101000000010";
WHEN "100000" => p4_uid113_constMult_q <= "01011100010101010001110110010100101100";
WHEN "100001" => p4_uid113_constMult_q <= "01011111001101111100011010000001010101";
WHEN "100010" => p4_uid113_constMult_q <= "01100010000110100110111101101101111110";
WHEN "100011" => p4_uid113_constMult_q <= "01100100111111010001100001011010101000";
WHEN "100100" => p4_uid113_constMult_q <= "01100111110111111100000101000111010001";
WHEN "100101" => p4_uid113_constMult_q <= "01101010110000100110101000110011111010";
WHEN "100110" => p4_uid113_constMult_q <= "01101101101001010001001100100000100100";
WHEN "100111" => p4_uid113_constMult_q <= "01110000100001111011110000001101001101";
WHEN "101000" => p4_uid113_constMult_q <= "01110011011010100110010011111001110110";
WHEN "101001" => p4_uid113_constMult_q <= "01110110010011010000110111100110100000";
WHEN "101010" => p4_uid113_constMult_q <= "01111001001011111011011011010011001001";
WHEN "101011" => p4_uid113_constMult_q <= "01111100000100100101111110111111110010";
WHEN "101100" => p4_uid113_constMult_q <= "01111110111101010000100010101100011100";
WHEN "101101" => p4_uid113_constMult_q <= "10000001110101111011000110011001000101";
WHEN "101110" => p4_uid113_constMult_q <= "10000100101110100101101010000101101111";
WHEN "101111" => p4_uid113_constMult_q <= "10000111100111010000001101110010011000";
WHEN "110000" => p4_uid113_constMult_q <= "10001010011111111010110001011111000001";
WHEN "110001" => p4_uid113_constMult_q <= "10001101011000100101010101001011101011";
WHEN "110010" => p4_uid113_constMult_q <= "10010000010001001111111000111000010100";
WHEN "110011" => p4_uid113_constMult_q <= "10010011001001111010011100100100111101";
WHEN "110100" => p4_uid113_constMult_q <= "10010110000010100101000000010001100111";
WHEN "110101" => p4_uid113_constMult_q <= "10011000111011001111100011111110010000";
WHEN "110110" => p4_uid113_constMult_q <= "10011011110011111010000111101010111001";
WHEN "110111" => p4_uid113_constMult_q <= "10011110101100100100101011010111100011";
WHEN "111000" => p4_uid113_constMult_q <= "10100001100101001111001111000100001100";
WHEN "111001" => p4_uid113_constMult_q <= "10100100011101111001110010110000110110";
WHEN "111010" => p4_uid113_constMult_q <= "10100111010110100100010110011101011111";
WHEN "111011" => p4_uid113_constMult_q <= "10101010001111001110111010001010001000";
WHEN "111100" => p4_uid113_constMult_q <= "10101101000111111001011101110110110010";
WHEN "111101" => p4_uid113_constMult_q <= "10110000000000100100000001100011011011";
WHEN "111110" => p4_uid113_constMult_q <= "10110010111001001110100101010000000100";
WHEN "111111" => p4_uid113_constMult_q <= "10110101110001111001001000111100101110";
WHEN OTHERS =>
p4_uid113_constMult_q <= "00000000000000000000000000000000000000";
END CASE;
END IF;
END IF;
END PROCESS;
--lev1_a2_uid120_constMult(ADD,119)@3
lev1_a2_uid120_constMult_a <= STD_LOGIC_VECTOR("0" & p4_uid113_constMult_q);
lev1_a2_uid120_constMult_b <= STD_LOGIC_VECTOR("0000000" & p3_uid114_constMult_q);
lev1_a2_uid120_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
lev1_a2_uid120_constMult_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
lev1_a2_uid120_constMult_o <= STD_LOGIC_VECTOR(UNSIGNED(lev1_a2_uid120_constMult_a) + UNSIGNED(lev1_a2_uid120_constMult_b));
END IF;
END IF;
END PROCESS;
lev1_a2_uid120_constMult_q <= lev1_a2_uid120_constMult_o(38 downto 0);
--lev2_a1_uid123_constMult(ADD,122)@4
lev2_a1_uid123_constMult_a <= STD_LOGIC_VECTOR("0" & lev1_a2_uid120_constMult_q);
lev2_a1_uid123_constMult_b <= STD_LOGIC_VECTOR("0000000000000" & lev1_a3_uid121_constMult_q);
lev2_a1_uid123_constMult_o <= STD_LOGIC_VECTOR(UNSIGNED(lev2_a1_uid123_constMult_a) + UNSIGNED(lev2_a1_uid123_constMult_b));
lev2_a1_uid123_constMult_q <= lev2_a1_uid123_constMult_o(39 downto 0);
--xv5_uid105_constMult(BITSELECT,104)@0
xv5_uid105_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(35 downto 0);
xv5_uid105_constMult_b <= xv5_uid105_constMult_in(35 downto 30);
--ld_xv5_uid105_constMult_b_to_reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_a(DELAY,799)@0
ld_xv5_uid105_constMult_b_to_reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_a : dspba_delay
GENERIC MAP ( width => 6, depth => 2 )
PORT MAP ( xin => xv5_uid105_constMult_b, xout => ld_xv5_uid105_constMult_b_to_reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0(REG,345)@2
reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_q <= ld_xv5_uid105_constMult_b_to_reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_a_q;
END IF;
END IF;
END PROCESS;
--p5_uid112_constMult(LOOKUP,111)@3
p5_uid112_constMult: PROCESS (reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_xv5_uid105_constMult_0_to_p5_uid112_constMult_0_q) IS
WHEN "000000" => p5_uid112_constMult_q <= "00000000000000000000000000000000000000000000";
WHEN "000001" => p5_uid112_constMult_q <= "00000010111000101010100011101100101001010111";
WHEN "000010" => p5_uid112_constMult_q <= "00000101110001010101000111011001010010101110";
WHEN "000011" => p5_uid112_constMult_q <= "00001000101001111111101011000101111100000101";
WHEN "000100" => p5_uid112_constMult_q <= "00001011100010101010001110110010100101011100";
WHEN "000101" => p5_uid112_constMult_q <= "00001110011011010100110010011111001110110011";
WHEN "000110" => p5_uid112_constMult_q <= "00010001010011111111010110001011111000001010";
WHEN "000111" => p5_uid112_constMult_q <= "00010100001100101001111001111000100001100001";
WHEN "001000" => p5_uid112_constMult_q <= "00010111000101010100011101100101001010111000";
WHEN "001001" => p5_uid112_constMult_q <= "00011001111101111111000001010001110100001111";
WHEN "001010" => p5_uid112_constMult_q <= "00011100110110101001100100111110011101100110";
WHEN "001011" => p5_uid112_constMult_q <= "00011111101111010100001000101011000110111101";
WHEN "001100" => p5_uid112_constMult_q <= "00100010100111111110101100010111110000010100";
WHEN "001101" => p5_uid112_constMult_q <= "00100101100000101001010000000100011001101011";
WHEN "001110" => p5_uid112_constMult_q <= "00101000011001010011110011110001000011000010";
WHEN "001111" => p5_uid112_constMult_q <= "00101011010001111110010111011101101100011001";
WHEN "010000" => p5_uid112_constMult_q <= "00101110001010101000111011001010010101110000";
WHEN "010001" => p5_uid112_constMult_q <= "00110001000011010011011110110110111111000111";
WHEN "010010" => p5_uid112_constMult_q <= "00110011111011111110000010100011101000011110";
WHEN "010011" => p5_uid112_constMult_q <= "00110110110100101000100110010000010001110101";
WHEN "010100" => p5_uid112_constMult_q <= "00111001101101010011001001111100111011001100";
WHEN "010101" => p5_uid112_constMult_q <= "00111100100101111101101101101001100100100011";
WHEN "010110" => p5_uid112_constMult_q <= "00111111011110101000010001010110001101111011";
WHEN "010111" => p5_uid112_constMult_q <= "01000010010111010010110101000010110111010010";
WHEN "011000" => p5_uid112_constMult_q <= "01000101001111111101011000101111100000101001";
WHEN "011001" => p5_uid112_constMult_q <= "01001000001000100111111100011100001010000000";
WHEN "011010" => p5_uid112_constMult_q <= "01001011000001010010100000001000110011010111";
WHEN "011011" => p5_uid112_constMult_q <= "01001101111001111101000011110101011100101110";
WHEN "011100" => p5_uid112_constMult_q <= "01010000110010100111100111100010000110000101";
WHEN "011101" => p5_uid112_constMult_q <= "01010011101011010010001011001110101111011100";
WHEN "011110" => p5_uid112_constMult_q <= "01010110100011111100101110111011011000110011";
WHEN "011111" => p5_uid112_constMult_q <= "01011001011100100111010010101000000010001010";
WHEN "100000" => p5_uid112_constMult_q <= "01011100010101010001110110010100101011100001";
WHEN "100001" => p5_uid112_constMult_q <= "01011111001101111100011010000001010100111000";
WHEN "100010" => p5_uid112_constMult_q <= "01100010000110100110111101101101111110001111";
WHEN "100011" => p5_uid112_constMult_q <= "01100100111111010001100001011010100111100110";
WHEN "100100" => p5_uid112_constMult_q <= "01100111110111111100000101000111010000111101";
WHEN "100101" => p5_uid112_constMult_q <= "01101010110000100110101000110011111010010100";
WHEN "100110" => p5_uid112_constMult_q <= "01101101101001010001001100100000100011101011";
WHEN "100111" => p5_uid112_constMult_q <= "01110000100001111011110000001101001101000010";
WHEN "101000" => p5_uid112_constMult_q <= "01110011011010100110010011111001110110011001";
WHEN "101001" => p5_uid112_constMult_q <= "01110110010011010000110111100110011111110000";
WHEN "101010" => p5_uid112_constMult_q <= "01111001001011111011011011010011001001000111";
WHEN "101011" => p5_uid112_constMult_q <= "01111100000100100101111110111111110010011110";
WHEN "101100" => p5_uid112_constMult_q <= "01111110111101010000100010101100011011110101";
WHEN "101101" => p5_uid112_constMult_q <= "10000001110101111011000110011001000101001100";
WHEN "101110" => p5_uid112_constMult_q <= "10000100101110100101101010000101101110100011";
WHEN "101111" => p5_uid112_constMult_q <= "10000111100111010000001101110010010111111010";
WHEN "110000" => p5_uid112_constMult_q <= "10001010011111111010110001011111000001010001";
WHEN "110001" => p5_uid112_constMult_q <= "10001101011000100101010101001011101010101000";
WHEN "110010" => p5_uid112_constMult_q <= "10010000010001001111111000111000010011111111";
WHEN "110011" => p5_uid112_constMult_q <= "10010011001001111010011100100100111101010110";
WHEN "110100" => p5_uid112_constMult_q <= "10010110000010100101000000010001100110101101";
WHEN "110101" => p5_uid112_constMult_q <= "10011000111011001111100011111110010000000100";
WHEN "110110" => p5_uid112_constMult_q <= "10011011110011111010000111101010111001011011";
WHEN "110111" => p5_uid112_constMult_q <= "10011110101100100100101011010111100010110010";
WHEN "111000" => p5_uid112_constMult_q <= "10100001100101001111001111000100001100001001";
WHEN "111001" => p5_uid112_constMult_q <= "10100100011101111001110010110000110101100000";
WHEN "111010" => p5_uid112_constMult_q <= "10100111010110100100010110011101011110110111";
WHEN "111011" => p5_uid112_constMult_q <= "10101010001111001110111010001010001000001110";
WHEN "111100" => p5_uid112_constMult_q <= "10101101000111111001011101110110110001100101";
WHEN "111101" => p5_uid112_constMult_q <= "10110000000000100100000001100011011010111100";
WHEN "111110" => p5_uid112_constMult_q <= "10110010111001001110100101010000000100010011";
WHEN "111111" => p5_uid112_constMult_q <= "10110101110001111001001000111100101101101010";
WHEN OTHERS =>
p5_uid112_constMult_q <= "00000000000000000000000000000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv6_uid106_constMult(BITSELECT,105)@0
xv6_uid106_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(41 downto 0);
xv6_uid106_constMult_b <= xv6_uid106_constMult_in(41 downto 36);
--reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0(REG,344)@0
reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q <= xv6_uid106_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q_to_p6_uid111_constMult_a(DELAY,523)@1
ld_reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q_to_p6_uid111_constMult_a : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q, xout => ld_reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q_to_p6_uid111_constMult_a_q, ena => en(0), clk => clk, aclr => areset );
--p6_uid111_constMult(LOOKUP,110)@2
p6_uid111_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p6_uid111_constMult_q <= "00000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_xv6_uid106_constMult_0_to_p6_uid111_constMult_0_q_to_p6_uid111_constMult_a_q) IS
WHEN "000000" => p6_uid111_constMult_q <= "00000000000000000000000000000000000000000000000000";
WHEN "000001" => p6_uid111_constMult_q <= "00000010111000101010100011101100101001010111000001";
WHEN "000010" => p6_uid111_constMult_q <= "00000101110001010101000111011001010010101110000011";
WHEN "000011" => p6_uid111_constMult_q <= "00001000101001111111101011000101111100000101000100";
WHEN "000100" => p6_uid111_constMult_q <= "00001011100010101010001110110010100101011100000110";
WHEN "000101" => p6_uid111_constMult_q <= "00001110011011010100110010011111001110110011000111";
WHEN "000110" => p6_uid111_constMult_q <= "00010001010011111111010110001011111000001010001001";
WHEN "000111" => p6_uid111_constMult_q <= "00010100001100101001111001111000100001100001001010";
WHEN "001000" => p6_uid111_constMult_q <= "00010111000101010100011101100101001010111000001100";
WHEN "001001" => p6_uid111_constMult_q <= "00011001111101111111000001010001110100001111001101";
WHEN "001010" => p6_uid111_constMult_q <= "00011100110110101001100100111110011101100110001111";
WHEN "001011" => p6_uid111_constMult_q <= "00011111101111010100001000101011000110111101010000";
WHEN "001100" => p6_uid111_constMult_q <= "00100010100111111110101100010111110000010100010010";
WHEN "001101" => p6_uid111_constMult_q <= "00100101100000101001010000000100011001101011010011";
WHEN "001110" => p6_uid111_constMult_q <= "00101000011001010011110011110001000011000010010101";
WHEN "001111" => p6_uid111_constMult_q <= "00101011010001111110010111011101101100011001010110";
WHEN "010000" => p6_uid111_constMult_q <= "00101110001010101000111011001010010101110000011000";
WHEN "010001" => p6_uid111_constMult_q <= "00110001000011010011011110110110111111000111011001";
WHEN "010010" => p6_uid111_constMult_q <= "00110011111011111110000010100011101000011110011011";
WHEN "010011" => p6_uid111_constMult_q <= "00110110110100101000100110010000010001110101011100";
WHEN "010100" => p6_uid111_constMult_q <= "00111001101101010011001001111100111011001100011110";
WHEN "010101" => p6_uid111_constMult_q <= "00111100100101111101101101101001100100100011011111";
WHEN "010110" => p6_uid111_constMult_q <= "00111111011110101000010001010110001101111010100001";
WHEN "010111" => p6_uid111_constMult_q <= "01000010010111010010110101000010110111010001100010";
WHEN "011000" => p6_uid111_constMult_q <= "01000101001111111101011000101111100000101000100100";
WHEN "011001" => p6_uid111_constMult_q <= "01001000001000100111111100011100001001111111100101";
WHEN "011010" => p6_uid111_constMult_q <= "01001011000001010010100000001000110011010110100111";
WHEN "011011" => p6_uid111_constMult_q <= "01001101111001111101000011110101011100101101101000";
WHEN "011100" => p6_uid111_constMult_q <= "01010000110010100111100111100010000110000100101010";
WHEN "011101" => p6_uid111_constMult_q <= "01010011101011010010001011001110101111011011101011";
WHEN "011110" => p6_uid111_constMult_q <= "01010110100011111100101110111011011000110010101101";
WHEN "011111" => p6_uid111_constMult_q <= "01011001011100100111010010101000000010001001101110";
WHEN "100000" => p6_uid111_constMult_q <= "01011100010101010001110110010100101011100000110000";
WHEN "100001" => p6_uid111_constMult_q <= "01011111001101111100011010000001010100110111110001";
WHEN "100010" => p6_uid111_constMult_q <= "01100010000110100110111101101101111110001110110011";
WHEN "100011" => p6_uid111_constMult_q <= "01100100111111010001100001011010100111100101110100";
WHEN "100100" => p6_uid111_constMult_q <= "01100111110111111100000101000111010000111100110110";
WHEN "100101" => p6_uid111_constMult_q <= "01101010110000100110101000110011111010010011110111";
WHEN "100110" => p6_uid111_constMult_q <= "01101101101001010001001100100000100011101010111001";
WHEN "100111" => p6_uid111_constMult_q <= "01110000100001111011110000001101001101000001111010";
WHEN "101000" => p6_uid111_constMult_q <= "01110011011010100110010011111001110110011000111100";
WHEN "101001" => p6_uid111_constMult_q <= "01110110010011010000110111100110011111101111111101";
WHEN "101010" => p6_uid111_constMult_q <= "01111001001011111011011011010011001001000110111111";
WHEN "101011" => p6_uid111_constMult_q <= "01111100000100100101111110111111110010011110000000";
WHEN "101100" => p6_uid111_constMult_q <= "01111110111101010000100010101100011011110101000010";
WHEN "101101" => p6_uid111_constMult_q <= "10000001110101111011000110011001000101001100000011";
WHEN "101110" => p6_uid111_constMult_q <= "10000100101110100101101010000101101110100011000101";
WHEN "101111" => p6_uid111_constMult_q <= "10000111100111010000001101110010010111111010000110";
WHEN "110000" => p6_uid111_constMult_q <= "10001010011111111010110001011111000001010001001000";
WHEN "110001" => p6_uid111_constMult_q <= "10001101011000100101010101001011101010101000001001";
WHEN "110010" => p6_uid111_constMult_q <= "10010000010001001111111000111000010011111111001011";
WHEN "110011" => p6_uid111_constMult_q <= "10010011001001111010011100100100111101010110001100";
WHEN "110100" => p6_uid111_constMult_q <= "10010110000010100101000000010001100110101101001110";
WHEN "110101" => p6_uid111_constMult_q <= "10011000111011001111100011111110010000000100001111";
WHEN "110110" => p6_uid111_constMult_q <= "10011011110011111010000111101010111001011011010001";
WHEN "110111" => p6_uid111_constMult_q <= "10011110101100100100101011010111100010110010010010";
WHEN "111000" => p6_uid111_constMult_q <= "10100001100101001111001111000100001100001001010100";
WHEN "111001" => p6_uid111_constMult_q <= "10100100011101111001110010110000110101100000010101";
WHEN "111010" => p6_uid111_constMult_q <= "10100111010110100100010110011101011110110111010111";
WHEN "111011" => p6_uid111_constMult_q <= "10101010001111001110111010001010001000001110011000";
WHEN "111100" => p6_uid111_constMult_q <= "10101101000111111001011101110110110001100101011010";
WHEN "111101" => p6_uid111_constMult_q <= "10110000000000100100000001100011011010111100011011";
WHEN "111110" => p6_uid111_constMult_q <= "10110010111001001110100101010000000100010011011101";
WHEN "111111" => p6_uid111_constMult_q <= "10110101110001111001001000111100101101101010011110";
WHEN OTHERS =>
p6_uid111_constMult_q <= "00000000000000000000000000000000000000000000000000";
END CASE;
END IF;
END IF;
END PROCESS;
--lev1_a1_uid119_constMult(ADD,118)@3
lev1_a1_uid119_constMult_a <= STD_LOGIC_VECTOR("0" & p6_uid111_constMult_q);
lev1_a1_uid119_constMult_b <= STD_LOGIC_VECTOR("0000000" & p5_uid112_constMult_q);
lev1_a1_uid119_constMult_o <= STD_LOGIC_VECTOR(UNSIGNED(lev1_a1_uid119_constMult_a) + UNSIGNED(lev1_a1_uid119_constMult_b));
lev1_a1_uid119_constMult_q <= lev1_a1_uid119_constMult_o(50 downto 0);
--xv7_uid107_constMult(BITSELECT,106)@0
xv7_uid107_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b(47 downto 0);
xv7_uid107_constMult_b <= xv7_uid107_constMult_in(47 downto 42);
--reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0(REG,343)@0
reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q <= xv7_uid107_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q_to_p7_uid110_constMult_a(DELAY,522)@1
ld_reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q_to_p7_uid110_constMult_a : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q, xout => ld_reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q_to_p7_uid110_constMult_a_q, ena => en(0), clk => clk, aclr => areset );
--p7_uid110_constMult(LOOKUP,109)@2
p7_uid110_constMult: PROCESS (ld_reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q_to_p7_uid110_constMult_a_q)
BEGIN
-- Begin reserved scope level
CASE (ld_reg_xv7_uid107_constMult_0_to_p7_uid110_constMult_0_q_to_p7_uid110_constMult_a_q) IS
WHEN "000000" => p7_uid110_constMult_q <= "00000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p7_uid110_constMult_q <= "00000010111000101010100011101100101001010111000001100000";
WHEN "000010" => p7_uid110_constMult_q <= "00000101110001010101000111011001010010101110000011000000";
WHEN "000011" => p7_uid110_constMult_q <= "00001000101001111111101011000101111100000101000100011111";
WHEN "000100" => p7_uid110_constMult_q <= "00001011100010101010001110110010100101011100000101111111";
WHEN "000101" => p7_uid110_constMult_q <= "00001110011011010100110010011111001110110011000111011111";
WHEN "000110" => p7_uid110_constMult_q <= "00010001010011111111010110001011111000001010001000111111";
WHEN "000111" => p7_uid110_constMult_q <= "00010100001100101001111001111000100001100001001010011110";
WHEN "001000" => p7_uid110_constMult_q <= "00010111000101010100011101100101001010111000001011111110";
WHEN "001001" => p7_uid110_constMult_q <= "00011001111101111111000001010001110100001111001101011110";
WHEN "001010" => p7_uid110_constMult_q <= "00011100110110101001100100111110011101100110001110111110";
WHEN "001011" => p7_uid110_constMult_q <= "00011111101111010100001000101011000110111101010000011101";
WHEN "001100" => p7_uid110_constMult_q <= "00100010100111111110101100010111110000010100010001111101";
WHEN "001101" => p7_uid110_constMult_q <= "00100101100000101001010000000100011001101011010011011101";
WHEN "001110" => p7_uid110_constMult_q <= "00101000011001010011110011110001000011000010010100111101";
WHEN "001111" => p7_uid110_constMult_q <= "00101011010001111110010111011101101100011001010110011100";
WHEN "010000" => p7_uid110_constMult_q <= "00101110001010101000111011001010010101110000010111111100";
WHEN "010001" => p7_uid110_constMult_q <= "00110001000011010011011110110110111111000111011001011100";
WHEN "010010" => p7_uid110_constMult_q <= "00110011111011111110000010100011101000011110011010111100";
WHEN "010011" => p7_uid110_constMult_q <= "00110110110100101000100110010000010001110101011100011011";
WHEN "010100" => p7_uid110_constMult_q <= "00111001101101010011001001111100111011001100011101111011";
WHEN "010101" => p7_uid110_constMult_q <= "00111100100101111101101101101001100100100011011111011011";
WHEN "010110" => p7_uid110_constMult_q <= "00111111011110101000010001010110001101111010100000111011";
WHEN "010111" => p7_uid110_constMult_q <= "01000010010111010010110101000010110111010001100010011011";
WHEN "011000" => p7_uid110_constMult_q <= "01000101001111111101011000101111100000101000100011111010";
WHEN "011001" => p7_uid110_constMult_q <= "01001000001000100111111100011100001001111111100101011010";
WHEN "011010" => p7_uid110_constMult_q <= "01001011000001010010100000001000110011010110100110111010";
WHEN "011011" => p7_uid110_constMult_q <= "01001101111001111101000011110101011100101101101000011010";
WHEN "011100" => p7_uid110_constMult_q <= "01010000110010100111100111100010000110000100101001111001";
WHEN "011101" => p7_uid110_constMult_q <= "01010011101011010010001011001110101111011011101011011001";
WHEN "011110" => p7_uid110_constMult_q <= "01010110100011111100101110111011011000110010101100111001";
WHEN "011111" => p7_uid110_constMult_q <= "01011001011100100111010010101000000010001001101110011001";
WHEN "100000" => p7_uid110_constMult_q <= "01011100010101010001110110010100101011100000101111111000";
WHEN "100001" => p7_uid110_constMult_q <= "01011111001101111100011010000001010100110111110001011000";
WHEN "100010" => p7_uid110_constMult_q <= "01100010000110100110111101101101111110001110110010111000";
WHEN "100011" => p7_uid110_constMult_q <= "01100100111111010001100001011010100111100101110100011000";
WHEN "100100" => p7_uid110_constMult_q <= "01100111110111111100000101000111010000111100110101110111";
WHEN "100101" => p7_uid110_constMult_q <= "01101010110000100110101000110011111010010011110111010111";
WHEN "100110" => p7_uid110_constMult_q <= "01101101101001010001001100100000100011101010111000110111";
WHEN "100111" => p7_uid110_constMult_q <= "01110000100001111011110000001101001101000001111010010111";
WHEN "101000" => p7_uid110_constMult_q <= "01110011011010100110010011111001110110011000111011110110";
WHEN "101001" => p7_uid110_constMult_q <= "01110110010011010000110111100110011111101111111101010110";
WHEN "101010" => p7_uid110_constMult_q <= "01111001001011111011011011010011001001000110111110110110";
WHEN "101011" => p7_uid110_constMult_q <= "01111100000100100101111110111111110010011110000000010110";
WHEN "101100" => p7_uid110_constMult_q <= "01111110111101010000100010101100011011110101000001110110";
WHEN "101101" => p7_uid110_constMult_q <= "10000001110101111011000110011001000101001100000011010101";
WHEN "101110" => p7_uid110_constMult_q <= "10000100101110100101101010000101101110100011000100110101";
WHEN "101111" => p7_uid110_constMult_q <= "10000111100111010000001101110010010111111010000110010101";
WHEN "110000" => p7_uid110_constMult_q <= "10001010011111111010110001011111000001010001000111110101";
WHEN "110001" => p7_uid110_constMult_q <= "10001101011000100101010101001011101010101000001001010100";
WHEN "110010" => p7_uid110_constMult_q <= "10010000010001001111111000111000010011111111001010110100";
WHEN "110011" => p7_uid110_constMult_q <= "10010011001001111010011100100100111101010110001100010100";
WHEN "110100" => p7_uid110_constMult_q <= "10010110000010100101000000010001100110101101001101110100";
WHEN "110101" => p7_uid110_constMult_q <= "10011000111011001111100011111110010000000100001111010011";
WHEN "110110" => p7_uid110_constMult_q <= "10011011110011111010000111101010111001011011010000110011";
WHEN "110111" => p7_uid110_constMult_q <= "10011110101100100100101011010111100010110010010010010011";
WHEN "111000" => p7_uid110_constMult_q <= "10100001100101001111001111000100001100001001010011110011";
WHEN "111001" => p7_uid110_constMult_q <= "10100100011101111001110010110000110101100000010101010010";
WHEN "111010" => p7_uid110_constMult_q <= "10100111010110100100010110011101011110110111010110110010";
WHEN "111011" => p7_uid110_constMult_q <= "10101010001111001110111010001010001000001110011000010010";
WHEN "111100" => p7_uid110_constMult_q <= "10101101000111111001011101110110110001100101011001110010";
WHEN "111101" => p7_uid110_constMult_q <= "10110000000000100100000001100011011010111100011011010001";
WHEN "111110" => p7_uid110_constMult_q <= "10110010111001001110100101010000000100010011011100110001";
WHEN "111111" => p7_uid110_constMult_q <= "10110101110001111001001000111100101101101010011110010001";
WHEN OTHERS =>
p7_uid110_constMult_q <= "00000000000000000000000000000000000000000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv8_uid108_constMult(BITSELECT,107)@0
xv8_uid108_constMult_in <= fxpInPreAlign_uid36_fpExpETest_b;
xv8_uid108_constMult_b <= xv8_uid108_constMult_in(53 downto 48);
--reg_xv8_uid108_constMult_0_to_p8_uid109_constMult_0(REG,342)@0
reg_xv8_uid108_constMult_0_to_p8_uid109_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv8_uid108_constMult_0_to_p8_uid109_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv8_uid108_constMult_0_to_p8_uid109_constMult_0_q <= xv8_uid108_constMult_b;
END IF;
END IF;
END PROCESS;
--p8_uid109_constMult(LOOKUP,108)@1
p8_uid109_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p8_uid109_constMult_q <= "00000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_xv8_uid108_constMult_0_to_p8_uid109_constMult_0_q) IS
WHEN "000000" => p8_uid109_constMult_q <= "00000000000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p8_uid109_constMult_q <= "00000010111000101010100011101100101001010111000001011111110001";
WHEN "000010" => p8_uid109_constMult_q <= "00000101110001010101000111011001010010101110000010111111100010";
WHEN "000011" => p8_uid109_constMult_q <= "00001000101001111111101011000101111100000101000100011111010010";
WHEN "000100" => p8_uid109_constMult_q <= "00001011100010101010001110110010100101011100000101111111000011";
WHEN "000101" => p8_uid109_constMult_q <= "00001110011011010100110010011111001110110011000111011110110100";
WHEN "000110" => p8_uid109_constMult_q <= "00010001010011111111010110001011111000001010001000111110100100";
WHEN "000111" => p8_uid109_constMult_q <= "00010100001100101001111001111000100001100001001010011110010101";
WHEN "001000" => p8_uid109_constMult_q <= "00010111000101010100011101100101001010111000001011111110000110";
WHEN "001001" => p8_uid109_constMult_q <= "00011001111101111111000001010001110100001111001101011101110111";
WHEN "001010" => p8_uid109_constMult_q <= "00011100110110101001100100111110011101100110001110111101101000";
WHEN "001011" => p8_uid109_constMult_q <= "00011111101111010100001000101011000110111101010000011101011000";
WHEN "001100" => p8_uid109_constMult_q <= "00100010100111111110101100010111110000010100010001111101001001";
WHEN "001101" => p8_uid109_constMult_q <= "00100101100000101001010000000100011001101011010011011100111010";
WHEN "001110" => p8_uid109_constMult_q <= "00101000011001010011110011110001000011000010010100111100101010";
WHEN "001111" => p8_uid109_constMult_q <= "00101011010001111110010111011101101100011001010110011100011011";
WHEN "010000" => p8_uid109_constMult_q <= "00101110001010101000111011001010010101110000010111111100001100";
WHEN "010001" => p8_uid109_constMult_q <= "00110001000011010011011110110110111111000111011001011011111101";
WHEN "010010" => p8_uid109_constMult_q <= "00110011111011111110000010100011101000011110011010111011101110";
WHEN "010011" => p8_uid109_constMult_q <= "00110110110100101000100110010000010001110101011100011011011110";
WHEN "010100" => p8_uid109_constMult_q <= "00111001101101010011001001111100111011001100011101111011001111";
WHEN "010101" => p8_uid109_constMult_q <= "00111100100101111101101101101001100100100011011111011011000000";
WHEN "010110" => p8_uid109_constMult_q <= "00111111011110101000010001010110001101111010100000111010110000";
WHEN "010111" => p8_uid109_constMult_q <= "01000010010111010010110101000010110111010001100010011010100001";
WHEN "011000" => p8_uid109_constMult_q <= "01000101001111111101011000101111100000101000100011111010010010";
WHEN "011001" => p8_uid109_constMult_q <= "01001000001000100111111100011100001001111111100101011010000011";
WHEN "011010" => p8_uid109_constMult_q <= "01001011000001010010100000001000110011010110100110111001110100";
WHEN "011011" => p8_uid109_constMult_q <= "01001101111001111101000011110101011100101101101000011001100100";
WHEN "011100" => p8_uid109_constMult_q <= "01010000110010100111100111100010000110000100101001111001010101";
WHEN "011101" => p8_uid109_constMult_q <= "01010011101011010010001011001110101111011011101011011001000110";
WHEN "011110" => p8_uid109_constMult_q <= "01010110100011111100101110111011011000110010101100111000110110";
WHEN "011111" => p8_uid109_constMult_q <= "01011001011100100111010010101000000010001001101110011000100111";
WHEN "100000" => p8_uid109_constMult_q <= "10100011101010101110001001101011010100011111010000000111101000";
WHEN "100001" => p8_uid109_constMult_q <= "10100110100011011000101101010111111101110110010001100111011001";
WHEN "100010" => p8_uid109_constMult_q <= "10101001011100000011010001000100100111001101010011000111001010";
WHEN "100011" => p8_uid109_constMult_q <= "10101100010100101101110100110001010000100100010100100110111010";
WHEN "100100" => p8_uid109_constMult_q <= "10101111001101011000011000011101111001111011010110000110101011";
WHEN "100101" => p8_uid109_constMult_q <= "10110010000110000010111100001010100011010010010111100110011100";
WHEN "100110" => p8_uid109_constMult_q <= "10110100111110101101011111110111001100101001011001000110001100";
WHEN "100111" => p8_uid109_constMult_q <= "10110111110111011000000011100011110110000000011010100101111101";
WHEN "101000" => p8_uid109_constMult_q <= "10111010110000000010100111010000011111010111011100000101101110";
WHEN "101001" => p8_uid109_constMult_q <= "10111101101000101101001010111101001000101110011101100101011111";
WHEN "101010" => p8_uid109_constMult_q <= "11000000100001010111101110101001110010000101011111000101010000";
WHEN "101011" => p8_uid109_constMult_q <= "11000011011010000010010010010110011011011100100000100101000000";
WHEN "101100" => p8_uid109_constMult_q <= "11000110010010101100110110000011000100110011100010000100110001";
WHEN "101101" => p8_uid109_constMult_q <= "11001001001011010111011001101111101110001010100011100100100010";
WHEN "101110" => p8_uid109_constMult_q <= "11001100000100000001111101011100010111100001100101000100010010";
WHEN "101111" => p8_uid109_constMult_q <= "11001110111100101100100001001001000000111000100110100100000011";
WHEN "110000" => p8_uid109_constMult_q <= "11010001110101010111000100110101101010001111101000000011110100";
WHEN "110001" => p8_uid109_constMult_q <= "11010100101110000001101000100010010011100110101001100011100101";
WHEN "110010" => p8_uid109_constMult_q <= "11010111100110101100001100001110111100111101101011000011010110";
WHEN "110011" => p8_uid109_constMult_q <= "11011010011111010110101111111011100110010100101100100011000110";
WHEN "110100" => p8_uid109_constMult_q <= "11011101011000000001010011101000001111101011101110000010110111";
WHEN "110101" => p8_uid109_constMult_q <= "11100000010000101011110111010100111001000010101111100010101000";
WHEN "110110" => p8_uid109_constMult_q <= "11100011001001010110011011000001100010011001110001000010011000";
WHEN "110111" => p8_uid109_constMult_q <= "11100110000010000000111110101110001011110000110010100010001001";
WHEN "111000" => p8_uid109_constMult_q <= "11101000111010101011100010011010110101000111110100000001111010";
WHEN "111001" => p8_uid109_constMult_q <= "11101011110011010110000110000111011110011110110101100001101011";
WHEN "111010" => p8_uid109_constMult_q <= "11101110101100000000101001110100000111110101110111000001011100";
WHEN "111011" => p8_uid109_constMult_q <= "11110001100100101011001101100000110001001100111000100001001100";
WHEN "111100" => p8_uid109_constMult_q <= "11110100011101010101110001001101011010100011111010000000111101";
WHEN "111101" => p8_uid109_constMult_q <= "11110111010110000000010100111010000011111010111011100000101110";
WHEN "111110" => p8_uid109_constMult_q <= "11111010001110101010111000100110101101010001111101000000011110";
WHEN "111111" => p8_uid109_constMult_q <= "11111101000111010101011100010011010110101000111110100000001111";
WHEN OTHERS =>
p8_uid109_constMult_q <= "00000000000000000000000000000000000000000000000000000000000000";
END CASE;
END IF;
END IF;
END PROCESS;
--lev1_a0_uid118_constMult(ADD,117)@2
lev1_a0_uid118_constMult_a <= STD_LOGIC_VECTOR((63 downto 62 => p8_uid109_constMult_q(61)) & p8_uid109_constMult_q);
lev1_a0_uid118_constMult_b <= STD_LOGIC_VECTOR('0' & "0000000" & p7_uid110_constMult_q);
lev1_a0_uid118_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
lev1_a0_uid118_constMult_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
lev1_a0_uid118_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev1_a0_uid118_constMult_a) + SIGNED(lev1_a0_uid118_constMult_b));
END IF;
END IF;
END PROCESS;
lev1_a0_uid118_constMult_q <= lev1_a0_uid118_constMult_o(62 downto 0);
--lev2_a0_uid122_constMult(ADD,121)@3
lev2_a0_uid122_constMult_a <= STD_LOGIC_VECTOR((64 downto 63 => lev1_a0_uid118_constMult_q(62)) & lev1_a0_uid118_constMult_q);
lev2_a0_uid122_constMult_b <= STD_LOGIC_VECTOR('0' & "0000000000000" & lev1_a1_uid119_constMult_q);
lev2_a0_uid122_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
lev2_a0_uid122_constMult_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
lev2_a0_uid122_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev2_a0_uid122_constMult_a) + SIGNED(lev2_a0_uid122_constMult_b));
END IF;
END IF;
END PROCESS;
lev2_a0_uid122_constMult_q <= lev2_a0_uid122_constMult_o(63 downto 0);
--lev3_a0_uid124_constMult(ADD,123)@4
lev3_a0_uid124_constMult_a <= STD_LOGIC_VECTOR((65 downto 64 => lev2_a0_uid122_constMult_q(63)) & lev2_a0_uid122_constMult_q);
lev3_a0_uid124_constMult_b <= STD_LOGIC_VECTOR('0' & "0000000000000000000000000" & lev2_a1_uid123_constMult_q);
lev3_a0_uid124_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
lev3_a0_uid124_constMult_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
lev3_a0_uid124_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev3_a0_uid124_constMult_a) + SIGNED(lev3_a0_uid124_constMult_b));
END IF;
END IF;
END PROCESS;
lev3_a0_uid124_constMult_q <= lev3_a0_uid124_constMult_o(64 downto 0);
--lev4_a0_uid125_constMult(ADD,124)@5
lev4_a0_uid125_constMult_a <= STD_LOGIC_VECTOR((66 downto 65 => lev3_a0_uid124_constMult_q(64)) & lev3_a0_uid124_constMult_q);
lev4_a0_uid125_constMult_b <= STD_LOGIC_VECTOR('0' & "0000000000000000000000000000000000000000000000000000" & p0_uid117_constMult_q);
lev4_a0_uid125_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev4_a0_uid125_constMult_a) + SIGNED(lev4_a0_uid125_constMult_b));
lev4_a0_uid125_constMult_q <= lev4_a0_uid125_constMult_o(65 downto 0);
--sR_uid126_constMult(BITSELECT,125)@5
sR_uid126_constMult_in <= lev4_a0_uid125_constMult_q(61 downto 0);
sR_uid126_constMult_b <= sR_uid126_constMult_in(61 downto 4);
--oFracXZwE_uid39_fpExpETest(BITJOIN,38)@5
oFracXZwE_uid39_fpExpETest_q <= sR_uid126_constMult_b & cstZeroWE_uid11_fpExpETest_q;
--msbx_uid128_fxpInPostAlign_uid45_fpExpETest(BITSELECT,127)@5
msbx_uid128_fxpInPostAlign_uid45_fpExpETest_in <= oFracXZwE_uid39_fpExpETest_q;
msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b <= msbx_uid128_fxpInPostAlign_uid45_fpExpETest_in(68 downto 68);
--ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b(DELAY,581)@5
ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest(LOGICAL,169)@7
rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_a <= GND_q;
rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_b <= ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q;
rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage268dto1_uid171_fxpInPostAlign_uid45_fpExpETest(BITSELECT,170)@8
RightShiftStage268dto1_uid171_fxpInPostAlign_uid45_fpExpETest_in <= rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q;
RightShiftStage268dto1_uid171_fxpInPostAlign_uid45_fpExpETest_b <= RightShiftStage268dto1_uid171_fxpInPostAlign_uid45_fpExpETest_in(68 downto 1);
--rightShiftStage3Idx1_uid172_fxpInPostAlign_uid45_fpExpETest(BITJOIN,171)@8
rightShiftStage3Idx1_uid172_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage3Idx1Pad1_uid170_fxpInPostAlign_uid45_fpExpETest_q & RightShiftStage268dto1_uid171_fxpInPostAlign_uid45_fpExpETest_b;
--z_uid163_fxpInPostAlign_uid45_fpExpETest(CONSTANT,162)
z_uid163_fxpInPostAlign_uid45_fpExpETest_q <= "000000";
--rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest(LOGICAL,163)@7
rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_a <= z_uid163_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((5 downto 1 => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q(0)) & ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q);
rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 6, depth => 1)
PORT MAP (xout => rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b(DELAY,563)@5
ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--z_uid149_fxpInPostAlign_uid45_fpExpETest(CONSTANT,148)
z_uid149_fxpInPostAlign_uid45_fpExpETest_q <= "000000000000000000000000";
--rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest(LOGICAL,149)@6
rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_a <= z_uid149_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((23 downto 1 => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q(0)) & ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q);
rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 24, depth => 1)
PORT MAP (xout => rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--rightShiftStage0Idx3_uid137_fxpInPostAlign_uid45_fpExpETest(CONSTANT,136)
rightShiftStage0Idx3_uid137_fxpInPostAlign_uid45_fpExpETest_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
--rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest(LOGICAL,137)@5
rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_a <= rightShiftStage0Idx3_uid137_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((68 downto 1 => msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b(0)) & msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b);
rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 69, depth => 1)
PORT MAP (xout => rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--z_uid133_fxpInPostAlign_uid45_fpExpETest(CONSTANT,132)
z_uid133_fxpInPostAlign_uid45_fpExpETest_q <= "0000000000000000000000000000000000000000000000000000000000000000";
--rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest(LOGICAL,133)@5
rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_a <= z_uid133_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((63 downto 1 => msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b(0)) & msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b);
rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_b;
--X68dto64_uid135_fxpInPostAlign_uid45_fpExpETest(BITSELECT,134)@5
X68dto64_uid135_fxpInPostAlign_uid45_fpExpETest_in <= oFracXZwE_uid39_fpExpETest_q;
X68dto64_uid135_fxpInPostAlign_uid45_fpExpETest_b <= X68dto64_uid135_fxpInPostAlign_uid45_fpExpETest_in(68 downto 64);
--rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest(BITJOIN,135)@5
rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage0Idx2Pad64_uid134_fxpInPostAlign_uid45_fpExpETest_q & X68dto64_uid135_fxpInPostAlign_uid45_fpExpETest_b;
--reg_rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_4(REG,354)@5
reg_rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_4_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_4_q <= rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--z_uid129_fxpInPostAlign_uid45_fpExpETest(CONSTANT,128)
z_uid129_fxpInPostAlign_uid45_fpExpETest_q <= "00000000000000000000000000000000";
--rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest(LOGICAL,129)@5
rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_a <= z_uid129_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((31 downto 1 => msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b(0)) & msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b);
rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_b;
--X68dto32_uid131_fxpInPostAlign_uid45_fpExpETest(BITSELECT,130)@5
X68dto32_uid131_fxpInPostAlign_uid45_fpExpETest_in <= oFracXZwE_uid39_fpExpETest_q;
X68dto32_uid131_fxpInPostAlign_uid45_fpExpETest_b <= X68dto32_uid131_fxpInPostAlign_uid45_fpExpETest_in(68 downto 32);
--rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest(BITJOIN,131)@5
rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage0Idx1Pad32_uid130_fxpInPostAlign_uid45_fpExpETest_q & X68dto32_uid131_fxpInPostAlign_uid45_fpExpETest_b;
--reg_rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_3(REG,353)@5
reg_rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_3_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_3_q <= rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--reg_oFracXZwE_uid39_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_2(REG,352)@5
reg_oFracXZwE_uid39_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oFracXZwE_uid39_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oFracXZwE_uid39_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_2_q <= oFracXZwE_uid39_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--cstBiasPWE_uid14_fpExpETest(CONSTANT,13)
cstBiasPWE_uid14_fpExpETest_q <= "1000001";
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable(LOGICAL,884)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_a <= en;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q <= not ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_a;
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor(LOGICAL,885)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_b <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena_q;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_q <= not (ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_a or ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_b);
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_mem_top(CONSTANT,881)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_mem_top_q <= "010";
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp(LOGICAL,882)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_mem_top_q;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_q);
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_q <= "1" when ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_a = ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_b else "0";
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmpReg(REG,883)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmpReg_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena(REG,886)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_nor_q = "1") THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd(LOGICAL,887)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_sticky_ena_q;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_b <= en;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_a and ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_b;
--expX_uid6_fpExpETest(BITSELECT,5)@0
expX_uid6_fpExpETest_in <= a(62 downto 0);
expX_uid6_fpExpETest_b <= expX_uid6_fpExpETest_in(62 downto 52);
--cstBiasPWE_uid13_fpExpETest(CONSTANT,12)
cstBiasPWE_uid13_fpExpETest_q <= "10000001010";
--shiftValuePreSat_uid40_fpExpETest(SUB,39)@0
shiftValuePreSat_uid40_fpExpETest_a <= STD_LOGIC_VECTOR("0" & cstBiasPWE_uid13_fpExpETest_q);
shiftValuePreSat_uid40_fpExpETest_b <= STD_LOGIC_VECTOR("0" & expX_uid6_fpExpETest_b);
shiftValuePreSat_uid40_fpExpETest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftValuePreSat_uid40_fpExpETest_a) - UNSIGNED(shiftValuePreSat_uid40_fpExpETest_b));
shiftValuePreSat_uid40_fpExpETest_q <= shiftValuePreSat_uid40_fpExpETest_o(11 downto 0);
--shiftValuePreSatRed_uid43_fpExpETest(BITSELECT,42)@0
shiftValuePreSatRed_uid43_fpExpETest_in <= shiftValuePreSat_uid40_fpExpETest_q(6 downto 0);
shiftValuePreSatRed_uid43_fpExpETest_b <= shiftValuePreSatRed_uid43_fpExpETest_in(6 downto 0);
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_inputreg(DELAY,875)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_inputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => shiftValuePreSatRed_uid43_fpExpETest_b, xout => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt(COUNTER,877)
-- every=1, low=0, high=2, step=1, init=1
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i <= TO_UNSIGNED(1,2);
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i = 1 THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_eq <= '1';
ELSE
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_eq <= '0';
END IF;
IF (ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_eq = '1') THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i - 2;
ELSE
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_i,2));
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg(REG,878)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux(MUX,879)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_s <= en;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux: PROCESS (ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_s, ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg_q, ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_q)
BEGIN
CASE ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_s IS
WHEN "0" => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg_q;
WHEN "1" => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdcnt_q;
WHEN OTHERS => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem(DUALMEM,876)
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_ia <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_inputreg_q;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_aa <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdreg_q;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_ab <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_rdmux_q;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 2,
numwords_a => 3,
width_b => 7,
widthad_b => 2,
numwords_b => 3,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_iq,
address_a => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_aa,
data_a => ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_ia
);
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_reset0 <= areset;
ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_iq(6 downto 0);
--reg_shiftValuePreSat_uid40_fpExpETest_0_to_shiftUdf_uid42_fpExpETest_0(REG,341)@0
reg_shiftValuePreSat_uid40_fpExpETest_0_to_shiftUdf_uid42_fpExpETest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_shiftValuePreSat_uid40_fpExpETest_0_to_shiftUdf_uid42_fpExpETest_0_q <= "000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_shiftValuePreSat_uid40_fpExpETest_0_to_shiftUdf_uid42_fpExpETest_0_q <= shiftValuePreSat_uid40_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--shiftUdf_uid42_fpExpETest(COMPARE,41)@1
shiftUdf_uid42_fpExpETest_cin <= GND_q;
shiftUdf_uid42_fpExpETest_a <= STD_LOGIC_VECTOR((13 downto 12 => reg_shiftValuePreSat_uid40_fpExpETest_0_to_shiftUdf_uid42_fpExpETest_0_q(11)) & reg_shiftValuePreSat_uid40_fpExpETest_0_to_shiftUdf_uid42_fpExpETest_0_q) & '0';
shiftUdf_uid42_fpExpETest_b <= STD_LOGIC_VECTOR('0' & "000000" & cstBiasPWE_uid14_fpExpETest_q) & shiftUdf_uid42_fpExpETest_cin(0);
shiftUdf_uid42_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(shiftUdf_uid42_fpExpETest_a) - SIGNED(shiftUdf_uid42_fpExpETest_b));
shiftUdf_uid42_fpExpETest_n(0) <= not shiftUdf_uid42_fpExpETest_o(14);
--ld_shiftUdf_uid42_fpExpETest_n_to_shiftVal_uid44_fpExpETest_b(DELAY,451)@1
ld_shiftUdf_uid42_fpExpETest_n_to_shiftVal_uid44_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => shiftUdf_uid42_fpExpETest_n, xout => ld_shiftUdf_uid42_fpExpETest_n_to_shiftVal_uid44_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--shiftVal_uid44_fpExpETest(MUX,43)@5
shiftVal_uid44_fpExpETest_s <= ld_shiftUdf_uid42_fpExpETest_n_to_shiftVal_uid44_fpExpETest_b_q;
shiftVal_uid44_fpExpETest: PROCESS (shiftVal_uid44_fpExpETest_s, en, ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_q, cstBiasPWE_uid14_fpExpETest_q)
BEGIN
CASE shiftVal_uid44_fpExpETest_s IS
WHEN "0" => shiftVal_uid44_fpExpETest_q <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_replace_mem_q;
WHEN "1" => shiftVal_uid44_fpExpETest_q <= cstBiasPWE_uid14_fpExpETest_q;
WHEN OTHERS => shiftVal_uid44_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest(BITSELECT,138)@5
rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_in <= shiftVal_uid44_fpExpETest_q;
rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_b <= rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_in(6 downto 5);
--reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_1(REG,351)@5
reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_1_q <= rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest(MUX,139)@6
rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_s <= reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_1_q;
rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest: PROCESS (rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_s, en, reg_oFracXZwE_uid39_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_2_q, reg_rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_3_q, reg_rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_4_q, rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_q)
BEGIN
CASE rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_s IS
WHEN "00" => rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q <= reg_oFracXZwE_uid39_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_2_q;
WHEN "01" => rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q <= reg_rightShiftStage0Idx1_uid132_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_3_q;
WHEN "10" => rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q <= reg_rightShiftStage0Idx2_uid136_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_4_q;
WHEN "11" => rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage0Idx3_uid138_fxpInPostAlign_uid45_fpExpETest_q;
WHEN OTHERS => rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest(BITSELECT,150)@6
RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_in <= rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q;
RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b <= RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_in(68 downto 24);
--ld_RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_a(DELAY,573)@6
ld_RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 45, depth => 1 )
PORT MAP ( xin => RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest(BITJOIN,151)@7
rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage1Idx3Pad24_uid150_fxpInPostAlign_uid45_fpExpETest_q & ld_RightShiftStage068dto24_uid151_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_a_q;
--z_uid145_fxpInPostAlign_uid45_fpExpETest(CONSTANT,144)
z_uid145_fxpInPostAlign_uid45_fpExpETest_q <= "0000000000000000";
--rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest(LOGICAL,145)@6
rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_a <= z_uid145_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((15 downto 1 => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q(0)) & ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q);
rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 16, depth => 1)
PORT MAP (xout => rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest(BITSELECT,146)@6
RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_in <= rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q;
RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b <= RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_in(68 downto 16);
--ld_RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_a(DELAY,569)@6
ld_RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 53, depth => 1 )
PORT MAP ( xin => RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest(BITJOIN,147)@7
rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage1Idx2Pad16_uid146_fxpInPostAlign_uid45_fpExpETest_q & ld_RightShiftStage068dto16_uid147_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_a_q;
--z_uid141_fxpInPostAlign_uid45_fpExpETest(CONSTANT,140)
z_uid141_fxpInPostAlign_uid45_fpExpETest_q <= "00000000";
--rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest(LOGICAL,141)@6
rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_a <= z_uid141_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((7 downto 1 => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q(0)) & ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b_q);
rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 8, depth => 1)
PORT MAP (xout => rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest(BITSELECT,142)@6
RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_in <= rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q;
RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b <= RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_in(68 downto 8);
--ld_RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_a(DELAY,565)@6
ld_RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 61, depth => 1 )
PORT MAP ( xin => RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest(BITJOIN,143)@7
rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage1Idx1Pad8_uid142_fxpInPostAlign_uid45_fpExpETest_q & ld_RightShiftStage068dto8_uid143_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_a_q;
--reg_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_2(REG,356)@6
reg_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_2_q <= rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest(BITSELECT,152)@5
rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_in <= shiftVal_uid44_fpExpETest_q(4 downto 0);
rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b <= rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_in(4 downto 3);
--ld_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_a(DELAY,809)@5
ld_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1(REG,355)@6
reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_q <= ld_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_a_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest(MUX,153)@7
rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_s <= reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_1_q;
rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest: PROCESS (rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_s, en, reg_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_2_q, rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_q, rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_q, rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_q)
BEGIN
CASE rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_s IS
WHEN "00" => rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q <= reg_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_2_q;
WHEN "01" => rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage1Idx1_uid144_fxpInPostAlign_uid45_fpExpETest_q;
WHEN "10" => rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage1Idx2_uid148_fxpInPostAlign_uid45_fpExpETest_q;
WHEN "11" => rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage1Idx3_uid152_fxpInPostAlign_uid45_fpExpETest_q;
WHEN OTHERS => rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest(BITSELECT,164)@7
RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_in <= rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q;
RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b <= RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_in(68 downto 6);
--ld_RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_a(DELAY,591)@7
ld_RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 63, depth => 1 )
PORT MAP ( xin => RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest(BITJOIN,165)@8
rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage2Idx3Pad6_uid164_fxpInPostAlign_uid45_fpExpETest_q & ld_RightShiftStage168dto6_uid165_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_a_q;
--z_uid159_fxpInPostAlign_uid45_fpExpETest(CONSTANT,158)
z_uid159_fxpInPostAlign_uid45_fpExpETest_q <= "0000";
--rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest(LOGICAL,159)@7
rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_a <= z_uid159_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((3 downto 1 => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q(0)) & ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q);
rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 4, depth => 1)
PORT MAP (xout => rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest(BITSELECT,160)@7
RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_in <= rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q;
RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b <= RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_in(68 downto 4);
--ld_RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_a(DELAY,587)@7
ld_RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 65, depth => 1 )
PORT MAP ( xin => RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest(BITJOIN,161)@8
rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage2Idx2Pad4_uid160_fxpInPostAlign_uid45_fpExpETest_q & ld_RightShiftStage168dto4_uid161_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_a_q;
--z_uid155_fxpInPostAlign_uid45_fpExpETest(CONSTANT,154)
z_uid155_fxpInPostAlign_uid45_fpExpETest_q <= "00";
--rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest(LOGICAL,155)@7
rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_a <= z_uid155_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b <= STD_LOGIC_VECTOR((1 downto 1 => ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q(0)) & ld_msbx_uid128_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b_q);
rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_q_i <= rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_a or rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_b;
rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 2, depth => 1)
PORT MAP (xout => rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_q, xin => rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest(BITSELECT,156)@7
RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_in <= rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q;
RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b <= RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_in(68 downto 2);
--ld_RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_a(DELAY,583)@7
ld_RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 67, depth => 1 )
PORT MAP ( xin => RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest(BITJOIN,157)@8
rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage2Idx1Pad2_uid156_fxpInPostAlign_uid45_fpExpETest_q & ld_RightShiftStage168dto2_uid157_fxpInPostAlign_uid45_fpExpETest_b_to_rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_a_q;
--reg_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_2(REG,358)@7
reg_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_2_q <= rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest(BITSELECT,166)@5
rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_in <= shiftVal_uid44_fpExpETest_q(2 downto 0);
rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b <= rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_in(2 downto 1);
--ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_a(DELAY,811)@5
ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 2 )
PORT MAP ( xin => rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1(REG,357)@7
reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_q <= ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_a_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest(MUX,167)@8
rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_s <= reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_1_q;
rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest: PROCESS (rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_s, en, reg_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_2_q, rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_q, rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_q, rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_q)
BEGIN
CASE rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_s IS
WHEN "00" => rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q <= reg_rightShiftStage1_uid154_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_2_q;
WHEN "01" => rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage2Idx1_uid158_fxpInPostAlign_uid45_fpExpETest_q;
WHEN "10" => rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage2Idx2_uid162_fxpInPostAlign_uid45_fpExpETest_q;
WHEN "11" => rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage2Idx3_uid166_fxpInPostAlign_uid45_fpExpETest_q;
WHEN OTHERS => rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest(BITSELECT,172)@5
rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_in <= shiftVal_uid44_fpExpETest_q(0 downto 0);
rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b <= rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_in(0 downto 0);
--ld_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_a(DELAY,813)@5
ld_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1(REG,359)@7
reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_q <= ld_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_a_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest(MUX,173)@8
rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_s <= reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_1_q;
rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest: PROCESS (rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_s, en, rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q, rightShiftStage3Idx1_uid172_fxpInPostAlign_uid45_fpExpETest_q)
BEGIN
CASE rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_s IS
WHEN "0" => rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage2_uid168_fxpInPostAlign_uid45_fpExpETest_q;
WHEN "1" => rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_q <= rightShiftStage3Idx1_uid172_fxpInPostAlign_uid45_fpExpETest_q;
WHEN OTHERS => rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--ePreRnd_uid46_fpExpETest(BITSELECT,45)@8
ePreRnd_uid46_fpExpETest_in <= rightShiftStage3_uid174_fxpInPostAlign_uid45_fpExpETest_q;
ePreRnd_uid46_fpExpETest_b <= ePreRnd_uid46_fpExpETest_in(68 downto 55);
--xv0_uid176_constMult(BITSELECT,175)@8
xv0_uid176_constMult_in <= ePreRnd_uid46_fpExpETest_b(5 downto 0);
xv0_uid176_constMult_b <= xv0_uid176_constMult_in(5 downto 0);
--ld_xv0_uid176_constMult_b_to_reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_a(DELAY,826)@8
ld_xv0_uid176_constMult_b_to_reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_a : dspba_delay
GENERIC MAP ( width => 6, depth => 2 )
PORT MAP ( xin => xv0_uid176_constMult_b, xout => ld_xv0_uid176_constMult_b_to_reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0(REG,372)@10
reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_q <= ld_xv0_uid176_constMult_b_to_reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_a_q;
END IF;
END IF;
END PROCESS;
--p0_uid181_constMult(LOOKUP,180)@11
p0_uid181_constMult: PROCESS (reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_xv0_uid176_constMult_0_to_p0_uid181_constMult_0_q) IS
WHEN "000000" => p0_uid181_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p0_uid181_constMult_q <= "000000101100010111001000010111111101111101000111001111011110011010110";
WHEN "000010" => p0_uid181_constMult_q <= "000001011000101110010000101111111011111010001110011110111100110101100";
WHEN "000011" => p0_uid181_constMult_q <= "000010000101000101011001000111111001110111010101101110011011010000010";
WHEN "000100" => p0_uid181_constMult_q <= "000010110001011100100001011111110111110100011100111101111001101011000";
WHEN "000101" => p0_uid181_constMult_q <= "000011011101110011101001110111110101110001100100001101011000000101110";
WHEN "000110" => p0_uid181_constMult_q <= "000100001010001010110010001111110011101110101011011100110110100000100";
WHEN "000111" => p0_uid181_constMult_q <= "000100110110100001111010100111110001101011110010101100010100111011010";
WHEN "001000" => p0_uid181_constMult_q <= "000101100010111001000010111111101111101000111001111011110011010110000";
WHEN "001001" => p0_uid181_constMult_q <= "000110001111010000001011010111101101100110000001001011010001110000110";
WHEN "001010" => p0_uid181_constMult_q <= "000110111011100111010011101111101011100011001000011010110000001011100";
WHEN "001011" => p0_uid181_constMult_q <= "000111100111111110011100000111101001100000001111101010001110100110010";
WHEN "001100" => p0_uid181_constMult_q <= "001000010100010101100100011111100111011101010110111001101101000001000";
WHEN "001101" => p0_uid181_constMult_q <= "001001000000101100101100110111100101011010011110001001001011011011110";
WHEN "001110" => p0_uid181_constMult_q <= "001001101101000011110101001111100011010111100101011000101001110110100";
WHEN "001111" => p0_uid181_constMult_q <= "001010011001011010111101100111100001010100101100101000001000010001010";
WHEN "010000" => p0_uid181_constMult_q <= "001011000101110010000101111111011111010001110011110111100110101100000";
WHEN "010001" => p0_uid181_constMult_q <= "001011110010001001001110010111011101001110111011000111000101000110110";
WHEN "010010" => p0_uid181_constMult_q <= "001100011110100000010110101111011011001100000010010110100011100001100";
WHEN "010011" => p0_uid181_constMult_q <= "001101001010110111011111000111011001001001001001100110000001111100010";
WHEN "010100" => p0_uid181_constMult_q <= "001101110111001110100111011111010111000110010000110101100000010111000";
WHEN "010101" => p0_uid181_constMult_q <= "001110100011100101101111110111010101000011011000000100111110110001110";
WHEN "010110" => p0_uid181_constMult_q <= "001111001111111100111000001111010011000000011111010100011101001100100";
WHEN "010111" => p0_uid181_constMult_q <= "001111111100010100000000100111010000111101100110100011111011100111010";
WHEN "011000" => p0_uid181_constMult_q <= "010000101000101011001000111111001110111010101101110011011010000010000";
WHEN "011001" => p0_uid181_constMult_q <= "010001010101000010010001010111001100110111110101000010111000011100110";
WHEN "011010" => p0_uid181_constMult_q <= "010010000001011001011001101111001010110100111100010010010110110111100";
WHEN "011011" => p0_uid181_constMult_q <= "010010101101110000100010000111001000110010000011100001110101010010010";
WHEN "011100" => p0_uid181_constMult_q <= "010011011010000111101010011111000110101111001010110001010011101101000";
WHEN "011101" => p0_uid181_constMult_q <= "010100000110011110110010110111000100101100010010000000110010000111110";
WHEN "011110" => p0_uid181_constMult_q <= "010100110010110101111011001111000010101001011001010000010000100010100";
WHEN "011111" => p0_uid181_constMult_q <= "010101011111001101000011100111000000100110100000011111101110111101010";
WHEN "100000" => p0_uid181_constMult_q <= "010110001011100100001011111110111110100011100111101111001101011000000";
WHEN "100001" => p0_uid181_constMult_q <= "010110110111111011010100010110111100100000101110111110101011110010110";
WHEN "100010" => p0_uid181_constMult_q <= "010111100100010010011100101110111010011101110110001110001010001101100";
WHEN "100011" => p0_uid181_constMult_q <= "011000010000101001100101000110111000011010111101011101101000101000010";
WHEN "100100" => p0_uid181_constMult_q <= "011000111101000000101101011110110110011000000100101101000111000011000";
WHEN "100101" => p0_uid181_constMult_q <= "011001101001010111110101110110110100010101001011111100100101011101110";
WHEN "100110" => p0_uid181_constMult_q <= "011010010101101110111110001110110010010010010011001100000011111000100";
WHEN "100111" => p0_uid181_constMult_q <= "011011000010000110000110100110110000001111011010011011100010010011010";
WHEN "101000" => p0_uid181_constMult_q <= "011011101110011101001110111110101110001100100001101011000000101110000";
WHEN "101001" => p0_uid181_constMult_q <= "011100011010110100010111010110101100001001101000111010011111001000110";
WHEN "101010" => p0_uid181_constMult_q <= "011101000111001011011111101110101010000110110000001001111101100011100";
WHEN "101011" => p0_uid181_constMult_q <= "011101110011100010101000000110101000000011110111011001011011111110010";
WHEN "101100" => p0_uid181_constMult_q <= "011110011111111001110000011110100110000000111110101000111010011001000";
WHEN "101101" => p0_uid181_constMult_q <= "011111001100010000111000110110100011111110000101111000011000110011110";
WHEN "101110" => p0_uid181_constMult_q <= "011111111000101000000001001110100001111011001101000111110111001110100";
WHEN "101111" => p0_uid181_constMult_q <= "100000100100111111001001100110011111111000010100010111010101101001010";
WHEN "110000" => p0_uid181_constMult_q <= "100001010001010110010001111110011101110101011011100110110100000100000";
WHEN "110001" => p0_uid181_constMult_q <= "100001111101101101011010010110011011110010100010110110010010011110110";
WHEN "110010" => p0_uid181_constMult_q <= "100010101010000100100010101110011001101111101010000101110000111001100";
WHEN "110011" => p0_uid181_constMult_q <= "100011010110011011101011000110010111101100110001010101001111010100010";
WHEN "110100" => p0_uid181_constMult_q <= "100100000010110010110011011110010101101001111000100100101101101111000";
WHEN "110101" => p0_uid181_constMult_q <= "100100101111001001111011110110010011100110111111110100001100001001110";
WHEN "110110" => p0_uid181_constMult_q <= "100101011011100001000100001110010001100100000111000011101010100100100";
WHEN "110111" => p0_uid181_constMult_q <= "100110000111111000001100100110001111100001001110010011001000111111010";
WHEN "111000" => p0_uid181_constMult_q <= "100110110100001111010100111110001101011110010101100010100111011010000";
WHEN "111001" => p0_uid181_constMult_q <= "100111100000100110011101010110001011011011011100110010000101110100110";
WHEN "111010" => p0_uid181_constMult_q <= "101000001100111101100101101110001001011000100100000001100100001111100";
WHEN "111011" => p0_uid181_constMult_q <= "101000111001010100101110000110000111010101101011010001000010101010010";
WHEN "111100" => p0_uid181_constMult_q <= "101001100101101011110110011110000101010010110010100000100001000101000";
WHEN "111101" => p0_uid181_constMult_q <= "101010010010000010111110110110000011001111111001101111111111011111110";
WHEN "111110" => p0_uid181_constMult_q <= "101010111110011010000111001110000001001101000000111111011101111010100";
WHEN "111111" => p0_uid181_constMult_q <= "101011101010110001001111100101111111001010001000001110111100010101010";
WHEN OTHERS =>
p0_uid181_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv1_uid177_constMult(BITSELECT,176)@8
xv1_uid177_constMult_in <= ePreRnd_uid46_fpExpETest_b(11 downto 0);
xv1_uid177_constMult_b <= xv1_uid177_constMult_in(11 downto 6);
--ld_xv1_uid177_constMult_b_to_reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_a(DELAY,825)@8
ld_xv1_uid177_constMult_b_to_reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_a : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => xv1_uid177_constMult_b, xout => ld_xv1_uid177_constMult_b_to_reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0(REG,371)@9
reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_q <= ld_xv1_uid177_constMult_b_to_reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_a_q;
END IF;
END IF;
END PROCESS;
--p1_uid180_constMult(LOOKUP,179)@10
p1_uid180_constMult: PROCESS (reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_xv1_uid177_constMult_0_to_p1_uid180_constMult_0_q) IS
WHEN "000000" => p1_uid180_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p1_uid180_constMult_q <= "000000101100010111001000010111111101111101000111001111011110011010110000000";
WHEN "000010" => p1_uid180_constMult_q <= "000001011000101110010000101111111011111010001110011110111100110101100000000";
WHEN "000011" => p1_uid180_constMult_q <= "000010000101000101011001000111111001110111010101101110011011010000010000000";
WHEN "000100" => p1_uid180_constMult_q <= "000010110001011100100001011111110111110100011100111101111001101011000000000";
WHEN "000101" => p1_uid180_constMult_q <= "000011011101110011101001110111110101110001100100001101011000000101110000000";
WHEN "000110" => p1_uid180_constMult_q <= "000100001010001010110010001111110011101110101011011100110110100000100000000";
WHEN "000111" => p1_uid180_constMult_q <= "000100110110100001111010100111110001101011110010101100010100111011010000000";
WHEN "001000" => p1_uid180_constMult_q <= "000101100010111001000010111111101111101000111001111011110011010110000000000";
WHEN "001001" => p1_uid180_constMult_q <= "000110001111010000001011010111101101100110000001001011010001110000110000000";
WHEN "001010" => p1_uid180_constMult_q <= "000110111011100111010011101111101011100011001000011010110000001011100000000";
WHEN "001011" => p1_uid180_constMult_q <= "000111100111111110011100000111101001100000001111101010001110100110010000000";
WHEN "001100" => p1_uid180_constMult_q <= "001000010100010101100100011111100111011101010110111001101101000001000000000";
WHEN "001101" => p1_uid180_constMult_q <= "001001000000101100101100110111100101011010011110001001001011011011110000000";
WHEN "001110" => p1_uid180_constMult_q <= "001001101101000011110101001111100011010111100101011000101001110110100000000";
WHEN "001111" => p1_uid180_constMult_q <= "001010011001011010111101100111100001010100101100101000001000010001010000000";
WHEN "010000" => p1_uid180_constMult_q <= "001011000101110010000101111111011111010001110011110111100110101100000000000";
WHEN "010001" => p1_uid180_constMult_q <= "001011110010001001001110010111011101001110111011000111000101000110110000000";
WHEN "010010" => p1_uid180_constMult_q <= "001100011110100000010110101111011011001100000010010110100011100001100000000";
WHEN "010011" => p1_uid180_constMult_q <= "001101001010110111011111000111011001001001001001100110000001111100010000000";
WHEN "010100" => p1_uid180_constMult_q <= "001101110111001110100111011111010111000110010000110101100000010111000000000";
WHEN "010101" => p1_uid180_constMult_q <= "001110100011100101101111110111010101000011011000000100111110110001110000000";
WHEN "010110" => p1_uid180_constMult_q <= "001111001111111100111000001111010011000000011111010100011101001100100000000";
WHEN "010111" => p1_uid180_constMult_q <= "001111111100010100000000100111010000111101100110100011111011100111010000000";
WHEN "011000" => p1_uid180_constMult_q <= "010000101000101011001000111111001110111010101101110011011010000010000000000";
WHEN "011001" => p1_uid180_constMult_q <= "010001010101000010010001010111001100110111110101000010111000011100110000000";
WHEN "011010" => p1_uid180_constMult_q <= "010010000001011001011001101111001010110100111100010010010110110111100000000";
WHEN "011011" => p1_uid180_constMult_q <= "010010101101110000100010000111001000110010000011100001110101010010010000000";
WHEN "011100" => p1_uid180_constMult_q <= "010011011010000111101010011111000110101111001010110001010011101101000000000";
WHEN "011101" => p1_uid180_constMult_q <= "010100000110011110110010110111000100101100010010000000110010000111110000000";
WHEN "011110" => p1_uid180_constMult_q <= "010100110010110101111011001111000010101001011001010000010000100010100000000";
WHEN "011111" => p1_uid180_constMult_q <= "010101011111001101000011100111000000100110100000011111101110111101010000000";
WHEN "100000" => p1_uid180_constMult_q <= "010110001011100100001011111110111110100011100111101111001101011000000000000";
WHEN "100001" => p1_uid180_constMult_q <= "010110110111111011010100010110111100100000101110111110101011110010110000000";
WHEN "100010" => p1_uid180_constMult_q <= "010111100100010010011100101110111010011101110110001110001010001101100000000";
WHEN "100011" => p1_uid180_constMult_q <= "011000010000101001100101000110111000011010111101011101101000101000010000000";
WHEN "100100" => p1_uid180_constMult_q <= "011000111101000000101101011110110110011000000100101101000111000011000000000";
WHEN "100101" => p1_uid180_constMult_q <= "011001101001010111110101110110110100010101001011111100100101011101110000000";
WHEN "100110" => p1_uid180_constMult_q <= "011010010101101110111110001110110010010010010011001100000011111000100000000";
WHEN "100111" => p1_uid180_constMult_q <= "011011000010000110000110100110110000001111011010011011100010010011010000000";
WHEN "101000" => p1_uid180_constMult_q <= "011011101110011101001110111110101110001100100001101011000000101110000000000";
WHEN "101001" => p1_uid180_constMult_q <= "011100011010110100010111010110101100001001101000111010011111001000110000000";
WHEN "101010" => p1_uid180_constMult_q <= "011101000111001011011111101110101010000110110000001001111101100011100000000";
WHEN "101011" => p1_uid180_constMult_q <= "011101110011100010101000000110101000000011110111011001011011111110010000000";
WHEN "101100" => p1_uid180_constMult_q <= "011110011111111001110000011110100110000000111110101000111010011001000000000";
WHEN "101101" => p1_uid180_constMult_q <= "011111001100010000111000110110100011111110000101111000011000110011110000000";
WHEN "101110" => p1_uid180_constMult_q <= "011111111000101000000001001110100001111011001101000111110111001110100000000";
WHEN "101111" => p1_uid180_constMult_q <= "100000100100111111001001100110011111111000010100010111010101101001010000000";
WHEN "110000" => p1_uid180_constMult_q <= "100001010001010110010001111110011101110101011011100110110100000100000000000";
WHEN "110001" => p1_uid180_constMult_q <= "100001111101101101011010010110011011110010100010110110010010011110110000000";
WHEN "110010" => p1_uid180_constMult_q <= "100010101010000100100010101110011001101111101010000101110000111001100000000";
WHEN "110011" => p1_uid180_constMult_q <= "100011010110011011101011000110010111101100110001010101001111010100010000000";
WHEN "110100" => p1_uid180_constMult_q <= "100100000010110010110011011110010101101001111000100100101101101111000000000";
WHEN "110101" => p1_uid180_constMult_q <= "100100101111001001111011110110010011100110111111110100001100001001110000000";
WHEN "110110" => p1_uid180_constMult_q <= "100101011011100001000100001110010001100100000111000011101010100100100000000";
WHEN "110111" => p1_uid180_constMult_q <= "100110000111111000001100100110001111100001001110010011001000111111010000000";
WHEN "111000" => p1_uid180_constMult_q <= "100110110100001111010100111110001101011110010101100010100111011010000000000";
WHEN "111001" => p1_uid180_constMult_q <= "100111100000100110011101010110001011011011011100110010000101110100110000000";
WHEN "111010" => p1_uid180_constMult_q <= "101000001100111101100101101110001001011000100100000001100100001111100000000";
WHEN "111011" => p1_uid180_constMult_q <= "101000111001010100101110000110000111010101101011010001000010101010010000000";
WHEN "111100" => p1_uid180_constMult_q <= "101001100101101011110110011110000101010010110010100000100001000101000000000";
WHEN "111101" => p1_uid180_constMult_q <= "101010010010000010111110110110000011001111111001101111111111011111110000000";
WHEN "111110" => p1_uid180_constMult_q <= "101010111110011010000111001110000001001101000000111111011101111010100000000";
WHEN "111111" => p1_uid180_constMult_q <= "101011101010110001001111100101111111001010001000001110111100010101010000000";
WHEN OTHERS =>
p1_uid180_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv2_uid178_constMult(BITSELECT,177)@8
xv2_uid178_constMult_in <= ePreRnd_uid46_fpExpETest_b;
xv2_uid178_constMult_b <= xv2_uid178_constMult_in(13 downto 12);
--reg_xv2_uid178_constMult_0_to_p2_uid179_constMult_0(REG,370)@8
reg_xv2_uid178_constMult_0_to_p2_uid179_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv2_uid178_constMult_0_to_p2_uid179_constMult_0_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv2_uid178_constMult_0_to_p2_uid179_constMult_0_q <= xv2_uid178_constMult_b;
END IF;
END IF;
END PROCESS;
--p2_uid179_constMult(LOOKUP,178)@9
p2_uid179_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p2_uid179_constMult_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_xv2_uid178_constMult_0_to_p2_uid179_constMult_0_q) IS
WHEN "00" => p2_uid179_constMult_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000";
WHEN "01" => p2_uid179_constMult_q <= "00101100010111001000010111111101111101000111001111011110011010110000000000000";
WHEN "10" => p2_uid179_constMult_q <= "10100111010001101111010000000100000101110001100001000011001010100000000000000";
WHEN "11" => p2_uid179_constMult_q <= "11010011101000110111101000000010000010111000110000100001100101010000000000000";
WHEN OTHERS =>
p2_uid179_constMult_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000";
END CASE;
END IF;
END IF;
END PROCESS;
--lev1_a0_uid182_constMult(ADD,181)@10
lev1_a0_uid182_constMult_a <= STD_LOGIC_VECTOR((78 downto 77 => p2_uid179_constMult_q(76)) & p2_uid179_constMult_q);
lev1_a0_uid182_constMult_b <= STD_LOGIC_VECTOR('0' & "000" & p1_uid180_constMult_q);
lev1_a0_uid182_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
lev1_a0_uid182_constMult_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
lev1_a0_uid182_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev1_a0_uid182_constMult_a) + SIGNED(lev1_a0_uid182_constMult_b));
END IF;
END IF;
END PROCESS;
lev1_a0_uid182_constMult_q <= lev1_a0_uid182_constMult_o(77 downto 0);
--lev2_a0_uid183_constMult(ADD,182)@11
lev2_a0_uid183_constMult_a <= STD_LOGIC_VECTOR((79 downto 78 => lev1_a0_uid182_constMult_q(77)) & lev1_a0_uid182_constMult_q);
lev2_a0_uid183_constMult_b <= STD_LOGIC_VECTOR('0' & "0000000000" & p0_uid181_constMult_q);
lev2_a0_uid183_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev2_a0_uid183_constMult_a) + SIGNED(lev2_a0_uid183_constMult_b));
lev2_a0_uid183_constMult_q <= lev2_a0_uid183_constMult_o(78 downto 0);
--sR_uid184_constMult(BITSELECT,183)@11
sR_uid184_constMult_in <= lev2_a0_uid183_constMult_q(76 downto 0);
sR_uid184_constMult_b <= sR_uid184_constMult_in(76 downto 2);
--reg_sR_uid184_constMult_0_to_yExt_uid57_fpExpETest_1(REG,374)@11
reg_sR_uid184_constMult_0_to_yExt_uid57_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sR_uid184_constMult_0_to_yExt_uid57_fpExpETest_1_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sR_uid184_constMult_0_to_yExt_uid57_fpExpETest_1_q <= sR_uid184_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_signX_uid7_fpExpETest_b_to_onesCmpFxpIn_uid49_fpExpETest_b(DELAY,456)@0
ld_signX_uid7_fpExpETest_b_to_onesCmpFxpIn_uid49_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 8 )
PORT MAP ( xin => signX_uid7_fpExpETest_b, xout => ld_signX_uid7_fpExpETest_b_to_onesCmpFxpIn_uid49_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor(LOGICAL,898)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_b <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena_q;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_q <= not (ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_a or ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_b);
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_mem_top(CONSTANT,894)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_mem_top_q <= "0101";
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp(LOGICAL,895)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_a <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_mem_top_q;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_q);
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_q <= "1" when ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_a = ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_b else "0";
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmpReg(REG,896)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmpReg_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena(REG,899)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_nor_q = "1") THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd(LOGICAL,900)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_a <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_sticky_ena_q;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_b <= en;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_a and ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_b;
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_inputreg(DELAY,888)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_inputreg : dspba_delay
GENERIC MAP ( width => 53, depth => 1 )
PORT MAP ( xin => oFracX_uid32_uid32_fpExpETest_q, xout => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt(COUNTER,890)
-- every=1, low=0, high=5, step=1, init=1
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i = 4 THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_eq <= '1';
ELSE
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_eq = '1') THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i - 5;
ELSE
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_i,3));
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg(REG,891)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux(MUX,892)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_s <= en;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux: PROCESS (ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_s, ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg_q, ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_q)
BEGIN
CASE ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_s IS
WHEN "0" => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg_q;
WHEN "1" => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdcnt_q;
WHEN OTHERS => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem(DUALMEM,889)
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_ia <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_inputreg_q;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_aa <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg_q;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_ab <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_q;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 53,
widthad_a => 3,
numwords_a => 6,
width_b => 53,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_iq,
address_a => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_aa,
data_a => ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_ia
);
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_reset0 <= areset;
ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_iq(52 downto 0);
--cstZeroWEP1_uid12_fpExpETest(CONSTANT,11)
cstZeroWEP1_uid12_fpExpETest_q <= "000000000000";
--oFracXZwE_uid48_fpExpETest(BITJOIN,47)@8
oFracXZwE_uid48_fpExpETest_q <= GND_q & ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_mem_q & cstZeroWEP1_uid12_fpExpETest_q;
--onesCmpFxpIn_uid49_fpExpETest(LOGICAL,48)@8
onesCmpFxpIn_uid49_fpExpETest_a <= oFracXZwE_uid48_fpExpETest_q;
onesCmpFxpIn_uid49_fpExpETest_b <= STD_LOGIC_VECTOR((65 downto 1 => ld_signX_uid7_fpExpETest_b_to_onesCmpFxpIn_uid49_fpExpETest_b_q(0)) & ld_signX_uid7_fpExpETest_b_to_onesCmpFxpIn_uid49_fpExpETest_b_q);
onesCmpFxpIn_uid49_fpExpETest_q <= onesCmpFxpIn_uid49_fpExpETest_a xor onesCmpFxpIn_uid49_fpExpETest_b;
--fxpInExt_uid50_fpExpETest(ADD,49)@8
fxpInExt_uid50_fpExpETest_a <= STD_LOGIC_VECTOR((67 downto 66 => onesCmpFxpIn_uid49_fpExpETest_q(65)) & onesCmpFxpIn_uid49_fpExpETest_q);
fxpInExt_uid50_fpExpETest_b <= STD_LOGIC_VECTOR('0' & "000000000000000000000000000000000000000000000000000000000000000000" & ld_signX_uid7_fpExpETest_b_to_onesCmpFxpIn_uid49_fpExpETest_b_q);
fxpInExt_uid50_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(fxpInExt_uid50_fpExpETest_a) + SIGNED(fxpInExt_uid50_fpExpETest_b));
fxpInExt_uid50_fpExpETest_q <= fxpInExt_uid50_fpExpETest_o(66 downto 0);
--fxpInPreAlign_uid51_fpExpETest(BITSELECT,50)@8
fxpInPreAlign_uid51_fpExpETest_in <= fxpInExt_uid50_fpExpETest_q(65 downto 0);
fxpInPreAlign_uid51_fpExpETest_b <= fxpInPreAlign_uid51_fpExpETest_in(65 downto 0);
--msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,185)@8
msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_in <= fxpInPreAlign_uid51_fpExpETest_b;
msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b <= msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 65);
--rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,227)@8
rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_a <= GND_q;
rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_b <= msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_to_rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_b(DELAY,670)@9
ld_rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_to_rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q, xout => ld_rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_to_rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--RightShiftStage265dto1_uid229_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,228)@11
RightShiftStage265dto1_uid229_fxpInPostAlign_X_uid56_fpExpETest_in <= rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q;
RightShiftStage265dto1_uid229_fxpInPostAlign_X_uid56_fpExpETest_b <= RightShiftStage265dto1_uid229_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 1);
--rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,229)@11
rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_q <= ld_rightShiftStage3Idx1Pad1_uid228_fxpInPostAlign_X_uid56_fpExpETest_q_to_rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_b_q & RightShiftStage265dto1_uid229_fxpInPostAlign_X_uid56_fpExpETest_b;
--ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b(DELAY,650)@8
ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,221)@10
rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid163_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((5 downto 1 => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q(0)) & ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q);
rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 6, depth => 1)
PORT MAP (xout => rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b(DELAY,633)@8
ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,207)@9
rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid149_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((23 downto 1 => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q(0)) & ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q);
rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 24, depth => 1)
PORT MAP (xout => rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--rightShiftStage0Idx3_uid195_fxpInPostAlign_X_uid56_fpExpETest(CONSTANT,194)
rightShiftStage0Idx3_uid195_fxpInPostAlign_X_uid56_fpExpETest_q <= "000000000000000000000000000000000000000000000000000000000000000000";
--rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,195)@8
rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_a <= rightShiftStage0Idx3_uid195_fxpInPostAlign_X_uid56_fpExpETest_q;
rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((65 downto 1 => msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b(0)) & msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b);
rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 66, depth => 1)
PORT MAP (xout => rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,191)@8
rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid133_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((63 downto 1 => msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b(0)) & msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b);
rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_b;
--X65dto64_uid193_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,192)@8
X65dto64_uid193_fxpInPostAlign_X_uid56_fpExpETest_in <= fxpInPreAlign_uid51_fpExpETest_b;
X65dto64_uid193_fxpInPostAlign_X_uid56_fpExpETest_b <= X65dto64_uid193_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 64);
--rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,193)@8
rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage0Idx2Pad64_uid192_fxpInPostAlign_X_uid56_fpExpETest_q & X65dto64_uid193_fxpInPostAlign_X_uid56_fpExpETest_b;
--reg_rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_4(REG,364)@8
reg_rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_4_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_4_q <= rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,187)@8
rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid129_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((31 downto 1 => msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b(0)) & msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b);
rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_b;
--X65dto32_uid189_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,188)@8
X65dto32_uid189_fxpInPostAlign_X_uid56_fpExpETest_in <= fxpInPreAlign_uid51_fpExpETest_b;
X65dto32_uid189_fxpInPostAlign_X_uid56_fpExpETest_b <= X65dto32_uid189_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 32);
--rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,189)@8
rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage0Idx1Pad32_uid188_fxpInPostAlign_X_uid56_fpExpETest_q & X65dto32_uid189_fxpInPostAlign_X_uid56_fpExpETest_b;
--reg_rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_3(REG,363)@8
reg_rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_3_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_3_q <= rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--reg_fxpInPreAlign_uid51_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_2(REG,362)@8
reg_fxpInPreAlign_uid51_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fxpInPreAlign_uid51_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fxpInPreAlign_uid51_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_2_q <= fxpInPreAlign_uid51_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_b(DELAY,628)@6
ld_reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 3 )
PORT MAP ( xin => reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid140_fxpInPostAlign_uid45_fpExpETest_1_q, xout => ld_reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest(MUX,197)@9
rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_s <= ld_reg_rightShiftStageSel6Dto5_uid139_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_b_q;
rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest: PROCESS (rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_s, en, reg_fxpInPreAlign_uid51_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_2_q, reg_rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_3_q, reg_rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_4_q, rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_q)
BEGIN
CASE rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_s IS
WHEN "00" => rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q <= reg_fxpInPreAlign_uid51_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_2_q;
WHEN "01" => rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q <= reg_rightShiftStage0Idx1_uid190_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_3_q;
WHEN "10" => rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q <= reg_rightShiftStage0Idx2_uid194_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_4_q;
WHEN "11" => rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage0Idx3_uid196_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN OTHERS => rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,208)@9
RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_in <= rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q;
RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b <= RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 24);
--ld_RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_a(DELAY,643)@9
ld_RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 42, depth => 1 )
PORT MAP ( xin => RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,209)@10
rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage1Idx3Pad24_uid208_fxpInPostAlign_X_uid56_fpExpETest_q & ld_RightShiftStage065dto24_uid209_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_a_q;
--rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,203)@9
rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid145_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((15 downto 1 => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q(0)) & ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q);
rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 16, depth => 1)
PORT MAP (xout => rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,204)@9
RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_in <= rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q;
RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b <= RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 16);
--ld_RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_a(DELAY,639)@9
ld_RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 50, depth => 1 )
PORT MAP ( xin => RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,205)@10
rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage1Idx2Pad16_uid204_fxpInPostAlign_X_uid56_fpExpETest_q & ld_RightShiftStage065dto16_uid205_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_a_q;
--rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,199)@9
rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid141_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((7 downto 1 => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q(0)) & ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b_q);
rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 8, depth => 1)
PORT MAP (xout => rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,200)@9
RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_in <= rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q;
RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b <= RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 8);
--ld_RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_a(DELAY,635)@9
ld_RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 58, depth => 1 )
PORT MAP ( xin => RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,201)@10
rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage1Idx1Pad8_uid200_fxpInPostAlign_X_uid56_fpExpETest_q & ld_RightShiftStage065dto8_uid201_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_a_q;
--reg_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_2(REG,366)@9
reg_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_2_q <= rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1(REG,365)@5
reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q <= rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_b(DELAY,645)@6
ld_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 4 )
PORT MAP ( xin => reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q, xout => ld_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest(MUX,211)@10
rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_s <= ld_reg_rightShiftStageSel4Dto3_uid153_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_b_q;
rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest: PROCESS (rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_s, en, reg_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_2_q, rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_q, rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_q, rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_q)
BEGIN
CASE rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_s IS
WHEN "00" => rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q <= reg_rightShiftStage0_uid198_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_2_q;
WHEN "01" => rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage1Idx1_uid202_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN "10" => rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage1Idx2_uid206_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN "11" => rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage1Idx3_uid210_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN OTHERS => rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,222)@10
RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_in <= rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q;
RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b <= RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 6);
--ld_RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_a(DELAY,660)@10
ld_RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 60, depth => 1 )
PORT MAP ( xin => RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,223)@11
rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage2Idx3Pad6_uid222_fxpInPostAlign_X_uid56_fpExpETest_q & ld_RightShiftStage165dto6_uid223_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_a_q;
--rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,217)@10
rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid159_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((3 downto 1 => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q(0)) & ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q);
rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 4, depth => 1)
PORT MAP (xout => rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,218)@10
RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_in <= rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q;
RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b <= RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 4);
--ld_RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_a(DELAY,656)@10
ld_RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 62, depth => 1 )
PORT MAP ( xin => RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,219)@11
rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage2Idx2Pad4_uid218_fxpInPostAlign_X_uid56_fpExpETest_q & ld_RightShiftStage165dto4_uid219_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_a_q;
--rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest(LOGICAL,213)@10
rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_a <= z_uid155_fxpInPostAlign_uid45_fpExpETest_q;
rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b <= STD_LOGIC_VECTOR((1 downto 1 => ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q(0)) & ld_msbx_uid186_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b_q);
rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_q_i <= rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_a or rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_b;
rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 2, depth => 1)
PORT MAP (xout => rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_q, xin => rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest(BITSELECT,214)@10
RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_in <= rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q;
RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b <= RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_in(65 downto 2);
--ld_RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_a(DELAY,652)@10
ld_RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b, xout => ld_RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest(BITJOIN,215)@11
rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage2Idx1Pad2_uid214_fxpInPostAlign_X_uid56_fpExpETest_q & ld_RightShiftStage165dto2_uid215_fxpInPostAlign_X_uid56_fpExpETest_b_to_rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_a_q;
--reg_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_2(REG,368)@10
reg_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_2_q <= rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_a(DELAY,821)@5
ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 5 )
PORT MAP ( xin => rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b, xout => ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1(REG,367)@10
reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_q <= ld_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_b_to_reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_a_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest(MUX,225)@11
rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_s <= reg_rightShiftStageSel2Dto1_uid167_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_1_q;
rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest: PROCESS (rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_s, en, reg_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_2_q, rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_q, rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_q, rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_q)
BEGIN
CASE rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_s IS
WHEN "00" => rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q <= reg_rightShiftStage1_uid212_fxpInPostAlign_X_uid56_fpExpETest_0_to_rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_2_q;
WHEN "01" => rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage2Idx1_uid216_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN "10" => rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage2Idx2_uid220_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN "11" => rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage2Idx3_uid224_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN OTHERS => rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1(REG,369)@5
reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q <= rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_b(DELAY,671)@6
ld_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q, xout => ld_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest(MUX,231)@11
rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_s <= ld_reg_rightShiftStageSel0Dto0_uid173_fxpInPostAlign_uid45_fpExpETest_0_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_1_q_to_rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_b_q;
rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest: PROCESS (rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_s, en, rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q, rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_q)
BEGIN
CASE rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_s IS
WHEN "0" => rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage2_uid226_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN "1" => rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_q <= rightShiftStage3Idx1_uid230_fxpInPostAlign_X_uid56_fpExpETest_q;
WHEN OTHERS => rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest(BITJOIN,56)@11
pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_q <= rightShiftStage3_uid232_fxpInPostAlign_X_uid56_fpExpETest_q & STD_LOGIC_VECTOR((7 downto 1 => GND_q(0)) & GND_q);
--reg_pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_0_to_yExt_uid57_fpExpETest_0(REG,373)@11
reg_pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_0_to_yExt_uid57_fpExpETest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_0_to_yExt_uid57_fpExpETest_0_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_0_to_yExt_uid57_fpExpETest_0_q <= pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--yExt_uid57_fpExpETest(SUB,57)@12
yExt_uid57_fpExpETest_a <= STD_LOGIC_VECTOR((75 downto 74 => reg_pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_0_to_yExt_uid57_fpExpETest_0_q(73)) & reg_pad_fxpInPostAlignR_X_uid57_uid57_fpExpETest_0_to_yExt_uid57_fpExpETest_0_q);
yExt_uid57_fpExpETest_b <= STD_LOGIC_VECTOR((75 downto 75 => reg_sR_uid184_constMult_0_to_yExt_uid57_fpExpETest_1_q(74)) & reg_sR_uid184_constMult_0_to_yExt_uid57_fpExpETest_1_q);
yExt_uid57_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(yExt_uid57_fpExpETest_a) - SIGNED(yExt_uid57_fpExpETest_b));
yExt_uid57_fpExpETest_q <= yExt_uid57_fpExpETest_o(75 downto 0);
--yRed_uid61_fpExpETest(BITSELECT,60)@12
yRed_uid61_fpExpETest_in <= yExt_uid57_fpExpETest_q(60 downto 0);
yRed_uid61_fpExpETest_b <= yRed_uid61_fpExpETest_in(60 downto 6);
--reg_yRed_uid61_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_2(REG,376)@12
reg_yRed_uid61_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yRed_uid61_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_2_q <= "0000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yRed_uid61_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_2_q <= yRed_uid61_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--YExt75_uid59_fpExpETest(BITSELECT,58)@12
YExt75_uid59_fpExpETest_in <= yExt_uid57_fpExpETest_q;
YExt75_uid59_fpExpETest_b <= YExt75_uid59_fpExpETest_in(75 downto 75);
--reg_YExt75_uid59_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_1(REG,375)@12
reg_YExt75_uid59_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_YExt75_uid59_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_YExt75_uid59_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_1_q <= YExt75_uid59_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--yRedPostMux_uid62_fpExpETest(MUX,61)@13
yRedPostMux_uid62_fpExpETest_s <= reg_YExt75_uid59_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_1_q;
yRedPostMux_uid62_fpExpETest: PROCESS (yRedPostMux_uid62_fpExpETest_s, en, reg_yRed_uid61_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_2_q, zY_uid60_fpExpETest_q)
BEGIN
CASE yRedPostMux_uid62_fpExpETest_s IS
WHEN "0" => yRedPostMux_uid62_fpExpETest_q <= reg_yRed_uid61_fpExpETest_0_to_yRedPostMux_uid62_fpExpETest_2_q;
WHEN "1" => yRedPostMux_uid62_fpExpETest_q <= zY_uid60_fpExpETest_q;
WHEN OTHERS => yRedPostMux_uid62_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--addr_uid64_fpExpETest(BITSELECT,63)@13
addr_uid64_fpExpETest_in <= yRedPostMux_uid62_fpExpETest_q;
addr_uid64_fpExpETest_b <= addr_uid64_fpExpETest_in(54 downto 48);
--reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0(REG,378)@13
reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q <= addr_uid64_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--memoryC5_uid247_exp10TabGen(LOOKUP,246)@14
memoryC5_uid247_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC5_uid247_exp10TabGen_q <= "0010001001000001";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q) IS
WHEN "0000000" => memoryC5_uid247_exp10TabGen_q <= "0010001001000001";
WHEN "0000001" => memoryC5_uid247_exp10TabGen_q <= "0010001001001000";
WHEN "0000010" => memoryC5_uid247_exp10TabGen_q <= "0010001010101001";
WHEN "0000011" => memoryC5_uid247_exp10TabGen_q <= "0010001011011100";
WHEN "0000100" => memoryC5_uid247_exp10TabGen_q <= "0010001101001010";
WHEN "0000101" => memoryC5_uid247_exp10TabGen_q <= "0010001110011101";
WHEN "0000110" => memoryC5_uid247_exp10TabGen_q <= "0010001111011110";
WHEN "0000111" => memoryC5_uid247_exp10TabGen_q <= "0010010000100001";
WHEN "0001000" => memoryC5_uid247_exp10TabGen_q <= "0010010001111001";
WHEN "0001001" => memoryC5_uid247_exp10TabGen_q <= "0010010010110011";
WHEN "0001010" => memoryC5_uid247_exp10TabGen_q <= "0010010100011101";
WHEN "0001011" => memoryC5_uid247_exp10TabGen_q <= "0010010110010001";
WHEN "0001100" => memoryC5_uid247_exp10TabGen_q <= "0010010110110101";
WHEN "0001101" => memoryC5_uid247_exp10TabGen_q <= "0010010111001010";
WHEN "0001110" => memoryC5_uid247_exp10TabGen_q <= "0010011000110010";
WHEN "0001111" => memoryC5_uid247_exp10TabGen_q <= "0010011010111110";
WHEN "0010000" => memoryC5_uid247_exp10TabGen_q <= "0010011010111110";
WHEN "0010001" => memoryC5_uid247_exp10TabGen_q <= "0010011100001100";
WHEN "0010010" => memoryC5_uid247_exp10TabGen_q <= "0010011110000010";
WHEN "0010011" => memoryC5_uid247_exp10TabGen_q <= "0010011110101001";
WHEN "0010100" => memoryC5_uid247_exp10TabGen_q <= "0010100001000000";
WHEN "0010101" => memoryC5_uid247_exp10TabGen_q <= "0010100001011000";
WHEN "0010110" => memoryC5_uid247_exp10TabGen_q <= "0010100011000011";
WHEN "0010111" => memoryC5_uid247_exp10TabGen_q <= "0010100011110110";
WHEN "0011000" => memoryC5_uid247_exp10TabGen_q <= "0010100101111001";
WHEN "0011001" => memoryC5_uid247_exp10TabGen_q <= "0010100111010011";
WHEN "0011010" => memoryC5_uid247_exp10TabGen_q <= "0010100111110111";
WHEN "0011011" => memoryC5_uid247_exp10TabGen_q <= "0010101001011010";
WHEN "0011100" => memoryC5_uid247_exp10TabGen_q <= "0010101011000110";
WHEN "0011101" => memoryC5_uid247_exp10TabGen_q <= "0010101100100101";
WHEN "0011110" => memoryC5_uid247_exp10TabGen_q <= "0010101110000010";
WHEN "0011111" => memoryC5_uid247_exp10TabGen_q <= "0010101110001011";
WHEN "0100000" => memoryC5_uid247_exp10TabGen_q <= "0010110000000101";
WHEN "0100001" => memoryC5_uid247_exp10TabGen_q <= "0010110001011010";
WHEN "0100010" => memoryC5_uid247_exp10TabGen_q <= "0010110010000000";
WHEN "0100011" => memoryC5_uid247_exp10TabGen_q <= "0010110011110100";
WHEN "0100100" => memoryC5_uid247_exp10TabGen_q <= "0010110101111111";
WHEN "0100101" => memoryC5_uid247_exp10TabGen_q <= "0010110110001011";
WHEN "0100110" => memoryC5_uid247_exp10TabGen_q <= "0010111000011100";
WHEN "0100111" => memoryC5_uid247_exp10TabGen_q <= "0010111001101110";
WHEN "0101000" => memoryC5_uid247_exp10TabGen_q <= "0010111010111100";
WHEN "0101001" => memoryC5_uid247_exp10TabGen_q <= "0010111100100000";
WHEN "0101010" => memoryC5_uid247_exp10TabGen_q <= "0010111111001011";
WHEN "0101011" => memoryC5_uid247_exp10TabGen_q <= "0010111111101000";
WHEN "0101100" => memoryC5_uid247_exp10TabGen_q <= "0011000001111110";
WHEN "0101101" => memoryC5_uid247_exp10TabGen_q <= "0011000010101011";
WHEN "0101110" => memoryC5_uid247_exp10TabGen_q <= "0011000011100011";
WHEN "0101111" => memoryC5_uid247_exp10TabGen_q <= "0011000110001011";
WHEN "0110000" => memoryC5_uid247_exp10TabGen_q <= "0011000111101010";
WHEN "0110001" => memoryC5_uid247_exp10TabGen_q <= "0011001001011010";
WHEN "0110010" => memoryC5_uid247_exp10TabGen_q <= "0011001010111110";
WHEN "0110011" => memoryC5_uid247_exp10TabGen_q <= "0011001100101111";
WHEN "0110100" => memoryC5_uid247_exp10TabGen_q <= "0011001101111011";
WHEN "0110101" => memoryC5_uid247_exp10TabGen_q <= "0011001110111001";
WHEN "0110110" => memoryC5_uid247_exp10TabGen_q <= "0011010001010100";
WHEN "0110111" => memoryC5_uid247_exp10TabGen_q <= "0011010011001110";
WHEN "0111000" => memoryC5_uid247_exp10TabGen_q <= "0011010100011010";
WHEN "0111001" => memoryC5_uid247_exp10TabGen_q <= "0011010110001011";
WHEN "0111010" => memoryC5_uid247_exp10TabGen_q <= "0011010111100000";
WHEN "0111011" => memoryC5_uid247_exp10TabGen_q <= "0011011000111110";
WHEN "0111100" => memoryC5_uid247_exp10TabGen_q <= "0011011010100100";
WHEN "0111101" => memoryC5_uid247_exp10TabGen_q <= "0011011100101101";
WHEN "0111110" => memoryC5_uid247_exp10TabGen_q <= "0011011110000100";
WHEN "0111111" => memoryC5_uid247_exp10TabGen_q <= "0011100000111010";
WHEN "1000000" => memoryC5_uid247_exp10TabGen_q <= "0011100010101001";
WHEN "1000001" => memoryC5_uid247_exp10TabGen_q <= "0011100011011011";
WHEN "1000010" => memoryC5_uid247_exp10TabGen_q <= "0011100100101101";
WHEN "1000011" => memoryC5_uid247_exp10TabGen_q <= "0011100111101001";
WHEN "1000100" => memoryC5_uid247_exp10TabGen_q <= "0011101001001000";
WHEN "1000101" => memoryC5_uid247_exp10TabGen_q <= "0011101010110010";
WHEN "1000110" => memoryC5_uid247_exp10TabGen_q <= "0011101101011011";
WHEN "1000111" => memoryC5_uid247_exp10TabGen_q <= "0011101110010100";
WHEN "1001000" => memoryC5_uid247_exp10TabGen_q <= "0011110000111010";
WHEN "1001001" => memoryC5_uid247_exp10TabGen_q <= "0011110010100100";
WHEN "1001010" => memoryC5_uid247_exp10TabGen_q <= "0011110100011110";
WHEN "1001011" => memoryC5_uid247_exp10TabGen_q <= "0011110101101011";
WHEN "1001100" => memoryC5_uid247_exp10TabGen_q <= "0011110111101011";
WHEN "1001101" => memoryC5_uid247_exp10TabGen_q <= "0011111001110000";
WHEN "1001110" => memoryC5_uid247_exp10TabGen_q <= "0011111100000010";
WHEN "1001111" => memoryC5_uid247_exp10TabGen_q <= "0011111110001010";
WHEN "1010000" => memoryC5_uid247_exp10TabGen_q <= "0011111111110011";
WHEN "1010001" => memoryC5_uid247_exp10TabGen_q <= "0100000010000111";
WHEN "1010010" => memoryC5_uid247_exp10TabGen_q <= "0100000011100011";
WHEN "1010011" => memoryC5_uid247_exp10TabGen_q <= "0100000101110000";
WHEN "1010100" => memoryC5_uid247_exp10TabGen_q <= "0100000111111001";
WHEN "1010101" => memoryC5_uid247_exp10TabGen_q <= "0100001010101100";
WHEN "1010110" => memoryC5_uid247_exp10TabGen_q <= "0100001100100110";
WHEN "1010111" => memoryC5_uid247_exp10TabGen_q <= "0100001110000000";
WHEN "1011000" => memoryC5_uid247_exp10TabGen_q <= "0100010000010100";
WHEN "1011001" => memoryC5_uid247_exp10TabGen_q <= "0100010010100001";
WHEN "1011010" => memoryC5_uid247_exp10TabGen_q <= "0100010101011001";
WHEN "1011011" => memoryC5_uid247_exp10TabGen_q <= "0100011000000001";
WHEN "1011100" => memoryC5_uid247_exp10TabGen_q <= "0100011000101011";
WHEN "1011101" => memoryC5_uid247_exp10TabGen_q <= "0100011011000001";
WHEN "1011110" => memoryC5_uid247_exp10TabGen_q <= "0100011110001011";
WHEN "1011111" => memoryC5_uid247_exp10TabGen_q <= "0100100000010001";
WHEN "1100000" => memoryC5_uid247_exp10TabGen_q <= "0100100010001001";
WHEN "1100001" => memoryC5_uid247_exp10TabGen_q <= "0100100100101000";
WHEN "1100010" => memoryC5_uid247_exp10TabGen_q <= "0100100111010100";
WHEN "1100011" => memoryC5_uid247_exp10TabGen_q <= "0100101000111000";
WHEN "1100100" => memoryC5_uid247_exp10TabGen_q <= "0100101011100001";
WHEN "1100101" => memoryC5_uid247_exp10TabGen_q <= "0100101110010110";
WHEN "1100110" => memoryC5_uid247_exp10TabGen_q <= "0100110000100110";
WHEN "1100111" => memoryC5_uid247_exp10TabGen_q <= "0100110011000110";
WHEN "1101000" => memoryC5_uid247_exp10TabGen_q <= "0100110100111001";
WHEN "1101001" => memoryC5_uid247_exp10TabGen_q <= "0100110111010000";
WHEN "1101010" => memoryC5_uid247_exp10TabGen_q <= "0100111010100101";
WHEN "1101011" => memoryC5_uid247_exp10TabGen_q <= "0100111011110011";
WHEN "1101100" => memoryC5_uid247_exp10TabGen_q <= "0100111110000101";
WHEN "1101101" => memoryC5_uid247_exp10TabGen_q <= "0101000001001101";
WHEN "1101110" => memoryC5_uid247_exp10TabGen_q <= "0101000100000110";
WHEN "1101111" => memoryC5_uid247_exp10TabGen_q <= "0101000101110010";
WHEN "1110000" => memoryC5_uid247_exp10TabGen_q <= "0101001000100011";
WHEN "1110001" => memoryC5_uid247_exp10TabGen_q <= "0101001011000111";
WHEN "1110010" => memoryC5_uid247_exp10TabGen_q <= "0101001101110100";
WHEN "1110011" => memoryC5_uid247_exp10TabGen_q <= "0101010000111001";
WHEN "1110100" => memoryC5_uid247_exp10TabGen_q <= "0101010010100101";
WHEN "1110101" => memoryC5_uid247_exp10TabGen_q <= "0101010110001010";
WHEN "1110110" => memoryC5_uid247_exp10TabGen_q <= "0101011000010010";
WHEN "1110111" => memoryC5_uid247_exp10TabGen_q <= "0101011010111100";
WHEN "1111000" => memoryC5_uid247_exp10TabGen_q <= "0101011110010101";
WHEN "1111001" => memoryC5_uid247_exp10TabGen_q <= "0101011111111010";
WHEN "1111010" => memoryC5_uid247_exp10TabGen_q <= "0101100011000011";
WHEN "1111011" => memoryC5_uid247_exp10TabGen_q <= "0101100101011100";
WHEN "1111100" => memoryC5_uid247_exp10TabGen_q <= "0101101000101110";
WHEN "1111101" => memoryC5_uid247_exp10TabGen_q <= "0101101011100111";
WHEN "1111110" => memoryC5_uid247_exp10TabGen_q <= "0101101111011111";
WHEN "1111111" => memoryC5_uid247_exp10TabGen_q <= "0101110001010100";
WHEN OTHERS =>
memoryC5_uid247_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_yRedPostMux_uid62_fpExpETest_q_to_yPPolyEval_uid65_fpExpETest_a(DELAY,468)@13
ld_yRedPostMux_uid62_fpExpETest_q_to_yPPolyEval_uid65_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 55, depth => 1 )
PORT MAP ( xin => yRedPostMux_uid62_fpExpETest_q, xout => ld_yRedPostMux_uid62_fpExpETest_q_to_yPPolyEval_uid65_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--yPPolyEval_uid65_fpExpETest(BITSELECT,64)@14
yPPolyEval_uid65_fpExpETest_in <= ld_yRedPostMux_uid62_fpExpETest_q_to_yPPolyEval_uid65_fpExpETest_a_q(47 downto 0);
yPPolyEval_uid65_fpExpETest_b <= yPPolyEval_uid65_fpExpETest_in(47 downto 0);
--yT1_uid249_exp10PolyEval(BITSELECT,248)@14
yT1_uid249_exp10PolyEval_in <= yPPolyEval_uid65_fpExpETest_b;
yT1_uid249_exp10PolyEval_b <= yT1_uid249_exp10PolyEval_in(47 downto 32);
--reg_yT1_uid249_exp10PolyEval_0_to_prodXY_uid280_pT1_uid250_exp10PolyEval_0(REG,384)@14
reg_yT1_uid249_exp10PolyEval_0_to_prodXY_uid280_pT1_uid250_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid249_exp10PolyEval_0_to_prodXY_uid280_pT1_uid250_exp10PolyEval_0_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid249_exp10PolyEval_0_to_prodXY_uid280_pT1_uid250_exp10PolyEval_0_q <= yT1_uid249_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid280_pT1_uid250_exp10PolyEval(MULT,279)@15
prodXY_uid280_pT1_uid250_exp10PolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid280_pT1_uid250_exp10PolyEval_a),17)) * SIGNED(prodXY_uid280_pT1_uid250_exp10PolyEval_b);
prodXY_uid280_pT1_uid250_exp10PolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid280_pT1_uid250_exp10PolyEval_a <= (others => '0');
prodXY_uid280_pT1_uid250_exp10PolyEval_b <= (others => '0');
prodXY_uid280_pT1_uid250_exp10PolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid280_pT1_uid250_exp10PolyEval_a <= reg_yT1_uid249_exp10PolyEval_0_to_prodXY_uid280_pT1_uid250_exp10PolyEval_0_q;
prodXY_uid280_pT1_uid250_exp10PolyEval_b <= memoryC5_uid247_exp10TabGen_q;
prodXY_uid280_pT1_uid250_exp10PolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid280_pT1_uid250_exp10PolyEval_pr,32));
END IF;
END IF;
END PROCESS;
prodXY_uid280_pT1_uid250_exp10PolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid280_pT1_uid250_exp10PolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid280_pT1_uid250_exp10PolyEval_q <= prodXY_uid280_pT1_uid250_exp10PolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval(BITSELECT,280)@18
prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval_in <= prodXY_uid280_pT1_uid250_exp10PolyEval_q;
prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval_b <= prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval_in(31 downto 15);
--highBBits_uid252_exp10PolyEval(BITSELECT,251)@18
highBBits_uid252_exp10PolyEval_in <= prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval_b;
highBBits_uid252_exp10PolyEval_b <= highBBits_uid252_exp10PolyEval_in(16 downto 1);
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC4_uid245_exp10TabGen_0_q_to_memoryC4_uid245_exp10TabGen_a(DELAY,687)@14
ld_reg_addr_uid64_fpExpETest_0_to_memoryC4_uid245_exp10TabGen_0_q_to_memoryC4_uid245_exp10TabGen_a : dspba_delay
GENERIC MAP ( width => 7, depth => 3 )
PORT MAP ( xin => reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q, xout => ld_reg_addr_uid64_fpExpETest_0_to_memoryC4_uid245_exp10TabGen_0_q_to_memoryC4_uid245_exp10TabGen_a_q, ena => en(0), clk => clk, aclr => areset );
--memoryC4_uid245_exp10TabGen(LOOKUP,244)@17
memoryC4_uid245_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC4_uid245_exp10TabGen_q <= "0010101010101010100110111";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_addr_uid64_fpExpETest_0_to_memoryC4_uid245_exp10TabGen_0_q_to_memoryC4_uid245_exp10TabGen_a_q) IS
WHEN "0000000" => memoryC4_uid245_exp10TabGen_q <= "0010101010101010100110111";
WHEN "0000001" => memoryC4_uid245_exp10TabGen_q <= "0010101100000000100101110";
WHEN "0000010" => memoryC4_uid245_exp10TabGen_q <= "0010101101010110110010011";
WHEN "0000011" => memoryC4_uid245_exp10TabGen_q <= "0010101110101101111001001";
WHEN "0000100" => memoryC4_uid245_exp10TabGen_q <= "0010110000000101011001111";
WHEN "0000101" => memoryC4_uid245_exp10TabGen_q <= "0010110001011101101101111";
WHEN "0000110" => memoryC4_uid245_exp10TabGen_q <= "0010110010110110110100011";
WHEN "0000111" => memoryC4_uid245_exp10TabGen_q <= "0010110100010000101001111";
WHEN "0001000" => memoryC4_uid245_exp10TabGen_q <= "0010110101101011000011010";
WHEN "0001001" => memoryC4_uid245_exp10TabGen_q <= "0010110111000110010011101";
WHEN "0001010" => memoryC4_uid245_exp10TabGen_q <= "0010111000100010000011100";
WHEN "0001011" => memoryC4_uid245_exp10TabGen_q <= "0010111001111110011110010";
WHEN "0001100" => memoryC4_uid245_exp10TabGen_q <= "0010111011011100000001001";
WHEN "0001101" => memoryC4_uid245_exp10TabGen_q <= "0010111100111010011010011";
WHEN "0001110" => memoryC4_uid245_exp10TabGen_q <= "0010111110011001000101000";
WHEN "0001111" => memoryC4_uid245_exp10TabGen_q <= "0010111111111000010100011";
WHEN "0010000" => memoryC4_uid245_exp10TabGen_q <= "0011000001011001000010010";
WHEN "0010001" => memoryC4_uid245_exp10TabGen_q <= "0011000010111010001000011";
WHEN "0010010" => memoryC4_uid245_exp10TabGen_q <= "0011000100011011101101111";
WHEN "0010011" => memoryC4_uid245_exp10TabGen_q <= "0011000101111110100011110";
WHEN "0010100" => memoryC4_uid245_exp10TabGen_q <= "0011000111100001100011000";
WHEN "0010101" => memoryC4_uid245_exp10TabGen_q <= "0011001001000101111111111";
WHEN "0010110" => memoryC4_uid245_exp10TabGen_q <= "0011001010101010110100100";
WHEN "0010111" => memoryC4_uid245_exp10TabGen_q <= "0011001100010000101101011";
WHEN "0011000" => memoryC4_uid245_exp10TabGen_q <= "0011001101110110111101111";
WHEN "0011001" => memoryC4_uid245_exp10TabGen_q <= "0011001111011110010000111";
WHEN "0011010" => memoryC4_uid245_exp10TabGen_q <= "0011010001000110101010101";
WHEN "0011011" => memoryC4_uid245_exp10TabGen_q <= "0011010010101111100100001";
WHEN "0011100" => memoryC4_uid245_exp10TabGen_q <= "0011010100011001001101011";
WHEN "0011101" => memoryC4_uid245_exp10TabGen_q <= "0011010110000011110001011";
WHEN "0011110" => memoryC4_uid245_exp10TabGen_q <= "0011010111101111001100101";
WHEN "0011111" => memoryC4_uid245_exp10TabGen_q <= "0011011001011011111000011";
WHEN "0100000" => memoryC4_uid245_exp10TabGen_q <= "0011011011001000110101101";
WHEN "0100001" => memoryC4_uid245_exp10TabGen_q <= "0011011100110110110111000";
WHEN "0100010" => memoryC4_uid245_exp10TabGen_q <= "0011011110100101111111111";
WHEN "0100011" => memoryC4_uid245_exp10TabGen_q <= "0011100000010101100111000";
WHEN "0100100" => memoryC4_uid245_exp10TabGen_q <= "0011100010000101111100000";
WHEN "0100101" => memoryC4_uid245_exp10TabGen_q <= "0011100011110111110110010";
WHEN "0100110" => memoryC4_uid245_exp10TabGen_q <= "0011100101101001111011110";
WHEN "0100111" => memoryC4_uid245_exp10TabGen_q <= "0011100111011101010011110";
WHEN "0101000" => memoryC4_uid245_exp10TabGen_q <= "0011101001010001100010110";
WHEN "0101001" => memoryC4_uid245_exp10TabGen_q <= "0011101011000110101000000";
WHEN "0101010" => memoryC4_uid245_exp10TabGen_q <= "0011101100111100001111000";
WHEN "0101011" => memoryC4_uid245_exp10TabGen_q <= "0011101110110011100001000";
WHEN "0101100" => memoryC4_uid245_exp10TabGen_q <= "0011110000101011000101111";
WHEN "0101101" => memoryC4_uid245_exp10TabGen_q <= "0011110010100100001011000";
WHEN "0101110" => memoryC4_uid245_exp10TabGen_q <= "0011110100011110001001010";
WHEN "0101111" => memoryC4_uid245_exp10TabGen_q <= "0011110110011000011111110";
WHEN "0110000" => memoryC4_uid245_exp10TabGen_q <= "0011111000010100001101010";
WHEN "0110001" => memoryC4_uid245_exp10TabGen_q <= "0011111010010000110001010";
WHEN "0110010" => memoryC4_uid245_exp10TabGen_q <= "0011111100001110011011010";
WHEN "0110011" => memoryC4_uid245_exp10TabGen_q <= "0011111110001100111101101";
WHEN "0110100" => memoryC4_uid245_exp10TabGen_q <= "0100000000001100101011001";
WHEN "0110101" => memoryC4_uid245_exp10TabGen_q <= "0100000010001101100001011";
WHEN "0110110" => memoryC4_uid245_exp10TabGen_q <= "0100000100001110111000001";
WHEN "0110111" => memoryC4_uid245_exp10TabGen_q <= "0100000110010001011001101";
WHEN "0111000" => memoryC4_uid245_exp10TabGen_q <= "0100001000010101001110001";
WHEN "0111001" => memoryC4_uid245_exp10TabGen_q <= "0100001010011001110101000";
WHEN "0111010" => memoryC4_uid245_exp10TabGen_q <= "0100001100011111101101100";
WHEN "0111011" => memoryC4_uid245_exp10TabGen_q <= "0100001110100110100010011";
WHEN "0111100" => memoryC4_uid245_exp10TabGen_q <= "0100010000101110011010110";
WHEN "0111101" => memoryC4_uid245_exp10TabGen_q <= "0100010010110111001010011";
WHEN "0111110" => memoryC4_uid245_exp10TabGen_q <= "0100010101000001010010000";
WHEN "0111111" => memoryC4_uid245_exp10TabGen_q <= "0100010111001011111100111";
WHEN "1000000" => memoryC4_uid245_exp10TabGen_q <= "0100011001011000000110010";
WHEN "1000001" => memoryC4_uid245_exp10TabGen_q <= "0100011011100101101010011";
WHEN "1000010" => memoryC4_uid245_exp10TabGen_q <= "0100011101110100001011000";
WHEN "1000011" => memoryC4_uid245_exp10TabGen_q <= "0100100000000011010000011";
WHEN "1000100" => memoryC4_uid245_exp10TabGen_q <= "0100100010010011111101000";
WHEN "1000101" => memoryC4_uid245_exp10TabGen_q <= "0100100100100101110001011";
WHEN "1000110" => memoryC4_uid245_exp10TabGen_q <= "0100100110111000010110111";
WHEN "1000111" => memoryC4_uid245_exp10TabGen_q <= "0100101001001100101100000";
WHEN "1001000" => memoryC4_uid245_exp10TabGen_q <= "0100101011100001101000110";
WHEN "1001001" => memoryC4_uid245_exp10TabGen_q <= "0100101101111000000100100";
WHEN "1001010" => memoryC4_uid245_exp10TabGen_q <= "0100110000001111100110101";
WHEN "1001011" => memoryC4_uid245_exp10TabGen_q <= "0100110010101000100001110";
WHEN "1001100" => memoryC4_uid245_exp10TabGen_q <= "0100110101000010011011010";
WHEN "1001101" => memoryC4_uid245_exp10TabGen_q <= "0100110111011101100001110";
WHEN "1001110" => memoryC4_uid245_exp10TabGen_q <= "0100111001111001101111111";
WHEN "1001111" => memoryC4_uid245_exp10TabGen_q <= "0100111100010111010001010";
WHEN "1010000" => memoryC4_uid245_exp10TabGen_q <= "0100111110110110001011011";
WHEN "1010001" => memoryC4_uid245_exp10TabGen_q <= "0101000001010110000111110";
WHEN "1010010" => memoryC4_uid245_exp10TabGen_q <= "0101000011110111101000110";
WHEN "1010011" => memoryC4_uid245_exp10TabGen_q <= "0101000110011010000111110";
WHEN "1010100" => memoryC4_uid245_exp10TabGen_q <= "0101001000111101111101011";
WHEN "1010101" => memoryC4_uid245_exp10TabGen_q <= "0101001011100010110100011";
WHEN "1010110" => memoryC4_uid245_exp10TabGen_q <= "0101001110001001010100001";
WHEN "1010111" => memoryC4_uid245_exp10TabGen_q <= "0101010000110001010011000";
WHEN "1011000" => memoryC4_uid245_exp10TabGen_q <= "0101010011011010010000001";
WHEN "1011001" => memoryC4_uid245_exp10TabGen_q <= "0101010110000100101000010";
WHEN "1011010" => memoryC4_uid245_exp10TabGen_q <= "0101011000110000000100011";
WHEN "1011011" => memoryC4_uid245_exp10TabGen_q <= "0101011011011100111110111";
WHEN "1011100" => memoryC4_uid245_exp10TabGen_q <= "0101011110001011111000111";
WHEN "1011101" => memoryC4_uid245_exp10TabGen_q <= "0101100000111011101001000";
WHEN "1011110" => memoryC4_uid245_exp10TabGen_q <= "0101100011101100011101001";
WHEN "1011111" => memoryC4_uid245_exp10TabGen_q <= "0101100110011111000100111";
WHEN "1100000" => memoryC4_uid245_exp10TabGen_q <= "0101101001010011001001110";
WHEN "1100001" => memoryC4_uid245_exp10TabGen_q <= "0101101100001000011001111";
WHEN "1100010" => memoryC4_uid245_exp10TabGen_q <= "0101101110111111000101011";
WHEN "1100011" => memoryC4_uid245_exp10TabGen_q <= "0101110001110111100010111";
WHEN "1100100" => memoryC4_uid245_exp10TabGen_q <= "0101110100110001000110101";
WHEN "1100101" => memoryC4_uid245_exp10TabGen_q <= "0101110111101100000011000";
WHEN "1100110" => memoryC4_uid245_exp10TabGen_q <= "0101111010101000101010001";
WHEN "1100111" => memoryC4_uid245_exp10TabGen_q <= "0101111101100110101011001";
WHEN "1101000" => memoryC4_uid245_exp10TabGen_q <= "0110000000100110011100100";
WHEN "1101001" => memoryC4_uid245_exp10TabGen_q <= "0110000011100111100001001";
WHEN "1101010" => memoryC4_uid245_exp10TabGen_q <= "0110000110101001110010101";
WHEN "1101011" => memoryC4_uid245_exp10TabGen_q <= "0110001001101110010010001";
WHEN "1101100" => memoryC4_uid245_exp10TabGen_q <= "0110001100110011111111000";
WHEN "1101101" => memoryC4_uid245_exp10TabGen_q <= "0110001111111010111100010";
WHEN "1101110" => memoryC4_uid245_exp10TabGen_q <= "0110010011000011100101011";
WHEN "1101111" => memoryC4_uid245_exp10TabGen_q <= "0110010110001110001010101";
WHEN "1110000" => memoryC4_uid245_exp10TabGen_q <= "0110011001011010000010001";
WHEN "1110001" => memoryC4_uid245_exp10TabGen_q <= "0110011100100111100001011";
WHEN "1110010" => memoryC4_uid245_exp10TabGen_q <= "0110011111110110101000110";
WHEN "1110011" => memoryC4_uid245_exp10TabGen_q <= "0110100011000111001100001";
WHEN "1110100" => memoryC4_uid245_exp10TabGen_q <= "0110100110011001111010000";
WHEN "1110101" => memoryC4_uid245_exp10TabGen_q <= "0110101001101101101000011";
WHEN "1110110" => memoryC4_uid245_exp10TabGen_q <= "0110101101000011011111100";
WHEN "1110111" => memoryC4_uid245_exp10TabGen_q <= "0110110000011010111001111";
WHEN "1111000" => memoryC4_uid245_exp10TabGen_q <= "0110110011110011101100100";
WHEN "1111001" => memoryC4_uid245_exp10TabGen_q <= "0110110111001110110110100";
WHEN "1111010" => memoryC4_uid245_exp10TabGen_q <= "0110111010101011001101011";
WHEN "1111011" => memoryC4_uid245_exp10TabGen_q <= "0110111110001001100010010";
WHEN "1111100" => memoryC4_uid245_exp10TabGen_q <= "0111000001101001010101001";
WHEN "1111101" => memoryC4_uid245_exp10TabGen_q <= "0111000101001011000010001";
WHEN "1111110" => memoryC4_uid245_exp10TabGen_q <= "0111001000101110001001100";
WHEN "1111111" => memoryC4_uid245_exp10TabGen_q <= "0111001100010011101111011";
WHEN OTHERS =>
memoryC4_uid245_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid253_exp10PolyEval(ADD,252)@18
sumAHighB_uid253_exp10PolyEval_a <= STD_LOGIC_VECTOR((25 downto 25 => memoryC4_uid245_exp10TabGen_q(24)) & memoryC4_uid245_exp10TabGen_q);
sumAHighB_uid253_exp10PolyEval_b <= STD_LOGIC_VECTOR((25 downto 16 => highBBits_uid252_exp10PolyEval_b(15)) & highBBits_uid252_exp10PolyEval_b);
sumAHighB_uid253_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid253_exp10PolyEval_a) + SIGNED(sumAHighB_uid253_exp10PolyEval_b));
sumAHighB_uid253_exp10PolyEval_q <= sumAHighB_uid253_exp10PolyEval_o(25 downto 0);
--lowRangeB_uid251_exp10PolyEval(BITSELECT,250)@18
lowRangeB_uid251_exp10PolyEval_in <= prodXYTruncFR_uid281_pT1_uid250_exp10PolyEval_b(0 downto 0);
lowRangeB_uid251_exp10PolyEval_b <= lowRangeB_uid251_exp10PolyEval_in(0 downto 0);
--s1_uid251_uid254_exp10PolyEval(BITJOIN,253)@18
s1_uid251_uid254_exp10PolyEval_q <= sumAHighB_uid253_exp10PolyEval_q & lowRangeB_uid251_exp10PolyEval_b;
--reg_s1_uid251_uid254_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_1(REG,387)@18
reg_s1_uid251_uid254_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid251_uid254_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid251_uid254_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_1_q <= s1_uid251_uid254_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor(LOGICAL,1157)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_b <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena_q;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_q <= not (ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_a or ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_b);
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_cmpReg(REG,1155)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena(REG,1158)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_nor_q = "1") THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena_q <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd(LOGICAL,1159)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_a <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_sticky_ena_q;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_b <= en;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_q <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_a and ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_b;
--yT2_uid255_exp10PolyEval(BITSELECT,254)@14
yT2_uid255_exp10PolyEval_in <= yPPolyEval_uid65_fpExpETest_b;
yT2_uid255_exp10PolyEval_b <= yT2_uid255_exp10PolyEval_in(47 downto 23);
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_inputreg(DELAY,1149)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 25, depth => 1 )
PORT MAP ( xin => yT2_uid255_exp10PolyEval_b, xout => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt(COUNTER,1151)
-- every=1, low=0, high=1, step=1, init=1
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_i <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_i,1));
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg(REG,1152)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg_q <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux(MUX,1153)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_s <= en;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux: PROCESS (ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_s, ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg_q, ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_q)
BEGIN
CASE ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_s IS
WHEN "0" => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_q <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg_q;
WHEN "1" => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_q <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem(DUALMEM,1150)
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_ia <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_inputreg_q;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_aa <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdreg_q;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_ab <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_rdmux_q;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 25,
widthad_a => 1,
numwords_a => 2,
width_b => 25,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_iq,
address_a => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_aa,
data_a => ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_ia
);
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_reset0 <= areset;
ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_q <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_iq(24 downto 0);
--reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0(REG,386)@18
reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_q <= ld_yT2_uid255_exp10PolyEval_b_to_reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--prodXY_uid283_pT2_uid256_exp10PolyEval(MULT,282)@19
prodXY_uid283_pT2_uid256_exp10PolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid283_pT2_uid256_exp10PolyEval_a),26)) * SIGNED(prodXY_uid283_pT2_uid256_exp10PolyEval_b);
prodXY_uid283_pT2_uid256_exp10PolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid283_pT2_uid256_exp10PolyEval_a <= (others => '0');
prodXY_uid283_pT2_uid256_exp10PolyEval_b <= (others => '0');
prodXY_uid283_pT2_uid256_exp10PolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid283_pT2_uid256_exp10PolyEval_a <= reg_yT2_uid255_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_0_q;
prodXY_uid283_pT2_uid256_exp10PolyEval_b <= reg_s1_uid251_uid254_exp10PolyEval_0_to_prodXY_uid283_pT2_uid256_exp10PolyEval_1_q;
prodXY_uid283_pT2_uid256_exp10PolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid283_pT2_uid256_exp10PolyEval_pr,52));
END IF;
END IF;
END PROCESS;
prodXY_uid283_pT2_uid256_exp10PolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid283_pT2_uid256_exp10PolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid283_pT2_uid256_exp10PolyEval_q <= prodXY_uid283_pT2_uid256_exp10PolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval(BITSELECT,283)@22
prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval_in <= prodXY_uid283_pT2_uid256_exp10PolyEval_q;
prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval_b <= prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval_in(51 downto 24);
--highBBits_uid258_exp10PolyEval(BITSELECT,257)@22
highBBits_uid258_exp10PolyEval_in <= prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval_b;
highBBits_uid258_exp10PolyEval_b <= highBBits_uid258_exp10PolyEval_in(27 downto 1);
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor(LOGICAL,1055)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_b <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_q <= not (ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_a or ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_b);
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_mem_top(CONSTANT,1051)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_mem_top_q <= "0100";
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp(LOGICAL,1052)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_a <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_mem_top_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_q);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_q <= "1" when ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_a = ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_b else "0";
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmpReg(REG,1053)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmpReg_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena(REG,1056)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_nor_q = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd(LOGICAL,1057)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_a <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_sticky_ena_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_b <= en;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_a and ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_b;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_inputreg(DELAY,1006)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_inputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q, xout => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt(COUNTER,1047)
-- every=1, low=0, high=4, step=1, init=1
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i = 3 THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_eq = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i - 4;
ELSE
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_i,3));
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg(REG,1048)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux(MUX,1049)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_s <= en;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux: PROCESS (ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_s, ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg_q, ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_s IS
WHEN "0" => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg_q;
WHEN "1" => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem(DUALMEM,1046)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_ia <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_inputreg_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_aa <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdreg_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_ab <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_rdmux_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 3,
numwords_a => 5,
width_b => 7,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_iq,
address_a => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_aa,
data_a => ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_ia
);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_reset0 <= areset;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_iq(6 downto 0);
--memoryC3_uid243_exp10TabGen(LOOKUP,242)@21
memoryC3_uid243_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC3_uid243_exp10TabGen_q <= "00101010101010101010101010101101111";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_addr_uid64_fpExpETest_0_to_memoryC3_uid243_exp10TabGen_0_q_to_memoryC3_uid243_exp10TabGen_a_replace_mem_q) IS
WHEN "0000000" => memoryC3_uid243_exp10TabGen_q <= "00101010101010101010101010101101111";
WHEN "0000001" => memoryC3_uid243_exp10TabGen_q <= "00101011000000000101010101101011011";
WHEN "0000010" => memoryC3_uid243_exp10TabGen_q <= "00101011010101101010110001100001111";
WHEN "0000011" => memoryC3_uid243_exp10TabGen_q <= "00101011101011011011000010011000001";
WHEN "0000100" => memoryC3_uid243_exp10TabGen_q <= "00101100000001010110001110100011110";
WHEN "0000101" => memoryC3_uid243_exp10TabGen_q <= "00101100010111011100011010111011100";
WHEN "0000110" => memoryC3_uid243_exp10TabGen_q <= "00101100101101101101101100111110101";
WHEN "0000111" => memoryC3_uid243_exp10TabGen_q <= "00101101000100001010001010010011010";
WHEN "0001000" => memoryC3_uid243_exp10TabGen_q <= "00101101011010110001111001000001100";
WHEN "0001001" => memoryC3_uid243_exp10TabGen_q <= "00101101110001100100111110001011000";
WHEN "0001010" => memoryC3_uid243_exp10TabGen_q <= "00101110001000100011100000000110101";
WHEN "0001011" => memoryC3_uid243_exp10TabGen_q <= "00101110011111101101100100010001111";
WHEN "0001100" => memoryC3_uid243_exp10TabGen_q <= "00101110110111000011001111101001101";
WHEN "0001101" => memoryC3_uid243_exp10TabGen_q <= "00101111001110100100101000011111000";
WHEN "0001110" => memoryC3_uid243_exp10TabGen_q <= "00101111100110010001110101111100101";
WHEN "0001111" => memoryC3_uid243_exp10TabGen_q <= "00101111111110001010111101010010000";
WHEN "0010000" => memoryC3_uid243_exp10TabGen_q <= "00110000010110010000000010110000111";
WHEN "0010001" => memoryC3_uid243_exp10TabGen_q <= "00110000101110100001001110011111001";
WHEN "0010010" => memoryC3_uid243_exp10TabGen_q <= "00110001000110111110100110100000011";
WHEN "0010011" => memoryC3_uid243_exp10TabGen_q <= "00110001011111101000001111001011001";
WHEN "0010100" => memoryC3_uid243_exp10TabGen_q <= "00110001111000011110010001000100010";
WHEN "0010101" => memoryC3_uid243_exp10TabGen_q <= "00110010010001100000101111101110000";
WHEN "0010110" => memoryC3_uid243_exp10TabGen_q <= "00110010101010101111110011100001100";
WHEN "0010111" => memoryC3_uid243_exp10TabGen_q <= "00110011000100001011100001100001111";
WHEN "0011000" => memoryC3_uid243_exp10TabGen_q <= "00110011011101110100000001011100101";
WHEN "0011001" => memoryC3_uid243_exp10TabGen_q <= "00110011110111101001011000010101000";
WHEN "0011010" => memoryC3_uid243_exp10TabGen_q <= "00110100010001101011101100100010010";
WHEN "0011011" => memoryC3_uid243_exp10TabGen_q <= "00110100101011111011000101110001111";
WHEN "0011100" => memoryC3_uid243_exp10TabGen_q <= "00110101000110010111101010001110011";
WHEN "0011101" => memoryC3_uid243_exp10TabGen_q <= "00110101100001000001100000000100001";
WHEN "0011110" => memoryC3_uid243_exp10TabGen_q <= "00110101111011111000101110000101010";
WHEN "0011111" => memoryC3_uid243_exp10TabGen_q <= "00110110010110111101011010010100110";
WHEN "0100000" => memoryC3_uid243_exp10TabGen_q <= "00110110110010001111101101011010010";
WHEN "0100001" => memoryC3_uid243_exp10TabGen_q <= "00110111001101101111101100101000101";
WHEN "0100010" => memoryC3_uid243_exp10TabGen_q <= "00110111101001011101011110110010101";
WHEN "0100011" => memoryC3_uid243_exp10TabGen_q <= "00111000000101011001001100000100111";
WHEN "0100100" => memoryC3_uid243_exp10TabGen_q <= "00111000100001100010111011000111001";
WHEN "0100101" => memoryC3_uid243_exp10TabGen_q <= "00111000111101111010110001000111010";
WHEN "0100110" => memoryC3_uid243_exp10TabGen_q <= "00111001011010100000111000000010011";
WHEN "0100111" => memoryC3_uid243_exp10TabGen_q <= "00111001110111010101010100101101100";
WHEN "0101000" => memoryC3_uid243_exp10TabGen_q <= "00111010010100011000001111011100111";
WHEN "0101001" => memoryC3_uid243_exp10TabGen_q <= "00111010110001101001101111010100011";
WHEN "0101010" => memoryC3_uid243_exp10TabGen_q <= "00111011001111001001111100011010010";
WHEN "0101011" => memoryC3_uid243_exp10TabGen_q <= "00111011101100111000111011110110001";
WHEN "0101100" => memoryC3_uid243_exp10TabGen_q <= "00111100001010110110110111110001010";
WHEN "0101101" => memoryC3_uid243_exp10TabGen_q <= "00111100101001000011110101010010101";
WHEN "0101110" => memoryC3_uid243_exp10TabGen_q <= "00111101000111011111111101001100100";
WHEN "0101111" => memoryC3_uid243_exp10TabGen_q <= "00111101100110001011011000000101100";
WHEN "0110000" => memoryC3_uid243_exp10TabGen_q <= "00111110000101000110001011101110111";
WHEN "0110001" => memoryC3_uid243_exp10TabGen_q <= "00111110100100010000100000111101010";
WHEN "0110010" => memoryC3_uid243_exp10TabGen_q <= "00111111000011101010011110111011001";
WHEN "0110011" => memoryC3_uid243_exp10TabGen_q <= "00111111100011010100001110000110011";
WHEN "0110100" => memoryC3_uid243_exp10TabGen_q <= "01000000000011001101110101110010101";
WHEN "0110101" => memoryC3_uid243_exp10TabGen_q <= "01000000100011010111011101111010000";
WHEN "0110110" => memoryC3_uid243_exp10TabGen_q <= "01000001000011110001001111111000011";
WHEN "0110111" => memoryC3_uid243_exp10TabGen_q <= "01000001100100011011010010100100000";
WHEN "0111000" => memoryC3_uid243_exp10TabGen_q <= "01000010000101010101101101110001001";
WHEN "0111001" => memoryC3_uid243_exp10TabGen_q <= "01000010100110100000101010111010001";
WHEN "0111010" => memoryC3_uid243_exp10TabGen_q <= "01000011000111111100010001000011010";
WHEN "0111011" => memoryC3_uid243_exp10TabGen_q <= "01000011101001101000101001100001011";
WHEN "0111100" => memoryC3_uid243_exp10TabGen_q <= "01000100001011100101111100010101100";
WHEN "0111101" => memoryC3_uid243_exp10TabGen_q <= "01000100101101110100010010011111111";
WHEN "0111110" => memoryC3_uid243_exp10TabGen_q <= "01000101010000010011110011011101011";
WHEN "0111111" => memoryC3_uid243_exp10TabGen_q <= "01000101110011000100101001100111101";
WHEN "1000000" => memoryC3_uid243_exp10TabGen_q <= "01000110010110000110111011110001110";
WHEN "1000001" => memoryC3_uid243_exp10TabGen_q <= "01000110111001011010110010110111001";
WHEN "1000010" => memoryC3_uid243_exp10TabGen_q <= "01000111011101000000011000100111110";
WHEN "1000011" => memoryC3_uid243_exp10TabGen_q <= "01001000000000110111110110101011010";
WHEN "1000100" => memoryC3_uid243_exp10TabGen_q <= "01001000100101000001010100000000111";
WHEN "1000101" => memoryC3_uid243_exp10TabGen_q <= "01001001001001011100111010100011101";
WHEN "1000110" => memoryC3_uid243_exp10TabGen_q <= "01001001101110001010110100010011010";
WHEN "1000111" => memoryC3_uid243_exp10TabGen_q <= "01001010010011001011001000011100000";
WHEN "1001000" => memoryC3_uid243_exp10TabGen_q <= "01001010111000011110000010011000010";
WHEN "1001001" => memoryC3_uid243_exp10TabGen_q <= "01001011011110000011101001110110000";
WHEN "1001010" => memoryC3_uid243_exp10TabGen_q <= "01001100000011111100001000111111000";
WHEN "1001011" => memoryC3_uid243_exp10TabGen_q <= "01001100101010000111101000110011011";
WHEN "1001100" => memoryC3_uid243_exp10TabGen_q <= "01001101010000100110010011100000101";
WHEN "1001101" => memoryC3_uid243_exp10TabGen_q <= "01001101110111011000010010010110010";
WHEN "1001110" => memoryC3_uid243_exp10TabGen_q <= "01001110011110011101101111010011000";
WHEN "1001111" => memoryC3_uid243_exp10TabGen_q <= "01001111000101110110110011101100000";
WHEN "1010000" => memoryC3_uid243_exp10TabGen_q <= "01001111101101100011101001010010100";
WHEN "1010001" => memoryC3_uid243_exp10TabGen_q <= "01010000010101100100011010101011011";
WHEN "1010010" => memoryC3_uid243_exp10TabGen_q <= "01010000111101111001010000110011110";
WHEN "1010011" => memoryC3_uid243_exp10TabGen_q <= "01010001100110100010010111000101101";
WHEN "1010100" => memoryC3_uid243_exp10TabGen_q <= "01010010001111011111110110110000001";
WHEN "1010101" => memoryC3_uid243_exp10TabGen_q <= "01010010111000110001111010111010000";
WHEN "1010110" => memoryC3_uid243_exp10TabGen_q <= "01010011100010011000101100100001011";
WHEN "1010111" => memoryC3_uid243_exp10TabGen_q <= "01010100001100010100010110011000000";
WHEN "1011000" => memoryC3_uid243_exp10TabGen_q <= "01010100110110100101000100000100011";
WHEN "1011001" => memoryC3_uid243_exp10TabGen_q <= "01010101100001001010111111000111101";
WHEN "1011010" => memoryC3_uid243_exp10TabGen_q <= "01010110001100000110010011000111011";
WHEN "1011011" => memoryC3_uid243_exp10TabGen_q <= "01010110110111010111001001110100000";
WHEN "1011100" => memoryC3_uid243_exp10TabGen_q <= "01010111100010111101101101001011111";
WHEN "1011101" => memoryC3_uid243_exp10TabGen_q <= "01011000001110111010001010001111101";
WHEN "1011110" => memoryC3_uid243_exp10TabGen_q <= "01011000111011001100101011110000010";
WHEN "1011111" => memoryC3_uid243_exp10TabGen_q <= "01011001100111110101011011001001100";
WHEN "1100000" => memoryC3_uid243_exp10TabGen_q <= "01011010010100110100100100011100111";
WHEN "1100001" => memoryC3_uid243_exp10TabGen_q <= "01011011000010001010010011100000000";
WHEN "1100010" => memoryC3_uid243_exp10TabGen_q <= "01011011101111110110110010111100110";
WHEN "1100011" => memoryC3_uid243_exp10TabGen_q <= "01011100011101111010001101110001000";
WHEN "1100100" => memoryC3_uid243_exp10TabGen_q <= "01011101001100010100110000101110011";
WHEN "1100101" => memoryC3_uid243_exp10TabGen_q <= "01011101111011000110100110111100011";
WHEN "1100110" => memoryC3_uid243_exp10TabGen_q <= "01011110101010001111111011100011011";
WHEN "1100111" => memoryC3_uid243_exp10TabGen_q <= "01011111011001110000111010111000000";
WHEN "1101000" => memoryC3_uid243_exp10TabGen_q <= "01100000001001101001110000000101000";
WHEN "1101001" => memoryC3_uid243_exp10TabGen_q <= "01100000111001111010101000001000101";
WHEN "1101010" => memoryC3_uid243_exp10TabGen_q <= "01100001101010100011101111010001110";
WHEN "1101011" => memoryC3_uid243_exp10TabGen_q <= "01100010011011100101001111110000101";
WHEN "1101100" => memoryC3_uid243_exp10TabGen_q <= "01100011001100111111010111101111110";
WHEN "1101101" => memoryC3_uid243_exp10TabGen_q <= "01100011111110110010010011100110010";
WHEN "1101110" => memoryC3_uid243_exp10TabGen_q <= "01100100110000111110001110110101100";
WHEN "1101111" => memoryC3_uid243_exp10TabGen_q <= "01100101100011100011010101101101001";
WHEN "1110000" => memoryC3_uid243_exp10TabGen_q <= "01100110010110100001110101111100110";
WHEN "1110001" => memoryC3_uid243_exp10TabGen_q <= "01100111001001111001111011111001101";
WHEN "1110010" => memoryC3_uid243_exp10TabGen_q <= "01100111111101101011110100001100011";
WHEN "1110011" => memoryC3_uid243_exp10TabGen_q <= "01101000110001110111101100011010111";
WHEN "1110100" => memoryC3_uid243_exp10TabGen_q <= "01101001100110011101101111111111000";
WHEN "1110101" => memoryC3_uid243_exp10TabGen_q <= "01101010011011011110001110011101010";
WHEN "1110110" => memoryC3_uid243_exp10TabGen_q <= "01101011010000111001010010111011001";
WHEN "1110111" => memoryC3_uid243_exp10TabGen_q <= "01101100000110101111001011110001101";
WHEN "1111000" => memoryC3_uid243_exp10TabGen_q <= "01101100111101000000000111000100011";
WHEN "1111001" => memoryC3_uid243_exp10TabGen_q <= "01101101110011101100010000001110111";
WHEN "1111010" => memoryC3_uid243_exp10TabGen_q <= "01101110101010110011110111010100111";
WHEN "1111011" => memoryC3_uid243_exp10TabGen_q <= "01101111100010010111001000101100111";
WHEN "1111100" => memoryC3_uid243_exp10TabGen_q <= "01110000011010010110010011001011011";
WHEN "1111101" => memoryC3_uid243_exp10TabGen_q <= "01110001010010110001100011111011011";
WHEN "1111110" => memoryC3_uid243_exp10TabGen_q <= "01110010001011101001001010001001100";
WHEN "1111111" => memoryC3_uid243_exp10TabGen_q <= "01110011000100111101010001111100100";
WHEN OTHERS =>
memoryC3_uid243_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid259_exp10PolyEval(ADD,258)@22
sumAHighB_uid259_exp10PolyEval_a <= STD_LOGIC_VECTOR((35 downto 35 => memoryC3_uid243_exp10TabGen_q(34)) & memoryC3_uid243_exp10TabGen_q);
sumAHighB_uid259_exp10PolyEval_b <= STD_LOGIC_VECTOR((35 downto 27 => highBBits_uid258_exp10PolyEval_b(26)) & highBBits_uid258_exp10PolyEval_b);
sumAHighB_uid259_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid259_exp10PolyEval_a) + SIGNED(sumAHighB_uid259_exp10PolyEval_b));
sumAHighB_uid259_exp10PolyEval_q <= sumAHighB_uid259_exp10PolyEval_o(35 downto 0);
--lowRangeB_uid257_exp10PolyEval(BITSELECT,256)@22
lowRangeB_uid257_exp10PolyEval_in <= prodXYTruncFR_uid284_pT2_uid256_exp10PolyEval_b(0 downto 0);
lowRangeB_uid257_exp10PolyEval_b <= lowRangeB_uid257_exp10PolyEval_in(0 downto 0);
--s2_uid257_uid260_exp10PolyEval(BITJOIN,259)@22
s2_uid257_uid260_exp10PolyEval_q <= sumAHighB_uid259_exp10PolyEval_q & lowRangeB_uid257_exp10PolyEval_b;
--yTop18Bits_uid292_pT3_uid262_exp10PolyEval(BITSELECT,291)@22
yTop18Bits_uid292_pT3_uid262_exp10PolyEval_in <= s2_uid257_uid260_exp10PolyEval_q;
yTop18Bits_uid292_pT3_uid262_exp10PolyEval_b <= yTop18Bits_uid292_pT3_uid262_exp10PolyEval_in(36 downto 19);
--reg_yTop18Bits_uid292_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_9(REG,392)@22
reg_yTop18Bits_uid292_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop18Bits_uid292_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_9_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop18Bits_uid292_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_9_q <= yTop18Bits_uid292_pT3_uid262_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor(LOGICAL,1068)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_b <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_q <= not (ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_a or ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_b);
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena(REG,1069)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_nor_q = "1") THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena_q <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd(LOGICAL,1070)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_a <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_sticky_ena_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_b <= en;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_a and ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_b;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_inputreg(DELAY,1058)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 48, depth => 1 )
PORT MAP ( xin => yPPolyEval_uid65_fpExpETest_b, xout => ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem(DUALMEM,1059)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_ia <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_inputreg_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_aa <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdreg_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_ab <= ld_oFracX_uid32_uid32_fpExpETest_q_to_oFracXZwE_uid48_fpExpETest_b_replace_rdmux_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 48,
widthad_a => 3,
numwords_a => 6,
width_b => 48,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_iq,
address_a => ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_aa,
data_a => ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_ia
);
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_reset0 <= areset;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_iq(47 downto 0);
--yT3_uid261_exp10PolyEval(BITSELECT,260)@22
yT3_uid261_exp10PolyEval_in <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_replace_mem_q;
yT3_uid261_exp10PolyEval_b <= yT3_uid261_exp10PolyEval_in(47 downto 13);
--xBottomBits_uid291_pT3_uid262_exp10PolyEval(BITSELECT,290)@22
xBottomBits_uid291_pT3_uid262_exp10PolyEval_in <= yT3_uid261_exp10PolyEval_b(7 downto 0);
xBottomBits_uid291_pT3_uid262_exp10PolyEval_b <= xBottomBits_uid291_pT3_uid262_exp10PolyEval_in(7 downto 0);
--pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval(BITJOIN,293)@22
pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_q <= xBottomBits_uid291_pT3_uid262_exp10PolyEval_b & STD_LOGIC_VECTOR((8 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_7(REG,391)@22
reg_pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_7_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_7_q <= pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid290_pT3_uid262_exp10PolyEval(BITSELECT,289)@22
yBottomBits_uid290_pT3_uid262_exp10PolyEval_in <= s2_uid257_uid260_exp10PolyEval_q(9 downto 0);
yBottomBits_uid290_pT3_uid262_exp10PolyEval_b <= yBottomBits_uid290_pT3_uid262_exp10PolyEval_in(9 downto 0);
--spad_yBottomBits_uid290_uid293_pT3_uid262_exp10PolyEval(BITJOIN,292)@22
spad_yBottomBits_uid290_uid293_pT3_uid262_exp10PolyEval_q <= GND_q & yBottomBits_uid290_pT3_uid262_exp10PolyEval_b;
--pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval(BITJOIN,294)@22
pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_q <= spad_yBottomBits_uid290_uid293_pT3_uid262_exp10PolyEval_q & STD_LOGIC_VECTOR((6 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_6(REG,390)@22
reg_pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_6_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_6_q <= pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--xTop18Bits_uid289_pT3_uid262_exp10PolyEval(BITSELECT,288)@22
xTop18Bits_uid289_pT3_uid262_exp10PolyEval_in <= yT3_uid261_exp10PolyEval_b;
xTop18Bits_uid289_pT3_uid262_exp10PolyEval_b <= xTop18Bits_uid289_pT3_uid262_exp10PolyEval_in(34 downto 17);
--reg_xTop18Bits_uid289_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_4(REG,389)@22
reg_xTop18Bits_uid289_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop18Bits_uid289_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_4_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop18Bits_uid289_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_4_q <= xTop18Bits_uid289_pT3_uid262_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma(CHAINMULTADD,338)@23
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a(0),19));
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a(1),19));
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_p(0) <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_l(0) * multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c(0);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_p(1) <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_l(1) * multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c(1);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_w(0) <= RESIZE(multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_p(0),38) + RESIZE(multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_p(1),38);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_x(0) <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_w(0);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_y(0) <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_x(0);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a(0) <= RESIZE(UNSIGNED(reg_xTop18Bits_uid289_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_4_q),18);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid291_uid294_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_7_q),18);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c(0) <= RESIZE(SIGNED(reg_pad_yBottomBits_uid290_uid295_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_6_q),18);
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_c(1) <= RESIZE(SIGNED(reg_yTop18Bits_uid292_pT3_uid262_exp10PolyEval_0_to_multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_9_q),18);
IF (en = "1") THEN
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_s(0) <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_y(0);
END IF;
END IF;
END PROCESS;
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_delay : dspba_delay
GENERIC MAP (width => 37, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_s(0)(36 downto 0)), xout => multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_q, clk => clk, aclr => areset);
--multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval(BITSELECT,296)@26
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_in <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_cma_q;
multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_b <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_in(36 downto 7);
--highBBits_uid299_pT3_uid262_exp10PolyEval(BITSELECT,298)@26
highBBits_uid299_pT3_uid262_exp10PolyEval_in <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_b;
highBBits_uid299_pT3_uid262_exp10PolyEval_b <= highBBits_uid299_pT3_uid262_exp10PolyEval_in(29 downto 1);
--yTop27Bits_uid287_pT3_uid262_exp10PolyEval(BITSELECT,286)@22
yTop27Bits_uid287_pT3_uid262_exp10PolyEval_in <= s2_uid257_uid260_exp10PolyEval_q;
yTop27Bits_uid287_pT3_uid262_exp10PolyEval_b <= yTop27Bits_uid287_pT3_uid262_exp10PolyEval_in(36 downto 10);
--reg_yTop27Bits_uid287_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_1(REG,394)@22
reg_yTop27Bits_uid287_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid287_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid287_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_1_q <= yTop27Bits_uid287_pT3_uid262_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--xTop27Bits_uid286_pT3_uid262_exp10PolyEval(BITSELECT,285)@22
xTop27Bits_uid286_pT3_uid262_exp10PolyEval_in <= yT3_uid261_exp10PolyEval_b;
xTop27Bits_uid286_pT3_uid262_exp10PolyEval_b <= xTop27Bits_uid286_pT3_uid262_exp10PolyEval_in(34 downto 8);
--reg_xTop27Bits_uid286_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_0(REG,393)@22
reg_xTop27Bits_uid286_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid286_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid286_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_0_q <= xTop27Bits_uid286_pT3_uid262_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--topProd_uid288_pT3_uid262_exp10PolyEval(MULT,287)@23
topProd_uid288_pT3_uid262_exp10PolyEval_pr <= signed(resize(UNSIGNED(topProd_uid288_pT3_uid262_exp10PolyEval_a),28)) * SIGNED(topProd_uid288_pT3_uid262_exp10PolyEval_b);
topProd_uid288_pT3_uid262_exp10PolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid288_pT3_uid262_exp10PolyEval_a <= (others => '0');
topProd_uid288_pT3_uid262_exp10PolyEval_b <= (others => '0');
topProd_uid288_pT3_uid262_exp10PolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid288_pT3_uid262_exp10PolyEval_a <= reg_xTop27Bits_uid286_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_0_q;
topProd_uid288_pT3_uid262_exp10PolyEval_b <= reg_yTop27Bits_uid287_pT3_uid262_exp10PolyEval_0_to_topProd_uid288_pT3_uid262_exp10PolyEval_1_q;
topProd_uid288_pT3_uid262_exp10PolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid288_pT3_uid262_exp10PolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid288_pT3_uid262_exp10PolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid288_pT3_uid262_exp10PolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid288_pT3_uid262_exp10PolyEval_q <= topProd_uid288_pT3_uid262_exp10PolyEval_s1;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid300_pT3_uid262_exp10PolyEval(ADD,299)@26
sumAHighB_uid300_pT3_uid262_exp10PolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid288_pT3_uid262_exp10PolyEval_q(53)) & topProd_uid288_pT3_uid262_exp10PolyEval_q);
sumAHighB_uid300_pT3_uid262_exp10PolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => highBBits_uid299_pT3_uid262_exp10PolyEval_b(28)) & highBBits_uid299_pT3_uid262_exp10PolyEval_b);
sumAHighB_uid300_pT3_uid262_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid300_pT3_uid262_exp10PolyEval_a) + SIGNED(sumAHighB_uid300_pT3_uid262_exp10PolyEval_b));
sumAHighB_uid300_pT3_uid262_exp10PolyEval_q <= sumAHighB_uid300_pT3_uid262_exp10PolyEval_o(54 downto 0);
--lowRangeB_uid298_pT3_uid262_exp10PolyEval(BITSELECT,297)@26
lowRangeB_uid298_pT3_uid262_exp10PolyEval_in <= multSumOfTwo18_uid293_pT3_uid262_exp10PolyEval_b(0 downto 0);
lowRangeB_uid298_pT3_uid262_exp10PolyEval_b <= lowRangeB_uid298_pT3_uid262_exp10PolyEval_in(0 downto 0);
--add0_uid298_uid301_pT3_uid262_exp10PolyEval(BITJOIN,300)@26
add0_uid298_uid301_pT3_uid262_exp10PolyEval_q <= sumAHighB_uid300_pT3_uid262_exp10PolyEval_q & lowRangeB_uid298_pT3_uid262_exp10PolyEval_b;
--R_uid302_pT3_uid262_exp10PolyEval(BITSELECT,301)@26
R_uid302_pT3_uid262_exp10PolyEval_in <= add0_uid298_uid301_pT3_uid262_exp10PolyEval_q(54 downto 0);
R_uid302_pT3_uid262_exp10PolyEval_b <= R_uid302_pT3_uid262_exp10PolyEval_in(54 downto 18);
--reg_R_uid302_pT3_uid262_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_1(REG,396)@26
reg_R_uid302_pT3_uid262_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid302_pT3_uid262_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_1_q <= "0000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid302_pT3_uid262_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_1_q <= R_uid302_pT3_uid262_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor(LOGICAL,1042)
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_b <= ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena_q;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_q <= not (ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_a or ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_b);
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_mem_top(CONSTANT,1025)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_mem_top_q <= "01000";
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp(LOGICAL,1026)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_a <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_mem_top_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_q);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_q <= "1" when ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_a = ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_b else "0";
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmpReg(REG,1027)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmpReg_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena(REG,1043)
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_nor_q = "1") THEN
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd(LOGICAL,1044)
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_a <= ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_sticky_ena_q;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_b <= en;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_q <= ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_a and ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_b;
--memoryC2_uid241_exp10TabGen(LOOKUP,240)@14
memoryC2_uid241_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC2_uid241_exp10TabGen_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q) IS
WHEN "0000000" => memoryC2_uid241_exp10TabGen_q <= "000";
WHEN "0000001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0000010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0000011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0000100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0000101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0000110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0000111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0001111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0010111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0011111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0100111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0101111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0110111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "0111111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1000111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1001111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010001" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010010" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010011" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010100" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010101" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010110" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1010111" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1011000" => memoryC2_uid241_exp10TabGen_q <= "001";
WHEN "1011001" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1011010" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1011011" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1011100" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1011101" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1011110" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1011111" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100000" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100001" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100010" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100011" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100100" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100101" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100110" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1100111" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101000" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101001" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101010" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101011" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101100" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101101" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101110" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1101111" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110000" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110001" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110010" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110011" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110100" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110101" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110110" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1110111" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111000" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111001" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111010" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111011" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111100" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111101" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111110" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN "1111111" => memoryC2_uid241_exp10TabGen_q <= "010";
WHEN OTHERS =>
memoryC2_uid241_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_inputreg(DELAY,1032)
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_inputreg : dspba_delay
GENERIC MAP ( width => 3, depth => 1 )
PORT MAP ( xin => memoryC2_uid241_exp10TabGen_q, xout => ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt(COUNTER,1021)
-- every=1, low=0, high=8, step=1, init=1
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i = 7 THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_eq = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i - 8;
ELSE
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_i,4));
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg(REG,1022)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux(MUX,1023)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_s <= en;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux: PROCESS (ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_s, ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg_q, ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_s IS
WHEN "0" => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg_q;
WHEN "1" => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem(DUALMEM,1033)
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_ia <= ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_inputreg_q;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_aa <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg_q;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_ab <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_q;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 3,
widthad_a => 4,
numwords_a => 9,
width_b => 3,
widthad_b => 4,
numwords_b => 9,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_iq,
address_a => ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_aa,
data_a => ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_ia
);
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_reset0 <= areset;
ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_q <= ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_iq(2 downto 0);
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor(LOGICAL,1029)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_b <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_q <= not (ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_a or ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_b);
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena(REG,1030)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_nor_q = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd(LOGICAL,1031)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_a <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_sticky_ena_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_b <= en;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_a and ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_b;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem(DUALMEM,1020)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_ia <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_inputreg_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_aa <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdreg_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_ab <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_rdmux_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 4,
numwords_a => 9,
width_b => 7,
widthad_b => 4,
numwords_b => 9,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_iq,
address_a => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_aa,
data_a => ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_ia
);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_reset0 <= areset;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_iq(6 downto 0);
--memoryC2_uid240_exp10TabGen(LOOKUP,239)@25
memoryC2_uid240_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC2_uid240_exp10TabGen_q <= "1111111111111111111111111111111111111110";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_addr_uid64_fpExpETest_0_to_memoryC2_uid240_exp10TabGen_0_q_to_memoryC2_uid240_exp10TabGen_a_replace_mem_q) IS
WHEN "0000000" => memoryC2_uid240_exp10TabGen_q <= "1111111111111111111111111111111111111110";
WHEN "0000001" => memoryC2_uid240_exp10TabGen_q <= "0000001000000010000000010101011000111101";
WHEN "0000010" => memoryC2_uid240_exp10TabGen_q <= "0000010000001000000010101011010101111110";
WHEN "0000011" => memoryC2_uid240_exp10TabGen_q <= "0000011000010010001001000011011001110000";
WHEN "0000100" => memoryC2_uid240_exp10TabGen_q <= "0000100000100000010101100000000100100100";
WHEN "0000101" => memoryC2_uid240_exp10TabGen_q <= "0000101000110010101010000100111010011000";
WHEN "0000110" => memoryC2_uid240_exp10TabGen_q <= "0000110001001001001000110110100000100101";
WHEN "0000111" => memoryC2_uid240_exp10TabGen_q <= "0000111001100011110011111010011111000001";
WHEN "0001000" => memoryC2_uid240_exp10TabGen_q <= "0001000010000010101101010111011111010100";
WHEN "0001001" => memoryC2_uid240_exp10TabGen_q <= "0001001010100101110111010101010001000100";
WHEN "0001010" => memoryC2_uid240_exp10TabGen_q <= "0001010011001101010011111100100101110011";
WHEN "0001011" => memoryC2_uid240_exp10TabGen_q <= "0001011011111001000101010111010101000111";
WHEN "0001100" => memoryC2_uid240_exp10TabGen_q <= "0001100100101001001101110000011100110000";
WHEN "0001101" => memoryC2_uid240_exp10TabGen_q <= "0001101101011101101111010011111110010110";
WHEN "0001110" => memoryC2_uid240_exp10TabGen_q <= "0001110110010110101100001110111111110111";
WHEN "0001111" => memoryC2_uid240_exp10TabGen_q <= "0001111111010100000110101111110001111100";
WHEN "0010000" => memoryC2_uid240_exp10TabGen_q <= "0010001000010110000001000101101110000011";
WHEN "0010001" => memoryC2_uid240_exp10TabGen_q <= "0010010001011100011101100001001111011100";
WHEN "0010010" => memoryC2_uid240_exp10TabGen_q <= "0010011010100111011110010011111100111011";
WHEN "0010011" => memoryC2_uid240_exp10TabGen_q <= "0010100011110111000101110000101010010001";
WHEN "0010100" => memoryC2_uid240_exp10TabGen_q <= "0010101101001011010110001011001100110101";
WHEN "0010101" => memoryC2_uid240_exp10TabGen_q <= "0010110110100100010001111000101101100011";
WHEN "0010110" => memoryC2_uid240_exp10TabGen_q <= "0011000000000001111011001111010111110010";
WHEN "0010111" => memoryC2_uid240_exp10TabGen_q <= "0011001001100100010100100110100111111010";
WHEN "0011000" => memoryC2_uid240_exp10TabGen_q <= "0011010011001011100000010111000010000011";
WHEN "0011001" => memoryC2_uid240_exp10TabGen_q <= "0011011100110111100000111010011011101000";
WHEN "0011010" => memoryC2_uid240_exp10TabGen_q <= "0011100110101000011000101011110100111100";
WHEN "0011011" => memoryC2_uid240_exp10TabGen_q <= "0011110000011110001010000111011001111100";
WHEN "0011100" => memoryC2_uid240_exp10TabGen_q <= "0011111010011000110111101010100111100101";
WHEN "0011101" => memoryC2_uid240_exp10TabGen_q <= "0100000100011000100011110100001010001010";
WHEN "0011110" => memoryC2_uid240_exp10TabGen_q <= "0100001110011101010001000011111100100101";
WHEN "0011111" => memoryC2_uid240_exp10TabGen_q <= "0100011000100111000001111011001011001100";
WHEN "0100000" => memoryC2_uid240_exp10TabGen_q <= "0100100010110101111000111100001111001111";
WHEN "0100001" => memoryC2_uid240_exp10TabGen_q <= "0100101101001001111000101010111001001000";
WHEN "0100010" => memoryC2_uid240_exp10TabGen_q <= "0100110111100011000011101100001000111111";
WHEN "0100011" => memoryC2_uid240_exp10TabGen_q <= "0101000010000001011100100110001111011101";
WHEN "0100100" => memoryC2_uid240_exp10TabGen_q <= "0101001100100101000110000000110011010000";
WHEN "0100101" => memoryC2_uid240_exp10TabGen_q <= "0101010111001110000010100100110010001010";
WHEN "0100110" => memoryC2_uid240_exp10TabGen_q <= "0101100001111100010100111100010110010011";
WHEN "0100111" => memoryC2_uid240_exp10TabGen_q <= "0101101100101111111111110011001000100100";
WHEN "0101000" => memoryC2_uid240_exp10TabGen_q <= "0101110111101001000101110110000001011000";
WHEN "0101001" => memoryC2_uid240_exp10TabGen_q <= "0110000010100111101001110011010011000100";
WHEN "0101010" => memoryC2_uid240_exp10TabGen_q <= "0110001101101011101110011010100101000110";
WHEN "0101011" => memoryC2_uid240_exp10TabGen_q <= "0110011000110101010110011100111100100110";
WHEN "0101100" => memoryC2_uid240_exp10TabGen_q <= "0110100100000100100100101100101110111111";
WHEN "0101101" => memoryC2_uid240_exp10TabGen_q <= "0110101111011001011011111101110100000010";
WHEN "0101110" => memoryC2_uid240_exp10TabGen_q <= "0110111010110011111111000101010111011100";
WHEN "0101111" => memoryC2_uid240_exp10TabGen_q <= "0111000110010100010000111010000000011010";
WHEN "0110000" => memoryC2_uid240_exp10TabGen_q <= "0111010001111010010100010011110110101100";
WHEN "0110001" => memoryC2_uid240_exp10TabGen_q <= "0111011101100110001100001100011001001011";
WHEN "0110010" => memoryC2_uid240_exp10TabGen_q <= "0111101001010111111011011110100111010001";
WHEN "0110011" => memoryC2_uid240_exp10TabGen_q <= "0111110101001111100101000110111011011110";
WHEN "0110100" => memoryC2_uid240_exp10TabGen_q <= "1000000001001101001100000011010001011000";
WHEN "0110101" => memoryC2_uid240_exp10TabGen_q <= "1000001101010000110011010011000011001011";
WHEN "0110110" => memoryC2_uid240_exp10TabGen_q <= "1000011001011010011101110111001000000101";
WHEN "0110111" => memoryC2_uid240_exp10TabGen_q <= "1000100101101010001110110001111100111001";
WHEN "0111000" => memoryC2_uid240_exp10TabGen_q <= "1000110010000000001001000111011110101101";
WHEN "0111001" => memoryC2_uid240_exp10TabGen_q <= "1000111110011100001111111101001001110101";
WHEN "0111010" => memoryC2_uid240_exp10TabGen_q <= "1001001010111110100110011010000010101010";
WHEN "0111011" => memoryC2_uid240_exp10TabGen_q <= "1001010111100111001111100110101100101010";
WHEN "0111100" => memoryC2_uid240_exp10TabGen_q <= "1001100100010110001110101101010011010010";
WHEN "0111101" => memoryC2_uid240_exp10TabGen_q <= "1001110001001011100110111001100101010000";
WHEN "0111110" => memoryC2_uid240_exp10TabGen_q <= "1001111110000111011011011000111010110011";
WHEN "0111111" => memoryC2_uid240_exp10TabGen_q <= "1010001011001001101111011010001101110011";
WHEN "1000000" => memoryC2_uid240_exp10TabGen_q <= "1010011000010010100110001110000110110000";
WHEN "1000001" => memoryC2_uid240_exp10TabGen_q <= "1010100101100010000011000110110011000011";
WHEN "1000010" => memoryC2_uid240_exp10TabGen_q <= "1010110010111000001001011000001000011101";
WHEN "1000011" => memoryC2_uid240_exp10TabGen_q <= "1011000000010100111100010111100111011010";
WHEN "1000100" => memoryC2_uid240_exp10TabGen_q <= "1011001101111000011111011100100000000010";
WHEN "1000101" => memoryC2_uid240_exp10TabGen_q <= "1011011011100010110101111111101001110101";
WHEN "1000110" => memoryC2_uid240_exp10TabGen_q <= "1011101001010100000011011011101000101011";
WHEN "1000111" => memoryC2_uid240_exp10TabGen_q <= "1011110111001100001011001100110011100100";
WHEN "1001000" => memoryC2_uid240_exp10TabGen_q <= "1100000101001011010000110001001000111011";
WHEN "1001001" => memoryC2_uid240_exp10TabGen_q <= "1100010011010001010111101000011100110101";
WHEN "1001010" => memoryC2_uid240_exp10TabGen_q <= "1100100001011110100011010100001111110100";
WHEN "1001011" => memoryC2_uid240_exp10TabGen_q <= "1100101111110010110111010111110101100001";
WHEN "1001100" => memoryC2_uid240_exp10TabGen_q <= "1100111110001110010111011000010010001101";
WHEN "1001101" => memoryC2_uid240_exp10TabGen_q <= "1101001100110001000110111100011110011111";
WHEN "1001110" => memoryC2_uid240_exp10TabGen_q <= "1101011011011011001001101101000101101011";
WHEN "1001111" => memoryC2_uid240_exp10TabGen_q <= "1101101010001100100011010100101001011110";
WHEN "1010000" => memoryC2_uid240_exp10TabGen_q <= "1101111001000101010111011111100000010111";
WHEN "1010001" => memoryC2_uid240_exp10TabGen_q <= "1110001000000101101001111011110110011101";
WHEN "1010010" => memoryC2_uid240_exp10TabGen_q <= "1110010111001101011110011001110010010001";
WHEN "1010011" => memoryC2_uid240_exp10TabGen_q <= "1110100110011100111000101011001110100000";
WHEN "1010100" => memoryC2_uid240_exp10TabGen_q <= "1110110101110011111100100100000011101110";
WHEN "1010101" => memoryC2_uid240_exp10TabGen_q <= "1111000101010010101101111010000001001010";
WHEN "1010110" => memoryC2_uid240_exp10TabGen_q <= "1111010100111001010000100100110101110101";
WHEN "1010111" => memoryC2_uid240_exp10TabGen_q <= "1111100100100111101000011110001001101110";
WHEN "1011000" => memoryC2_uid240_exp10TabGen_q <= "1111110100011101111001100001100000110101";
WHEN "1011001" => memoryC2_uid240_exp10TabGen_q <= "0000000100011100000111101100100001100111";
WHEN "1011010" => memoryC2_uid240_exp10TabGen_q <= "0000010100100010010110111110101101101101";
WHEN "1011011" => memoryC2_uid240_exp10TabGen_q <= "0000100100110000101011011001101011000010";
WHEN "1011100" => memoryC2_uid240_exp10TabGen_q <= "0000110101000111001001000000111111111100";
WHEN "1011101" => memoryC2_uid240_exp10TabGen_q <= "0001000101100101110011111010010000100110";
WHEN "1011110" => memoryC2_uid240_exp10TabGen_q <= "0001010110001100110000001101000111110111";
WHEN "1011111" => memoryC2_uid240_exp10TabGen_q <= "0001100110111100000010000011011000000011";
WHEN "1100000" => memoryC2_uid240_exp10TabGen_q <= "0001110111110011101101101000110011111110";
WHEN "1100001" => memoryC2_uid240_exp10TabGen_q <= "0010001000110011110111001011010101100011";
WHEN "1100010" => memoryC2_uid240_exp10TabGen_q <= "0010011001111100100010111011000000110011";
WHEN "1100011" => memoryC2_uid240_exp10TabGen_q <= "0010101011001101110101001010000001001001";
WHEN "1100100" => memoryC2_uid240_exp10TabGen_q <= "0010111100100111110010001100101001010000";
WHEN "1100101" => memoryC2_uid240_exp10TabGen_q <= "0011001110001010011110011001011001001101";
WHEN "1100110" => memoryC2_uid240_exp10TabGen_q <= "0011011111110101111110001000111101001011";
WHEN "1100111" => memoryC2_uid240_exp10TabGen_q <= "0011110001101010010101110110001100010001";
WHEN "1101000" => memoryC2_uid240_exp10TabGen_q <= "0100000011100111101001111110001101110100";
WHEN "1101001" => memoryC2_uid240_exp10TabGen_q <= "0100010101101101111111000000010101000100";
WHEN "1101010" => memoryC2_uid240_exp10TabGen_q <= "0100100111111101011001011110000111001011";
WHEN "1101011" => memoryC2_uid240_exp10TabGen_q <= "0100111010010101111101111011011110000001";
WHEN "1101100" => memoryC2_uid240_exp10TabGen_q <= "0101001100110111110000111110011111101100";
WHEN "1101101" => memoryC2_uid240_exp10TabGen_q <= "0101011111100010110111001111101000111010";
WHEN "1101110" => memoryC2_uid240_exp10TabGen_q <= "0101110010010111010101011001101101000111";
WHEN "1101111" => memoryC2_uid240_exp10TabGen_q <= "0110000101010101010000001001110100001010";
WHEN "1110000" => memoryC2_uid240_exp10TabGen_q <= "0110011000011100101100001111011011010100";
WHEN "1110001" => memoryC2_uid240_exp10TabGen_q <= "0110101011101101101110011100011010000001";
WHEN "1110010" => memoryC2_uid240_exp10TabGen_q <= "0110111111001000011011100101000001101011";
WHEN "1110011" => memoryC2_uid240_exp10TabGen_q <= "0111010010101100111000011111111100000111";
WHEN "1110100" => memoryC2_uid240_exp10TabGen_q <= "0111100110011011001010000110010011111010";
WHEN "1110101" => memoryC2_uid240_exp10TabGen_q <= "0111111010010011010101010011101001001100";
WHEN "1110110" => memoryC2_uid240_exp10TabGen_q <= "1000001110010101011111000110000010100010";
WHEN "1110111" => memoryC2_uid240_exp10TabGen_q <= "1000100010100001101100011110000000111101";
WHEN "1111000" => memoryC2_uid240_exp10TabGen_q <= "1000110110111000000010011110100110011111";
WHEN "1111001" => memoryC2_uid240_exp10TabGen_q <= "1001001011011000100110001101011100110010";
WHEN "1111010" => memoryC2_uid240_exp10TabGen_q <= "1001100000000011011100110010101000101011";
WHEN "1111011" => memoryC2_uid240_exp10TabGen_q <= "1001110100111000101011011000111010010001";
WHEN "1111100" => memoryC2_uid240_exp10TabGen_q <= "1010001001111000010111001101100011110110";
WHEN "1111101" => memoryC2_uid240_exp10TabGen_q <= "1010011111000010100101100000100001111000";
WHEN "1111110" => memoryC2_uid240_exp10TabGen_q <= "1010110100010111011011100100010110000001";
WHEN "1111111" => memoryC2_uid240_exp10TabGen_q <= "1011001001110110111110101110010001100110";
WHEN OTHERS =>
memoryC2_uid240_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--os_uid242_exp10TabGen(BITJOIN,241)@26
os_uid242_exp10TabGen_q <= ld_memoryC2_uid241_exp10TabGen_q_to_os_uid242_exp10TabGen_b_replace_mem_q & memoryC2_uid240_exp10TabGen_q;
--rndBit_uid263_exp10PolyEval(CONSTANT,262)
rndBit_uid263_exp10PolyEval_q <= "01";
--cIncludingRoundingBit_uid264_exp10PolyEval(BITJOIN,263)@26
cIncludingRoundingBit_uid264_exp10PolyEval_q <= os_uid242_exp10TabGen_q & rndBit_uid263_exp10PolyEval_q;
--reg_cIncludingRoundingBit_uid264_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_0(REG,395)@26
reg_cIncludingRoundingBit_uid264_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid264_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_0_q <= "000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid264_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_0_q <= cIncludingRoundingBit_uid264_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--ts3_uid265_exp10PolyEval(ADD,264)@27
ts3_uid265_exp10PolyEval_a <= STD_LOGIC_VECTOR((45 downto 45 => reg_cIncludingRoundingBit_uid264_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_0_q(44)) & reg_cIncludingRoundingBit_uid264_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_0_q);
ts3_uid265_exp10PolyEval_b <= STD_LOGIC_VECTOR((45 downto 37 => reg_R_uid302_pT3_uid262_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_1_q(36)) & reg_R_uid302_pT3_uid262_exp10PolyEval_0_to_ts3_uid265_exp10PolyEval_1_q);
ts3_uid265_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts3_uid265_exp10PolyEval_a) + SIGNED(ts3_uid265_exp10PolyEval_b));
ts3_uid265_exp10PolyEval_q <= ts3_uid265_exp10PolyEval_o(45 downto 0);
--s3_uid266_exp10PolyEval(BITSELECT,265)@27
s3_uid266_exp10PolyEval_in <= ts3_uid265_exp10PolyEval_q;
s3_uid266_exp10PolyEval_b <= s3_uid266_exp10PolyEval_in(45 downto 1);
--yTop27Bits_uid304_pT4_uid268_exp10PolyEval(BITSELECT,303)@27
yTop27Bits_uid304_pT4_uid268_exp10PolyEval_in <= s3_uid266_exp10PolyEval_b;
yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b <= yTop27Bits_uid304_pT4_uid268_exp10PolyEval_in(44 downto 18);
--reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_9(REG,400)@27
reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_9_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_9_q <= yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor(LOGICAL,1081)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_b <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_q <= not (ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_a or ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_b);
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_mem_top(CONSTANT,1077)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_mem_top_q <= "01010";
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp(LOGICAL,1078)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_a <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_mem_top_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_q);
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_q <= "1" when ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_a = ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_b else "0";
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmpReg(REG,1079)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmpReg_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena(REG,1082)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_nor_q = "1") THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd(LOGICAL,1083)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_a <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_sticky_ena_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_b <= en;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_a and ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_b;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt(COUNTER,1073)
-- every=1, low=0, high=10, step=1, init=1
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i = 9 THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_eq = '1') THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i - 10;
ELSE
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_i,4));
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg(REG,1074)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux(MUX,1075)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_s <= en;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux: PROCESS (ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_s, ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg_q, ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg_q;
WHEN "1" => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem(DUALMEM,1072)
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_ia <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT3_uid261_exp10PolyEval_a_inputreg_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_aa <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdreg_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_ab <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_rdmux_q;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 48,
widthad_a => 4,
numwords_a => 11,
width_b => 48,
widthad_b => 4,
numwords_b => 11,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_iq,
address_a => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_aa,
data_a => ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_ia
);
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_reset0 <= areset;
ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_q <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_iq(47 downto 0);
--yT4_uid267_exp10PolyEval(BITSELECT,266)@27
yT4_uid267_exp10PolyEval_in <= ld_yPPolyEval_uid65_fpExpETest_b_to_yT4_uid267_exp10PolyEval_a_replace_mem_q;
yT4_uid267_exp10PolyEval_b <= yT4_uid267_exp10PolyEval_in(47 downto 5);
--xBottomBits_uid307_pT4_uid268_exp10PolyEval(BITSELECT,306)@27
xBottomBits_uid307_pT4_uid268_exp10PolyEval_in <= yT4_uid267_exp10PolyEval_b(15 downto 0);
xBottomBits_uid307_pT4_uid268_exp10PolyEval_b <= xBottomBits_uid307_pT4_uid268_exp10PolyEval_in(15 downto 0);
--pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval(BITJOIN,308)@27
pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_q <= xBottomBits_uid307_pT4_uid268_exp10PolyEval_b & STD_LOGIC_VECTOR((9 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_7(REG,399)@27
reg_pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_7_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_7_q <= pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid306_pT4_uid268_exp10PolyEval(BITSELECT,305)@27
yBottomBits_uid306_pT4_uid268_exp10PolyEval_in <= s3_uid266_exp10PolyEval_b(17 downto 0);
yBottomBits_uid306_pT4_uid268_exp10PolyEval_b <= yBottomBits_uid306_pT4_uid268_exp10PolyEval_in(17 downto 0);
--ld_yBottomBits_uid306_pT4_uid268_exp10PolyEval_b_to_spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_a(DELAY,748)@27
ld_yBottomBits_uid306_pT4_uid268_exp10PolyEval_b_to_spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_a : dspba_delay
GENERIC MAP ( width => 18, depth => 1 )
PORT MAP ( xin => yBottomBits_uid306_pT4_uid268_exp10PolyEval_b, xout => ld_yBottomBits_uid306_pT4_uid268_exp10PolyEval_b_to_spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_a_q, ena => en(0), clk => clk, aclr => areset );
--spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval(BITJOIN,307)@28
spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_q <= GND_q & ld_yBottomBits_uid306_pT4_uid268_exp10PolyEval_b_to_spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_a_q;
--pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval(BITJOIN,309)@28
pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_q <= spad_yBottomBits_uid306_uid308_pT4_uid268_exp10PolyEval_q & STD_LOGIC_VECTOR((7 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_6(REG,398)@28
reg_pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_6_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_6_q <= pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_yT4_uid267_exp10PolyEval_b_to_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_a(DELAY,742)@27
ld_yT4_uid267_exp10PolyEval_b_to_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_a : dspba_delay
GENERIC MAP ( width => 43, depth => 1 )
PORT MAP ( xin => yT4_uid267_exp10PolyEval_b, xout => ld_yT4_uid267_exp10PolyEval_b_to_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_a_q, ena => en(0), clk => clk, aclr => areset );
--xTop27Bits_uid303_pT4_uid268_exp10PolyEval(BITSELECT,302)@28
xTop27Bits_uid303_pT4_uid268_exp10PolyEval_in <= ld_yT4_uid267_exp10PolyEval_b_to_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_a_q;
xTop27Bits_uid303_pT4_uid268_exp10PolyEval_b <= xTop27Bits_uid303_pT4_uid268_exp10PolyEval_in(42 downto 16);
--reg_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_4(REG,397)@28
reg_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_4_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_4_q <= xTop27Bits_uid303_pT4_uid268_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma(CHAINMULTADD,339)@29
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a(0),28));
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a(1),28));
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_p(0) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_l(0) * multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c(0);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_p(1) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_l(1) * multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c(1);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_w(0) <= RESIZE(multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_p(0),56);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_w(1) <= RESIZE(multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_p(1),56);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_x(0) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_w(0);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_x(1) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_w(1);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_y(0) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s(1) + multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_x(0);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_y(1) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_x(1);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a(0) <= RESIZE(UNSIGNED(reg_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_4_q),27);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid307_uid309_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_7_q),27);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c(0) <= RESIZE(SIGNED(reg_pad_yBottomBits_uid306_uid310_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_6_q),27);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_c(1) <= RESIZE(SIGNED(reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_9_q),27);
IF (en = "1") THEN
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s(0) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_y(0);
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s(1) <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_y(1);
END IF;
END IF;
END PROCESS;
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_delay : dspba_delay
GENERIC MAP (width => 55, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_s(0)(54 downto 0)), xout => multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_q, clk => clk, aclr => areset);
--multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval(BITSELECT,311)@32
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_in <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_q;
multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_b <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_in(54 downto 8);
--highBBits_uid314_pT4_uid268_exp10PolyEval(BITSELECT,313)@32
highBBits_uid314_pT4_uid268_exp10PolyEval_in <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_b;
highBBits_uid314_pT4_uid268_exp10PolyEval_b <= highBBits_uid314_pT4_uid268_exp10PolyEval_in(46 downto 18);
--ld_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b_to_reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_a(DELAY,856)@27
ld_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b_to_reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_a : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b, xout => ld_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b_to_reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1(REG,402)@28
reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_q <= ld_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_b_to_reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_a_q;
END IF;
END IF;
END PROCESS;
--topProd_uid305_pT4_uid268_exp10PolyEval(MULT,304)@29
topProd_uid305_pT4_uid268_exp10PolyEval_pr <= signed(resize(UNSIGNED(topProd_uid305_pT4_uid268_exp10PolyEval_a),28)) * SIGNED(topProd_uid305_pT4_uid268_exp10PolyEval_b);
topProd_uid305_pT4_uid268_exp10PolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid305_pT4_uid268_exp10PolyEval_a <= (others => '0');
topProd_uid305_pT4_uid268_exp10PolyEval_b <= (others => '0');
topProd_uid305_pT4_uid268_exp10PolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid305_pT4_uid268_exp10PolyEval_a <= reg_xTop27Bits_uid303_pT4_uid268_exp10PolyEval_0_to_multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_cma_4_q;
topProd_uid305_pT4_uid268_exp10PolyEval_b <= reg_yTop27Bits_uid304_pT4_uid268_exp10PolyEval_0_to_topProd_uid305_pT4_uid268_exp10PolyEval_1_q;
topProd_uid305_pT4_uid268_exp10PolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid305_pT4_uid268_exp10PolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid305_pT4_uid268_exp10PolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid305_pT4_uid268_exp10PolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid305_pT4_uid268_exp10PolyEval_q <= topProd_uid305_pT4_uid268_exp10PolyEval_s1;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid315_pT4_uid268_exp10PolyEval(ADD,314)@32
sumAHighB_uid315_pT4_uid268_exp10PolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid305_pT4_uid268_exp10PolyEval_q(53)) & topProd_uid305_pT4_uid268_exp10PolyEval_q);
sumAHighB_uid315_pT4_uid268_exp10PolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => highBBits_uid314_pT4_uid268_exp10PolyEval_b(28)) & highBBits_uid314_pT4_uid268_exp10PolyEval_b);
sumAHighB_uid315_pT4_uid268_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid315_pT4_uid268_exp10PolyEval_a) + SIGNED(sumAHighB_uid315_pT4_uid268_exp10PolyEval_b));
sumAHighB_uid315_pT4_uid268_exp10PolyEval_q <= sumAHighB_uid315_pT4_uid268_exp10PolyEval_o(54 downto 0);
--lowRangeB_uid313_pT4_uid268_exp10PolyEval(BITSELECT,312)@32
lowRangeB_uid313_pT4_uid268_exp10PolyEval_in <= multSumOfTwo27_uid308_pT4_uid268_exp10PolyEval_b(17 downto 0);
lowRangeB_uid313_pT4_uid268_exp10PolyEval_b <= lowRangeB_uid313_pT4_uid268_exp10PolyEval_in(17 downto 0);
--add0_uid313_uid316_pT4_uid268_exp10PolyEval(BITJOIN,315)@32
add0_uid313_uid316_pT4_uid268_exp10PolyEval_q <= sumAHighB_uid315_pT4_uid268_exp10PolyEval_q & lowRangeB_uid313_pT4_uid268_exp10PolyEval_b;
--R_uid317_pT4_uid268_exp10PolyEval(BITSELECT,316)@32
R_uid317_pT4_uid268_exp10PolyEval_in <= add0_uid313_uid316_pT4_uid268_exp10PolyEval_q(71 downto 0);
R_uid317_pT4_uid268_exp10PolyEval_b <= R_uid317_pT4_uid268_exp10PolyEval_in(71 downto 26);
--reg_R_uid317_pT4_uid268_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_1(REG,404)@32
reg_R_uid317_pT4_uid268_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid317_pT4_uid268_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_1_q <= "0000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid317_pT4_uid268_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_1_q <= R_uid317_pT4_uid268_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor(LOGICAL,1146)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_b <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_q <= not (ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_a or ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_b);
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_mem_top(CONSTANT,1142)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_mem_top_q <= "01110";
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp(LOGICAL,1143)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_a <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_mem_top_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_q);
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_q <= "1" when ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_a = ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_b else "0";
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmpReg(REG,1144)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmpReg_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena(REG,1147)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_nor_q = "1") THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd(LOGICAL,1148)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_a <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_sticky_ena_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_b <= en;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_a and ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_b;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_inputreg(DELAY,1123)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => addr_uid64_fpExpETest_b, xout => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt(COUNTER,1138)
-- every=1, low=0, high=14, step=1, init=1
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i = 13 THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_eq = '1') THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i - 14;
ELSE
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_i,4));
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg(REG,1139)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux(MUX,1140)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_s <= en;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux: PROCESS (ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_s, ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg_q, ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_q)
BEGIN
CASE ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_s IS
WHEN "0" => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg_q;
WHEN "1" => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem(DUALMEM,1137)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_ia <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_inputreg_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_aa <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdreg_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_ab <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_rdmux_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 4,
numwords_a => 15,
width_b => 7,
widthad_b => 4,
numwords_b => 15,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_iq,
address_a => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_aa,
data_a => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_ia
);
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_reset0 <= areset;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_iq(6 downto 0);
--reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0(REG,379)@30
reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid238_exp10TabGen(LOOKUP,237)@31
memoryC1_uid238_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC1_uid238_exp10TabGen_q <= "00100000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_q) IS
WHEN "0000000" => memoryC1_uid238_exp10TabGen_q <= "00100000000";
WHEN "0000001" => memoryC1_uid238_exp10TabGen_q <= "00100000010";
WHEN "0000010" => memoryC1_uid238_exp10TabGen_q <= "00100000100";
WHEN "0000011" => memoryC1_uid238_exp10TabGen_q <= "00100000110";
WHEN "0000100" => memoryC1_uid238_exp10TabGen_q <= "00100001000";
WHEN "0000101" => memoryC1_uid238_exp10TabGen_q <= "00100001010";
WHEN "0000110" => memoryC1_uid238_exp10TabGen_q <= "00100001100";
WHEN "0000111" => memoryC1_uid238_exp10TabGen_q <= "00100001110";
WHEN "0001000" => memoryC1_uid238_exp10TabGen_q <= "00100010000";
WHEN "0001001" => memoryC1_uid238_exp10TabGen_q <= "00100010010";
WHEN "0001010" => memoryC1_uid238_exp10TabGen_q <= "00100010100";
WHEN "0001011" => memoryC1_uid238_exp10TabGen_q <= "00100010110";
WHEN "0001100" => memoryC1_uid238_exp10TabGen_q <= "00100011001";
WHEN "0001101" => memoryC1_uid238_exp10TabGen_q <= "00100011011";
WHEN "0001110" => memoryC1_uid238_exp10TabGen_q <= "00100011101";
WHEN "0001111" => memoryC1_uid238_exp10TabGen_q <= "00100011111";
WHEN "0010000" => memoryC1_uid238_exp10TabGen_q <= "00100100010";
WHEN "0010001" => memoryC1_uid238_exp10TabGen_q <= "00100100100";
WHEN "0010010" => memoryC1_uid238_exp10TabGen_q <= "00100100110";
WHEN "0010011" => memoryC1_uid238_exp10TabGen_q <= "00100101000";
WHEN "0010100" => memoryC1_uid238_exp10TabGen_q <= "00100101011";
WHEN "0010101" => memoryC1_uid238_exp10TabGen_q <= "00100101101";
WHEN "0010110" => memoryC1_uid238_exp10TabGen_q <= "00100110000";
WHEN "0010111" => memoryC1_uid238_exp10TabGen_q <= "00100110010";
WHEN "0011000" => memoryC1_uid238_exp10TabGen_q <= "00100110100";
WHEN "0011001" => memoryC1_uid238_exp10TabGen_q <= "00100110111";
WHEN "0011010" => memoryC1_uid238_exp10TabGen_q <= "00100111001";
WHEN "0011011" => memoryC1_uid238_exp10TabGen_q <= "00100111100";
WHEN "0011100" => memoryC1_uid238_exp10TabGen_q <= "00100111110";
WHEN "0011101" => memoryC1_uid238_exp10TabGen_q <= "00101000001";
WHEN "0011110" => memoryC1_uid238_exp10TabGen_q <= "00101000011";
WHEN "0011111" => memoryC1_uid238_exp10TabGen_q <= "00101000110";
WHEN "0100000" => memoryC1_uid238_exp10TabGen_q <= "00101001000";
WHEN "0100001" => memoryC1_uid238_exp10TabGen_q <= "00101001011";
WHEN "0100010" => memoryC1_uid238_exp10TabGen_q <= "00101001101";
WHEN "0100011" => memoryC1_uid238_exp10TabGen_q <= "00101010000";
WHEN "0100100" => memoryC1_uid238_exp10TabGen_q <= "00101010011";
WHEN "0100101" => memoryC1_uid238_exp10TabGen_q <= "00101010101";
WHEN "0100110" => memoryC1_uid238_exp10TabGen_q <= "00101011000";
WHEN "0100111" => memoryC1_uid238_exp10TabGen_q <= "00101011011";
WHEN "0101000" => memoryC1_uid238_exp10TabGen_q <= "00101011101";
WHEN "0101001" => memoryC1_uid238_exp10TabGen_q <= "00101100000";
WHEN "0101010" => memoryC1_uid238_exp10TabGen_q <= "00101100011";
WHEN "0101011" => memoryC1_uid238_exp10TabGen_q <= "00101100110";
WHEN "0101100" => memoryC1_uid238_exp10TabGen_q <= "00101101001";
WHEN "0101101" => memoryC1_uid238_exp10TabGen_q <= "00101101011";
WHEN "0101110" => memoryC1_uid238_exp10TabGen_q <= "00101101110";
WHEN "0101111" => memoryC1_uid238_exp10TabGen_q <= "00101110001";
WHEN "0110000" => memoryC1_uid238_exp10TabGen_q <= "00101110100";
WHEN "0110001" => memoryC1_uid238_exp10TabGen_q <= "00101110111";
WHEN "0110010" => memoryC1_uid238_exp10TabGen_q <= "00101111010";
WHEN "0110011" => memoryC1_uid238_exp10TabGen_q <= "00101111101";
WHEN "0110100" => memoryC1_uid238_exp10TabGen_q <= "00110000000";
WHEN "0110101" => memoryC1_uid238_exp10TabGen_q <= "00110000011";
WHEN "0110110" => memoryC1_uid238_exp10TabGen_q <= "00110000110";
WHEN "0110111" => memoryC1_uid238_exp10TabGen_q <= "00110001001";
WHEN "0111000" => memoryC1_uid238_exp10TabGen_q <= "00110001100";
WHEN "0111001" => memoryC1_uid238_exp10TabGen_q <= "00110001111";
WHEN "0111010" => memoryC1_uid238_exp10TabGen_q <= "00110010010";
WHEN "0111011" => memoryC1_uid238_exp10TabGen_q <= "00110010101";
WHEN "0111100" => memoryC1_uid238_exp10TabGen_q <= "00110011001";
WHEN "0111101" => memoryC1_uid238_exp10TabGen_q <= "00110011100";
WHEN "0111110" => memoryC1_uid238_exp10TabGen_q <= "00110011111";
WHEN "0111111" => memoryC1_uid238_exp10TabGen_q <= "00110100010";
WHEN "1000000" => memoryC1_uid238_exp10TabGen_q <= "00110100110";
WHEN "1000001" => memoryC1_uid238_exp10TabGen_q <= "00110101001";
WHEN "1000010" => memoryC1_uid238_exp10TabGen_q <= "00110101100";
WHEN "1000011" => memoryC1_uid238_exp10TabGen_q <= "00110110000";
WHEN "1000100" => memoryC1_uid238_exp10TabGen_q <= "00110110011";
WHEN "1000101" => memoryC1_uid238_exp10TabGen_q <= "00110110110";
WHEN "1000110" => memoryC1_uid238_exp10TabGen_q <= "00110111010";
WHEN "1000111" => memoryC1_uid238_exp10TabGen_q <= "00110111101";
WHEN "1001000" => memoryC1_uid238_exp10TabGen_q <= "00111000001";
WHEN "1001001" => memoryC1_uid238_exp10TabGen_q <= "00111000100";
WHEN "1001010" => memoryC1_uid238_exp10TabGen_q <= "00111001000";
WHEN "1001011" => memoryC1_uid238_exp10TabGen_q <= "00111001011";
WHEN "1001100" => memoryC1_uid238_exp10TabGen_q <= "00111001111";
WHEN "1001101" => memoryC1_uid238_exp10TabGen_q <= "00111010011";
WHEN "1001110" => memoryC1_uid238_exp10TabGen_q <= "00111010110";
WHEN "1001111" => memoryC1_uid238_exp10TabGen_q <= "00111011010";
WHEN "1010000" => memoryC1_uid238_exp10TabGen_q <= "00111011110";
WHEN "1010001" => memoryC1_uid238_exp10TabGen_q <= "00111100010";
WHEN "1010010" => memoryC1_uid238_exp10TabGen_q <= "00111100101";
WHEN "1010011" => memoryC1_uid238_exp10TabGen_q <= "00111101001";
WHEN "1010100" => memoryC1_uid238_exp10TabGen_q <= "00111101101";
WHEN "1010101" => memoryC1_uid238_exp10TabGen_q <= "00111110001";
WHEN "1010110" => memoryC1_uid238_exp10TabGen_q <= "00111110101";
WHEN "1010111" => memoryC1_uid238_exp10TabGen_q <= "00111111001";
WHEN "1011000" => memoryC1_uid238_exp10TabGen_q <= "00111111101";
WHEN "1011001" => memoryC1_uid238_exp10TabGen_q <= "01000000001";
WHEN "1011010" => memoryC1_uid238_exp10TabGen_q <= "01000000101";
WHEN "1011011" => memoryC1_uid238_exp10TabGen_q <= "01000001001";
WHEN "1011100" => memoryC1_uid238_exp10TabGen_q <= "01000001101";
WHEN "1011101" => memoryC1_uid238_exp10TabGen_q <= "01000010001";
WHEN "1011110" => memoryC1_uid238_exp10TabGen_q <= "01000010101";
WHEN "1011111" => memoryC1_uid238_exp10TabGen_q <= "01000011001";
WHEN "1100000" => memoryC1_uid238_exp10TabGen_q <= "01000011101";
WHEN "1100001" => memoryC1_uid238_exp10TabGen_q <= "01000100010";
WHEN "1100010" => memoryC1_uid238_exp10TabGen_q <= "01000100110";
WHEN "1100011" => memoryC1_uid238_exp10TabGen_q <= "01000101010";
WHEN "1100100" => memoryC1_uid238_exp10TabGen_q <= "01000101111";
WHEN "1100101" => memoryC1_uid238_exp10TabGen_q <= "01000110011";
WHEN "1100110" => memoryC1_uid238_exp10TabGen_q <= "01000110111";
WHEN "1100111" => memoryC1_uid238_exp10TabGen_q <= "01000111100";
WHEN "1101000" => memoryC1_uid238_exp10TabGen_q <= "01001000000";
WHEN "1101001" => memoryC1_uid238_exp10TabGen_q <= "01001000101";
WHEN "1101010" => memoryC1_uid238_exp10TabGen_q <= "01001001001";
WHEN "1101011" => memoryC1_uid238_exp10TabGen_q <= "01001001110";
WHEN "1101100" => memoryC1_uid238_exp10TabGen_q <= "01001010011";
WHEN "1101101" => memoryC1_uid238_exp10TabGen_q <= "01001010111";
WHEN "1101110" => memoryC1_uid238_exp10TabGen_q <= "01001011100";
WHEN "1101111" => memoryC1_uid238_exp10TabGen_q <= "01001100001";
WHEN "1110000" => memoryC1_uid238_exp10TabGen_q <= "01001100110";
WHEN "1110001" => memoryC1_uid238_exp10TabGen_q <= "01001101010";
WHEN "1110010" => memoryC1_uid238_exp10TabGen_q <= "01001101111";
WHEN "1110011" => memoryC1_uid238_exp10TabGen_q <= "01001110100";
WHEN "1110100" => memoryC1_uid238_exp10TabGen_q <= "01001111001";
WHEN "1110101" => memoryC1_uid238_exp10TabGen_q <= "01001111110";
WHEN "1110110" => memoryC1_uid238_exp10TabGen_q <= "01010000011";
WHEN "1110111" => memoryC1_uid238_exp10TabGen_q <= "01010001000";
WHEN "1111000" => memoryC1_uid238_exp10TabGen_q <= "01010001101";
WHEN "1111001" => memoryC1_uid238_exp10TabGen_q <= "01010010010";
WHEN "1111010" => memoryC1_uid238_exp10TabGen_q <= "01010011000";
WHEN "1111011" => memoryC1_uid238_exp10TabGen_q <= "01010011101";
WHEN "1111100" => memoryC1_uid238_exp10TabGen_q <= "01010100010";
WHEN "1111101" => memoryC1_uid238_exp10TabGen_q <= "01010100111";
WHEN "1111110" => memoryC1_uid238_exp10TabGen_q <= "01010101101";
WHEN "1111111" => memoryC1_uid238_exp10TabGen_q <= "01010110010";
WHEN OTHERS =>
memoryC1_uid238_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--memoryC1_uid237_exp10TabGen(LOOKUP,236)@31
memoryC1_uid237_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC1_uid237_exp10TabGen_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_addr_uid64_fpExpETest_0_to_memoryC1_uid237_exp10TabGen_0_q) IS
WHEN "0000000" => memoryC1_uid237_exp10TabGen_q <= "0000000000000000000000000000000000000000";
WHEN "0000001" => memoryC1_uid237_exp10TabGen_q <= "0000001000000001010101100000000000111010";
WHEN "0000010" => memoryC1_uid237_exp10TabGen_q <= "0000100000001010101101010101110111011110";
WHEN "0000011" => memoryC1_uid237_exp10TabGen_q <= "0001001000100100001101100100000100000110";
WHEN "0000100" => memoryC1_uid237_exp10TabGen_q <= "0010000001010110000000010001001001111011";
WHEN "0000101" => memoryC1_uid237_exp10TabGen_q <= "0011001010101000010011101001110000100000";
WHEN "0000110" => memoryC1_uid237_exp10TabGen_q <= "0100100100100011011010000010100111101010";
WHEN "0000111" => memoryC1_uid237_exp10TabGen_q <= "0110001111001111101001111010101100000101";
WHEN "0001000" => memoryC1_uid237_exp10TabGen_q <= "1000001010110101011101111101001101001110";
WHEN "0001001" => memoryC1_uid237_exp10TabGen_q <= "1010010111011101010101000011110011001011";
WHEN "0001010" => memoryC1_uid237_exp10TabGen_q <= "1100110101001111110010011000100111010001";
WHEN "0001011" => memoryC1_uid237_exp10TabGen_q <= "1111100100010101011101011000011100010001";
WHEN "0001100" => memoryC1_uid237_exp10TabGen_q <= "0010100100110111000001110100111000010010";
WHEN "0001101" => memoryC1_uid237_exp10TabGen_q <= "0101110110111101001111110110100000001001";
WHEN "0001110" => memoryC1_uid237_exp10TabGen_q <= "1001011010110000111011111111000011100110";
WHEN "0001111" => memoryC1_uid237_exp10TabGen_q <= "1101010000011010111111001011101001010000";
WHEN "0010000" => memoryC1_uid237_exp10TabGen_q <= "0001011000000100010110110110111101011001";
WHEN "0010001" => memoryC1_uid237_exp10TabGen_q <= "0101110001110110000100111011100010100010";
WHEN "0010010" => memoryC1_uid237_exp10TabGen_q <= "1010011101111001001111110110000000011101";
WHEN "0010011" => memoryC1_uid237_exp10TabGen_q <= "1111011100010111000010100111010101011010";
WHEN "0010100" => memoryC1_uid237_exp10TabGen_q <= "0100101101011000101100110111001011010010";
WHEN "0010101" => memoryC1_uid237_exp10TabGen_q <= "1010010001000111100010110110001000001100";
WHEN "0010110" => memoryC1_uid237_exp10TabGen_q <= "0000000111101100111101100000000110110001";
WHEN "0010111" => memoryC1_uid237_exp10TabGen_q <= "0110010001010010011010011110101001111111";
WHEN "0011000" => memoryC1_uid237_exp10TabGen_q <= "1100101110000001011100001011010110001100";
WHEN "0011001" => memoryC1_uid237_exp10TabGen_q <= "0011011110000011101001110010001000001011";
WHEN "0011010" => memoryC1_uid237_exp10TabGen_q <= "1010100001100010101111010011110000010000";
WHEN "0011011" => memoryC1_uid237_exp10TabGen_q <= "0001111000101000011101101000001101001011";
WHEN "0011100" => memoryC1_uid237_exp10TabGen_q <= "1001100011011110101010100001000111100100";
WHEN "0011101" => memoryC1_uid237_exp10TabGen_q <= "0001100010001111010000101100001111101101";
WHEN "0011110" => memoryC1_uid237_exp10TabGen_q <= "1001110101000100001111110101111100011111";
WHEN "0011111" => memoryC1_uid237_exp10TabGen_q <= "0010011100000111101100101011101010111111";
WHEN "0100000" => memoryC1_uid237_exp10TabGen_q <= "1011010111100011110000111110100000011101";
WHEN "0100001" => memoryC1_uid237_exp10TabGen_q <= "0100100111100010101011100101101011001010";
WHEN "0100010" => memoryC1_uid237_exp10TabGen_q <= "1110001100001110110000100001000111011110";
WHEN "0100011" => memoryC1_uid237_exp10TabGen_q <= "1000000101110010011000111100000100110111";
WHEN "0100100" => memoryC1_uid237_exp10TabGen_q <= "0010010100011000000011001111101011010111";
WHEN "0100101" => memoryC1_uid237_exp10TabGen_q <= "1100111000001010010011000101100010111111";
WHEN "0100110" => memoryC1_uid237_exp10TabGen_q <= "0111110001010011110001011010011110110011";
WHEN "0100111" => memoryC1_uid237_exp10TabGen_q <= "0010111111111111001100100001000011111001";
WHEN "0101000" => memoryC1_uid237_exp10TabGen_q <= "1110100100010111011000000100010111111100";
WHEN "0101001" => memoryC1_uid237_exp10TabGen_q <= "1010011110100111001101001010101100001001";
WHEN "0101010" => memoryC1_uid237_exp10TabGen_q <= "0110101110111001101010011000001100101111";
WHEN "0101011" => memoryC1_uid237_exp10TabGen_q <= "0011010101011001110011110001101111000101";
WHEN "0101100" => memoryC1_uid237_exp10TabGen_q <= "0000010010010010110010111111100101001101";
WHEN "0101101" => memoryC1_uid237_exp10TabGen_q <= "1101100101101111110111010000001101001010";
WHEN "0101110" => memoryC1_uid237_exp10TabGen_q <= "1011001111111100010101011011000111100000";
WHEN "0101111" => memoryC1_uid237_exp10TabGen_q <= "1001010001000011101000000011101011010001";
WHEN "0110000" => memoryC1_uid237_exp10TabGen_q <= "0111101001010001001111011011111011111001";
WHEN "0110001" => memoryC1_uid237_exp10TabGen_q <= "0110011000110000110001100111100011000100";
WHEN "0110010" => memoryC1_uid237_exp10TabGen_q <= "0101011111101101111010011110101000100111";
WHEN "0110011" => memoryC1_uid237_exp10TabGen_q <= "0100111110010100011011110000101110110000";
WHEN "0110100" => memoryC1_uid237_exp10TabGen_q <= "0100110100110000001101000111101101011011";
WHEN "0110101" => memoryC1_uid237_exp10TabGen_q <= "0101000011001101001100001010110000110011";
WHEN "0110110" => memoryC1_uid237_exp10TabGen_q <= "0101101001110111011100100001011001001110";
WHEN "0110111" => memoryC1_uid237_exp10TabGen_q <= "0110101000111011000111110110011010101000";
WHEN "0111000" => memoryC1_uid237_exp10TabGen_q <= "1000000000100100011101111011000000000000";
WHEN "0111001" => memoryC1_uid237_exp10TabGen_q <= "1001110000111111110100101001101111110010";
WHEN "0111010" => memoryC1_uid237_exp10TabGen_q <= "1011111010011001101000001001101111101100";
WHEN "0111011" => memoryC1_uid237_exp10TabGen_q <= "1110011100111110011010110001101101110011";
WHEN "0111100" => memoryC1_uid237_exp10TabGen_q <= "0001011000111010110101001011000111010110";
WHEN "0111101" => memoryC1_uid237_exp10TabGen_q <= "0100101110011011100110010101010100001010";
WHEN "0111110" => memoryC1_uid237_exp10TabGen_q <= "1000011101101101100011101000110001001110";
WHEN "0111111" => memoryC1_uid237_exp10TabGen_q <= "1100100110111101101000111010001111101111";
WHEN "1000000" => memoryC1_uid237_exp10TabGen_q <= "0001001010011000111000011110000001110001";
WHEN "1000001" => memoryC1_uid237_exp10TabGen_q <= "0110001000001100011011001011001100110100";
WHEN "1000010" => memoryC1_uid237_exp10TabGen_q <= "1011100000100101100000011110111011011101";
WHEN "1000011" => memoryC1_uid237_exp10TabGen_q <= "0001010011110001011110011111110001000001";
WHEN "1000100" => memoryC1_uid237_exp10TabGen_q <= "0111100001111101110010000000111110011000";
WHEN "1000101" => memoryC1_uid237_exp10TabGen_q <= "1110001011010111111110100101111010101100";
WHEN "1000110" => memoryC1_uid237_exp10TabGen_q <= "0101010000001101101110100101011011101100";
WHEN "1000111" => memoryC1_uid237_exp10TabGen_q <= "1100110000101100110011001101001111000101";
WHEN "1001000" => memoryC1_uid237_exp10TabGen_q <= "0100101101000011000100100101011001001000";
WHEN "1001001" => memoryC1_uid237_exp10TabGen_q <= "1101000101011110100001110011110000011001";
WHEN "1001010" => memoryC1_uid237_exp10TabGen_q <= "0101111010001101010000111111011111001100";
WHEN "1001011" => memoryC1_uid237_exp10TabGen_q <= "1111001011011101011111010100100100001011";
WHEN "1001100" => memoryC1_uid237_exp10TabGen_q <= "1000111001011101100001000111010110000110";
WHEN "1001101" => memoryC1_uid237_exp10TabGen_q <= "0011000100011011110001111000001000100101";
WHEN "1001110" => memoryC1_uid237_exp10TabGen_q <= "1101101100100110110100010110110011010110";
WHEN "1001111" => memoryC1_uid237_exp10TabGen_q <= "1000110010001101010010100110011010010111";
WHEN "1010000" => memoryC1_uid237_exp10TabGen_q <= "0100010101011101111110000000111000111010";
WHEN "1010001" => memoryC1_uid237_exp10TabGen_q <= "0000010110100111101111011010101101110110";
WHEN "1010010" => memoryC1_uid237_exp10TabGen_q <= "1100110101111001100111000110101001001101";
WHEN "1010011" => memoryC1_uid237_exp10TabGen_q <= "1001110011100010101100111001011101100011";
WHEN "1010100" => memoryC1_uid237_exp10TabGen_q <= "0111001111110010010000001101110000010000";
WHEN "1010101" => memoryC1_uid237_exp10TabGen_q <= "0101001010110111101000000111101111000000";
WHEN "1010110" => memoryC1_uid237_exp10TabGen_q <= "0011100101000010010011011001000011111010";
WHEN "1010111" => memoryC1_uid237_exp10TabGen_q <= "0010011110100001111000100100101110110000";
WHEN "1011000" => memoryC1_uid237_exp10TabGen_q <= "0001110111100110000110000010111110001011";
WHEN "1011001" => memoryC1_uid237_exp10TabGen_q <= "0001110000011110110010000101001010010000";
WHEN "1011010" => memoryC1_uid237_exp10TabGen_q <= "0010001001011011111010111001110011101101";
WHEN "1011011" => memoryC1_uid237_exp10TabGen_q <= "0011000010101101100110110000100001110010";
WHEN "1011100" => memoryC1_uid237_exp10TabGen_q <= "0100011100100100000011111110000100111100";
WHEN "1011101" => memoryC1_uid237_exp10TabGen_q <= "0110010111001111101001000000011010110011";
WHEN "1011110" => memoryC1_uid237_exp10TabGen_q <= "1000110011000000110100100010110010101001";
WHEN "1011111" => memoryC1_uid237_exp10TabGen_q <= "1011110000001000001101100001110100111001";
WHEN "1100000" => memoryC1_uid237_exp10TabGen_q <= "1111001110110110100011001111101110011101";
WHEN "1100001" => memoryC1_uid237_exp10TabGen_q <= "0011001111011100101101011000011011011101";
WHEN "1100010" => memoryC1_uid237_exp10TabGen_q <= "0111110010001011101100000101110100110000";
WHEN "1100011" => memoryC1_uid237_exp10TabGen_q <= "1100110111010100101000000100000000111000";
WHEN "1100100" => memoryC1_uid237_exp10TabGen_q <= "0010011111001000110010100101100110001010";
WHEN "1100101" => memoryC1_uid237_exp10TabGen_q <= "1000101001111001100101100111111110010101";
WHEN "1100110" => memoryC1_uid237_exp10TabGen_q <= "1111010111111000100011110111101101010110";
WHEN "1100111" => memoryC1_uid237_exp10TabGen_q <= "0110101001010111011000110100111010100000";
WHEN "1101000" => memoryC1_uid237_exp10TabGen_q <= "1110011110100111111000110111101010100011";
WHEN "1101001" => memoryC1_uid237_exp10TabGen_q <= "0110110111111100000001010100011101110011";
WHEN "1101010" => memoryC1_uid237_exp10TabGen_q <= "1111110101100101111000100000101110100001";
WHEN "1101011" => memoryC1_uid237_exp10TabGen_q <= "1001010111110111101101110111010010010010";
WHEN "1101100" => memoryC1_uid237_exp10TabGen_q <= "0011011111000011111001111100111111011110";
WHEN "1101101" => memoryC1_uid237_exp10TabGen_q <= "1110001011011100111110100101010001110110";
WHEN "1101110" => memoryC1_uid237_exp10TabGen_q <= "1001011101010101100110110110110011001000";
WHEN "1101111" => memoryC1_uid237_exp10TabGen_q <= "0101010101000000100111010000000110011111";
WHEN "1110000" => memoryC1_uid237_exp10TabGen_q <= "0001110010110000111101101100010101100001";
WHEN "1110001" => memoryC1_uid237_exp10TabGen_q <= "1110110110111001110001100111111111010101";
WHEN "1110010" => memoryC1_uid237_exp10TabGen_q <= "1100100001101110010100000101101010011001";
WHEN "1110011" => memoryC1_uid237_exp10TabGen_q <= "1010110011100001111111110010111001100100";
WHEN "1110100" => memoryC1_uid237_exp10TabGen_q <= "1001101100101000011001001101000001001110";
WHEN "1110101" => memoryC1_uid237_exp10TabGen_q <= "1001001101010101001110100110000010110100";
WHEN "1110110" => memoryC1_uid237_exp10TabGen_q <= "1001010101111100011000001001100101100100";
WHEN "1110111" => memoryC1_uid237_exp10TabGen_q <= "1010000110110001111000000001110110110011";
WHEN "1111000" => memoryC1_uid237_exp10TabGen_q <= "1011100000001001111010011100101001101110";
WHEN "1111001" => memoryC1_uid237_exp10TabGen_q <= "1101100010011000110101110000011001010011";
WHEN "1111010" => memoryC1_uid237_exp10TabGen_q <= "0000001101110011001010100001010000011101";
WHEN "1111011" => memoryC1_uid237_exp10TabGen_q <= "0011100010101101100011100110001111100011";
WHEN "1111100" => memoryC1_uid237_exp10TabGen_q <= "0111100001011100110110001110011000110111";
WHEN "1111101" => memoryC1_uid237_exp10TabGen_q <= "1100001010010110000010000101111100110011";
WHEN "1111110" => memoryC1_uid237_exp10TabGen_q <= "0001011101101110010001011011101010111011";
WHEN "1111111" => memoryC1_uid237_exp10TabGen_q <= "0111011011111010111001000110000010110110";
WHEN OTHERS =>
memoryC1_uid237_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--os_uid239_exp10TabGen(BITJOIN,238)@32
os_uid239_exp10TabGen_q <= memoryC1_uid238_exp10TabGen_q & memoryC1_uid237_exp10TabGen_q;
--cIncludingRoundingBit_uid270_exp10PolyEval(BITJOIN,269)@32
cIncludingRoundingBit_uid270_exp10PolyEval_q <= os_uid239_exp10TabGen_q & rndBit_uid263_exp10PolyEval_q;
--reg_cIncludingRoundingBit_uid270_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_0(REG,403)@32
reg_cIncludingRoundingBit_uid270_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid270_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_0_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid270_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_0_q <= cIncludingRoundingBit_uid270_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--ts4_uid271_exp10PolyEval(ADD,270)@33
ts4_uid271_exp10PolyEval_a <= STD_LOGIC_VECTOR((53 downto 53 => reg_cIncludingRoundingBit_uid270_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_0_q(52)) & reg_cIncludingRoundingBit_uid270_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_0_q);
ts4_uid271_exp10PolyEval_b <= STD_LOGIC_VECTOR((53 downto 46 => reg_R_uid317_pT4_uid268_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_1_q(45)) & reg_R_uid317_pT4_uid268_exp10PolyEval_0_to_ts4_uid271_exp10PolyEval_1_q);
ts4_uid271_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts4_uid271_exp10PolyEval_a) + SIGNED(ts4_uid271_exp10PolyEval_b));
ts4_uid271_exp10PolyEval_q <= ts4_uid271_exp10PolyEval_o(53 downto 0);
--s4_uid272_exp10PolyEval(BITSELECT,271)@33
s4_uid272_exp10PolyEval_in <= ts4_uid271_exp10PolyEval_q;
s4_uid272_exp10PolyEval_b <= s4_uid272_exp10PolyEval_in(53 downto 1);
--yTop27Bits_uid319_pT5_uid274_exp10PolyEval(BITSELECT,318)@33
yTop27Bits_uid319_pT5_uid274_exp10PolyEval_in <= s4_uid272_exp10PolyEval_b;
yTop27Bits_uid319_pT5_uid274_exp10PolyEval_b <= yTop27Bits_uid319_pT5_uid274_exp10PolyEval_in(52 downto 26);
--reg_yTop27Bits_uid319_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_9(REG,408)@33
reg_yTop27Bits_uid319_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid319_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_9_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid319_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_9_q <= yTop27Bits_uid319_pT5_uid274_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor(LOGICAL,1107)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_b <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena_q;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_q <= not (ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_a or ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_b);
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_mem_top(CONSTANT,1103)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_mem_top_q <= "010000";
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp(LOGICAL,1104)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_a <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_mem_top_q;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q);
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_q <= "1" when ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_a = ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_b else "0";
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg(REG,1105)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena(REG,1108)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_nor_q = "1") THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd(LOGICAL,1109)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_a <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_sticky_ena_q;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_b <= en;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_a and ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_b;
--xBottomBits_uid322_pT5_uid274_exp10PolyEval(BITSELECT,321)@14
xBottomBits_uid322_pT5_uid274_exp10PolyEval_in <= yPPolyEval_uid65_fpExpETest_b(20 downto 0);
xBottomBits_uid322_pT5_uid274_exp10PolyEval_b <= xBottomBits_uid322_pT5_uid274_exp10PolyEval_in(20 downto 0);
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_inputreg(DELAY,1097)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 21, depth => 1 )
PORT MAP ( xin => xBottomBits_uid322_pT5_uid274_exp10PolyEval_b, xout => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt(COUNTER,1099)
-- every=1, low=0, high=16, step=1, init=1
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i = 15 THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_eq = '1') THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i - 16;
ELSE
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_i,5));
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg(REG,1100)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux(MUX,1101)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_s <= en;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux: PROCESS (ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_s, ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q, ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q;
WHEN "1" => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem(DUALMEM,1098)
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_ia <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_inputreg_q;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_aa <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_ab <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 21,
widthad_a => 5,
numwords_a => 17,
width_b => 21,
widthad_b => 5,
numwords_b => 17,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_iq,
address_a => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_aa,
data_a => ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_ia
);
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_reset0 <= areset;
ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_iq(20 downto 0);
--pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval(BITJOIN,325)@33
pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_mem_q & STD_LOGIC_VECTOR((4 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_7(REG,407)@33
reg_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_7_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_7_q <= pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor(LOGICAL,1094)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_b <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena_q;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_q <= not (ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_a or ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_b);
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_mem_top(CONSTANT,1090)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_mem_top_q <= "010001";
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp(LOGICAL,1091)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_a <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_mem_top_q;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_q);
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_q <= "1" when ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_a = ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_b else "0";
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmpReg(REG,1092)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmpReg_q <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena(REG,1095)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_nor_q = "1") THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena_q <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd(LOGICAL,1096)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_a <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_sticky_ena_q;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_b <= en;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_q <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_a and ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_b;
--xTop26Bits_uid323_pT5_uid274_exp10PolyEval(BITSELECT,322)@14
xTop26Bits_uid323_pT5_uid274_exp10PolyEval_in <= yPPolyEval_uid65_fpExpETest_b;
xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b <= xTop26Bits_uid323_pT5_uid274_exp10PolyEval_in(47 downto 22);
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_inputreg(DELAY,1084)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 26, depth => 1 )
PORT MAP ( xin => xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b, xout => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt(COUNTER,1086)
-- every=1, low=0, high=17, step=1, init=1
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i = 16 THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_eq = '1') THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i - 17;
ELSE
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_i,5));
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg(REG,1087)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg_q <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux(MUX,1088)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_s <= en;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux: PROCESS (ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_s, ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg_q, ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_q <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg_q;
WHEN "1" => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_q <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem(DUALMEM,1085)
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_ia <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_inputreg_q;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_aa <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdreg_q;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_ab <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_rdmux_q;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 26,
widthad_a => 5,
numwords_a => 18,
width_b => 26,
widthad_b => 5,
numwords_b => 18,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_iq,
address_a => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_aa,
data_a => ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_ia
);
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_reset0 <= areset;
ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_q <= ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_iq(25 downto 0);
--spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval(BITJOIN,324)@34
spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_q <= GND_q & ld_xTop26Bits_uid323_pT5_uid274_exp10PolyEval_b_to_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_a_replace_mem_q;
--reg_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_6(REG,406)@34
reg_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_6_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_6_q <= spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid321_pT5_uid274_exp10PolyEval(BITSELECT,320)@33
yBottomBits_uid321_pT5_uid274_exp10PolyEval_in <= s4_uid272_exp10PolyEval_b(25 downto 0);
yBottomBits_uid321_pT5_uid274_exp10PolyEval_b <= yBottomBits_uid321_pT5_uid274_exp10PolyEval_in(25 downto 0);
--ld_yBottomBits_uid321_pT5_uid274_exp10PolyEval_b_to_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_b(DELAY,768)@33
ld_yBottomBits_uid321_pT5_uid274_exp10PolyEval_b_to_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_b : dspba_delay
GENERIC MAP ( width => 26, depth => 1 )
PORT MAP ( xin => yBottomBits_uid321_pT5_uid274_exp10PolyEval_b, xout => ld_yBottomBits_uid321_pT5_uid274_exp10PolyEval_b_to_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_b_q, ena => en(0), clk => clk, aclr => areset );
--pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval(BITJOIN,326)@34
pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_q <= ld_yBottomBits_uid321_pT5_uid274_exp10PolyEval_b_to_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_b_q & GND_q;
--reg_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_4(REG,405)@34
reg_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_4_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_4_q <= pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--VCC(CONSTANT,1)
VCC_q <= "1";
--multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma(CHAINMULTADD,340)@35
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a(0),28));
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a(1),28));
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_p(0) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_l(0) * multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c(0);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_p(1) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_l(1) * multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c(1);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_w(0) <= RESIZE(multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_p(0),56);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_w(1) <= RESIZE(multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_p(1),56);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_x(0) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_w(0);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_x(1) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_w(1);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_y(0) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s(1) + multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_x(0);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_y(1) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_x(1);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a(0) <= RESIZE(UNSIGNED(reg_pad_yBottomBits_uid321_uid327_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_4_q),27);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_7_q),27);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c(0) <= RESIZE(SIGNED(reg_spad_xTop26Bits_uid323_uid325_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_6_q),27);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_c(1) <= RESIZE(SIGNED(reg_yTop27Bits_uid319_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_9_q),27);
IF (en = "1") THEN
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s(0) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_y(0);
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s(1) <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_y(1);
END IF;
END IF;
END PROCESS;
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_delay : dspba_delay
GENERIC MAP (width => 55, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_s(0)(54 downto 0)), xout => multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_q, clk => clk, aclr => areset);
--multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval(BITSELECT,328)@38
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_in <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_q;
multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_b <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_in(54 downto 1);
--highBBits_uid335_pT5_uid274_exp10PolyEval(BITSELECT,334)@38
highBBits_uid335_pT5_uid274_exp10PolyEval_in <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_b;
highBBits_uid335_pT5_uid274_exp10PolyEval_b <= highBBits_uid335_pT5_uid274_exp10PolyEval_in(53 downto 19);
--ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor(LOGICAL,1170)
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_b <= ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena_q;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_q <= not (ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_a or ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_b);
--ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena(REG,1171)
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_nor_q = "1") THEN
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd(LOGICAL,1172)
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_a <= ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_sticky_ena_q;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_b <= en;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_q <= ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_a and ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_b;
--xTop27Bits_uid318_pT5_uid274_exp10PolyEval(BITSELECT,317)@14
xTop27Bits_uid318_pT5_uid274_exp10PolyEval_in <= yPPolyEval_uid65_fpExpETest_b;
xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b <= xTop27Bits_uid318_pT5_uid274_exp10PolyEval_in(47 downto 21);
--ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_inputreg(DELAY,1160)
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b, xout => ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem(DUALMEM,1161)
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_ia <= ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_inputreg_q;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_aa <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_ab <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 27,
widthad_a => 5,
numwords_a => 17,
width_b => 27,
widthad_b => 5,
numwords_b => 17,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_iq,
address_a => ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_aa,
data_a => ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_ia
);
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_reset0 <= areset;
ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_q <= ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_iq(26 downto 0);
--reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0(REG,411)@33
reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_q <= ld_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_b_to_reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--topProd_uid320_pT5_uid274_exp10PolyEval(MULT,319)@34
topProd_uid320_pT5_uid274_exp10PolyEval_pr <= signed(resize(UNSIGNED(topProd_uid320_pT5_uid274_exp10PolyEval_a),28)) * SIGNED(topProd_uid320_pT5_uid274_exp10PolyEval_b);
topProd_uid320_pT5_uid274_exp10PolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid320_pT5_uid274_exp10PolyEval_a <= (others => '0');
topProd_uid320_pT5_uid274_exp10PolyEval_b <= (others => '0');
topProd_uid320_pT5_uid274_exp10PolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid320_pT5_uid274_exp10PolyEval_a <= reg_xTop27Bits_uid318_pT5_uid274_exp10PolyEval_0_to_topProd_uid320_pT5_uid274_exp10PolyEval_0_q;
topProd_uid320_pT5_uid274_exp10PolyEval_b <= reg_yTop27Bits_uid319_pT5_uid274_exp10PolyEval_0_to_multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_cma_9_q;
topProd_uid320_pT5_uid274_exp10PolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid320_pT5_uid274_exp10PolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid320_pT5_uid274_exp10PolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid320_pT5_uid274_exp10PolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid320_pT5_uid274_exp10PolyEval_q <= topProd_uid320_pT5_uid274_exp10PolyEval_s1;
END IF;
END IF;
END PROCESS;
--ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor(LOGICAL,1120)
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_b <= ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena_q;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_q <= not (ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_a or ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_b);
--ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena(REG,1121)
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_nor_q = "1") THEN
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena_q <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd(LOGICAL,1122)
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_a <= ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_sticky_ena_q;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_b <= en;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_q <= ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_a and ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_b;
--sSM0W_uid331_pT5_uid274_exp10PolyEval(BITSELECT,330)@14
sSM0W_uid331_pT5_uid274_exp10PolyEval_in <= yPPolyEval_uid65_fpExpETest_b(20 downto 0);
sSM0W_uid331_pT5_uid274_exp10PolyEval_b <= sSM0W_uid331_pT5_uid274_exp10PolyEval_in(20 downto 18);
--reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1(REG,410)@14
reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q <= sSM0W_uid331_pT5_uid274_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_inputreg(DELAY,1110)
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 3, depth => 1 )
PORT MAP ( xin => reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q, xout => ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem(DUALMEM,1111)
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_ia <= ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_inputreg_q;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_aa <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdreg_q;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_ab <= ld_xBottomBits_uid322_pT5_uid274_exp10PolyEval_b_to_pad_xBottomBits_uid322_uid326_pT5_uid274_exp10PolyEval_b_replace_rdmux_q;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 3,
widthad_a => 5,
numwords_a => 17,
width_b => 3,
widthad_b => 5,
numwords_b => 17,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_iq,
address_a => ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_aa,
data_a => ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_ia
);
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_reset0 <= areset;
ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_q <= ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_iq(2 downto 0);
--sSM0H_uid330_pT5_uid274_exp10PolyEval(BITSELECT,329)@33
sSM0H_uid330_pT5_uid274_exp10PolyEval_in <= s4_uid272_exp10PolyEval_b(25 downto 0);
sSM0H_uid330_pT5_uid274_exp10PolyEval_b <= sSM0H_uid330_pT5_uid274_exp10PolyEval_in(25 downto 23);
--reg_sSM0H_uid330_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_0(REG,409)@33
reg_sSM0H_uid330_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM0H_uid330_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM0H_uid330_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_0_q <= sSM0H_uid330_pT5_uid274_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--sm0_uid332_pT5_uid274_exp10PolyEval(MULT,331)@34
sm0_uid332_pT5_uid274_exp10PolyEval_pr <= UNSIGNED(sm0_uid332_pT5_uid274_exp10PolyEval_a) * UNSIGNED(sm0_uid332_pT5_uid274_exp10PolyEval_b);
sm0_uid332_pT5_uid274_exp10PolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm0_uid332_pT5_uid274_exp10PolyEval_a <= (others => '0');
sm0_uid332_pT5_uid274_exp10PolyEval_b <= (others => '0');
sm0_uid332_pT5_uid274_exp10PolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm0_uid332_pT5_uid274_exp10PolyEval_a <= reg_sSM0H_uid330_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_0_q;
sm0_uid332_pT5_uid274_exp10PolyEval_b <= ld_reg_sSM0W_uid331_pT5_uid274_exp10PolyEval_0_to_sm0_uid332_pT5_uid274_exp10PolyEval_1_q_to_sm0_uid332_pT5_uid274_exp10PolyEval_b_replace_mem_q;
sm0_uid332_pT5_uid274_exp10PolyEval_s1 <= STD_LOGIC_VECTOR(sm0_uid332_pT5_uid274_exp10PolyEval_pr);
END IF;
END IF;
END PROCESS;
sm0_uid332_pT5_uid274_exp10PolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm0_uid332_pT5_uid274_exp10PolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm0_uid332_pT5_uid274_exp10PolyEval_q <= sm0_uid332_pT5_uid274_exp10PolyEval_s1;
END IF;
END IF;
END PROCESS;
--TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval(BITJOIN,332)@37
TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q <= topProd_uid320_pT5_uid274_exp10PolyEval_q & sm0_uid332_pT5_uid274_exp10PolyEval_q;
--ld_TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q_to_sumAHighB_uid336_pT5_uid274_exp10PolyEval_a(DELAY,778)@37
ld_TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q_to_sumAHighB_uid336_pT5_uid274_exp10PolyEval_a : dspba_delay
GENERIC MAP ( width => 60, depth => 1 )
PORT MAP ( xin => TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q, xout => ld_TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q_to_sumAHighB_uid336_pT5_uid274_exp10PolyEval_a_q, ena => en(0), clk => clk, aclr => areset );
--sumAHighB_uid336_pT5_uid274_exp10PolyEval(ADD,335)@38
sumAHighB_uid336_pT5_uid274_exp10PolyEval_a <= STD_LOGIC_VECTOR((60 downto 60 => ld_TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q_to_sumAHighB_uid336_pT5_uid274_exp10PolyEval_a_q(59)) & ld_TtopProdConcSoftProd_uid333_pT5_uid274_exp10PolyEval_q_to_sumAHighB_uid336_pT5_uid274_exp10PolyEval_a_q);
sumAHighB_uid336_pT5_uid274_exp10PolyEval_b <= STD_LOGIC_VECTOR((60 downto 35 => highBBits_uid335_pT5_uid274_exp10PolyEval_b(34)) & highBBits_uid335_pT5_uid274_exp10PolyEval_b);
sumAHighB_uid336_pT5_uid274_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid336_pT5_uid274_exp10PolyEval_a) + SIGNED(sumAHighB_uid336_pT5_uid274_exp10PolyEval_b));
sumAHighB_uid336_pT5_uid274_exp10PolyEval_q <= sumAHighB_uid336_pT5_uid274_exp10PolyEval_o(60 downto 0);
--lowRangeB_uid334_pT5_uid274_exp10PolyEval(BITSELECT,333)@38
lowRangeB_uid334_pT5_uid274_exp10PolyEval_in <= multSumOfTwo27_uid325_pT5_uid274_exp10PolyEval_b(18 downto 0);
lowRangeB_uid334_pT5_uid274_exp10PolyEval_b <= lowRangeB_uid334_pT5_uid274_exp10PolyEval_in(18 downto 0);
--add0_uid334_uid337_pT5_uid274_exp10PolyEval(BITJOIN,336)@38
add0_uid334_uid337_pT5_uid274_exp10PolyEval_q <= sumAHighB_uid336_pT5_uid274_exp10PolyEval_q & lowRangeB_uid334_pT5_uid274_exp10PolyEval_b;
--R_uid338_pT5_uid274_exp10PolyEval(BITSELECT,337)@38
R_uid338_pT5_uid274_exp10PolyEval_in <= add0_uid334_uid337_pT5_uid274_exp10PolyEval_q(78 downto 0);
R_uid338_pT5_uid274_exp10PolyEval_b <= R_uid338_pT5_uid274_exp10PolyEval_in(78 downto 24);
--reg_R_uid338_pT5_uid274_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_1(REG,414)@38
reg_R_uid338_pT5_uid274_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid338_pT5_uid274_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_1_q <= "0000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid338_pT5_uid274_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_1_q <= R_uid338_pT5_uid274_exp10PolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor(LOGICAL,1016)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_b <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_q <= not (ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_a or ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_b);
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_mem_top(CONSTANT,1012)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_mem_top_q <= "010100";
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp(LOGICAL,1013)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_a <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_mem_top_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_q);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_q <= "1" when ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_a = ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_b else "0";
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmpReg(REG,1014)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmpReg_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena(REG,1017)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_nor_q = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd(LOGICAL,1018)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_a <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_sticky_ena_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_b <= en;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_a and ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_b;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt(COUNTER,1008)
-- every=1, low=0, high=20, step=1, init=1
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i = 19 THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_eq = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i - 20;
ELSE
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_i,5));
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg(REG,1009)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux(MUX,1010)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_s <= en;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux: PROCESS (ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_s, ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg_q, ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_s IS
WHEN "0" => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg_q;
WHEN "1" => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem(DUALMEM,1007)
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_ia <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_inputreg_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_aa <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_ab <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_q;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 5,
numwords_a => 21,
width_b => 7,
widthad_b => 5,
numwords_b => 21,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_iq,
address_a => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_aa,
data_a => ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_ia
);
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_reset0 <= areset;
ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_iq(6 downto 0);
--memoryC0_uid235_exp10TabGen(LOOKUP,234)@37
memoryC0_uid235_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC0_uid235_exp10TabGen_q <= "001000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_mem_q) IS
WHEN "0000000" => memoryC0_uid235_exp10TabGen_q <= "001000000000000000";
WHEN "0000001" => memoryC0_uid235_exp10TabGen_q <= "001000000100000001";
WHEN "0000010" => memoryC0_uid235_exp10TabGen_q <= "001000001000000100";
WHEN "0000011" => memoryC0_uid235_exp10TabGen_q <= "001000001100001001";
WHEN "0000100" => memoryC0_uid235_exp10TabGen_q <= "001000010000010000";
WHEN "0000101" => memoryC0_uid235_exp10TabGen_q <= "001000010100011001";
WHEN "0000110" => memoryC0_uid235_exp10TabGen_q <= "001000011000100100";
WHEN "0000111" => memoryC0_uid235_exp10TabGen_q <= "001000011100110001";
WHEN "0001000" => memoryC0_uid235_exp10TabGen_q <= "001000100001000001";
WHEN "0001001" => memoryC0_uid235_exp10TabGen_q <= "001000100101010010";
WHEN "0001010" => memoryC0_uid235_exp10TabGen_q <= "001000101001100110";
WHEN "0001011" => memoryC0_uid235_exp10TabGen_q <= "001000101101111100";
WHEN "0001100" => memoryC0_uid235_exp10TabGen_q <= "001000110010010100";
WHEN "0001101" => memoryC0_uid235_exp10TabGen_q <= "001000110110101110";
WHEN "0001110" => memoryC0_uid235_exp10TabGen_q <= "001000111011001011";
WHEN "0001111" => memoryC0_uid235_exp10TabGen_q <= "001000111111101010";
WHEN "0010000" => memoryC0_uid235_exp10TabGen_q <= "001001000100001011";
WHEN "0010001" => memoryC0_uid235_exp10TabGen_q <= "001001001000101110";
WHEN "0010010" => memoryC0_uid235_exp10TabGen_q <= "001001001101010011";
WHEN "0010011" => memoryC0_uid235_exp10TabGen_q <= "001001010001111011";
WHEN "0010100" => memoryC0_uid235_exp10TabGen_q <= "001001010110100101";
WHEN "0010101" => memoryC0_uid235_exp10TabGen_q <= "001001011011010010";
WHEN "0010110" => memoryC0_uid235_exp10TabGen_q <= "001001100000000000";
WHEN "0010111" => memoryC0_uid235_exp10TabGen_q <= "001001100100110010";
WHEN "0011000" => memoryC0_uid235_exp10TabGen_q <= "001001101001100101";
WHEN "0011001" => memoryC0_uid235_exp10TabGen_q <= "001001101110011011";
WHEN "0011010" => memoryC0_uid235_exp10TabGen_q <= "001001110011010100";
WHEN "0011011" => memoryC0_uid235_exp10TabGen_q <= "001001111000001111";
WHEN "0011100" => memoryC0_uid235_exp10TabGen_q <= "001001111101001100";
WHEN "0011101" => memoryC0_uid235_exp10TabGen_q <= "001010000010001100";
WHEN "0011110" => memoryC0_uid235_exp10TabGen_q <= "001010000111001110";
WHEN "0011111" => memoryC0_uid235_exp10TabGen_q <= "001010001100010011";
WHEN "0100000" => memoryC0_uid235_exp10TabGen_q <= "001010010001011010";
WHEN "0100001" => memoryC0_uid235_exp10TabGen_q <= "001010010110100100";
WHEN "0100010" => memoryC0_uid235_exp10TabGen_q <= "001010011011110001";
WHEN "0100011" => memoryC0_uid235_exp10TabGen_q <= "001010100001000000";
WHEN "0100100" => memoryC0_uid235_exp10TabGen_q <= "001010100110010010";
WHEN "0100101" => memoryC0_uid235_exp10TabGen_q <= "001010101011100111";
WHEN "0100110" => memoryC0_uid235_exp10TabGen_q <= "001010110000111110";
WHEN "0100111" => memoryC0_uid235_exp10TabGen_q <= "001010110110010111";
WHEN "0101000" => memoryC0_uid235_exp10TabGen_q <= "001010111011110100";
WHEN "0101001" => memoryC0_uid235_exp10TabGen_q <= "001011000001010011";
WHEN "0101010" => memoryC0_uid235_exp10TabGen_q <= "001011000110110101";
WHEN "0101011" => memoryC0_uid235_exp10TabGen_q <= "001011001100011010";
WHEN "0101100" => memoryC0_uid235_exp10TabGen_q <= "001011010010000010";
WHEN "0101101" => memoryC0_uid235_exp10TabGen_q <= "001011010111101100";
WHEN "0101110" => memoryC0_uid235_exp10TabGen_q <= "001011011101011001";
WHEN "0101111" => memoryC0_uid235_exp10TabGen_q <= "001011100011001010";
WHEN "0110000" => memoryC0_uid235_exp10TabGen_q <= "001011101000111101";
WHEN "0110001" => memoryC0_uid235_exp10TabGen_q <= "001011101110110011";
WHEN "0110010" => memoryC0_uid235_exp10TabGen_q <= "001011110100101011";
WHEN "0110011" => memoryC0_uid235_exp10TabGen_q <= "001011111010100111";
WHEN "0110100" => memoryC0_uid235_exp10TabGen_q <= "001100000000100110";
WHEN "0110101" => memoryC0_uid235_exp10TabGen_q <= "001100000110101000";
WHEN "0110110" => memoryC0_uid235_exp10TabGen_q <= "001100001100101101";
WHEN "0110111" => memoryC0_uid235_exp10TabGen_q <= "001100010010110101";
WHEN "0111000" => memoryC0_uid235_exp10TabGen_q <= "001100011001000000";
WHEN "0111001" => memoryC0_uid235_exp10TabGen_q <= "001100011111001110";
WHEN "0111010" => memoryC0_uid235_exp10TabGen_q <= "001100100101011111";
WHEN "0111011" => memoryC0_uid235_exp10TabGen_q <= "001100101011110011";
WHEN "0111100" => memoryC0_uid235_exp10TabGen_q <= "001100110010001011";
WHEN "0111101" => memoryC0_uid235_exp10TabGen_q <= "001100111000100101";
WHEN "0111110" => memoryC0_uid235_exp10TabGen_q <= "001100111111000011";
WHEN "0111111" => memoryC0_uid235_exp10TabGen_q <= "001101000101100100";
WHEN "1000000" => memoryC0_uid235_exp10TabGen_q <= "001101001100001001";
WHEN "1000001" => memoryC0_uid235_exp10TabGen_q <= "001101010010110001";
WHEN "1000010" => memoryC0_uid235_exp10TabGen_q <= "001101011001011100";
WHEN "1000011" => memoryC0_uid235_exp10TabGen_q <= "001101100000001010";
WHEN "1000100" => memoryC0_uid235_exp10TabGen_q <= "001101100110111100";
WHEN "1000101" => memoryC0_uid235_exp10TabGen_q <= "001101101101110001";
WHEN "1000110" => memoryC0_uid235_exp10TabGen_q <= "001101110100101010";
WHEN "1000111" => memoryC0_uid235_exp10TabGen_q <= "001101111011100110";
WHEN "1001000" => memoryC0_uid235_exp10TabGen_q <= "001110000010100101";
WHEN "1001001" => memoryC0_uid235_exp10TabGen_q <= "001110001001101000";
WHEN "1001010" => memoryC0_uid235_exp10TabGen_q <= "001110010000101111";
WHEN "1001011" => memoryC0_uid235_exp10TabGen_q <= "001110010111111001";
WHEN "1001100" => memoryC0_uid235_exp10TabGen_q <= "001110011111000111";
WHEN "1001101" => memoryC0_uid235_exp10TabGen_q <= "001110100110011000";
WHEN "1001110" => memoryC0_uid235_exp10TabGen_q <= "001110101101101101";
WHEN "1001111" => memoryC0_uid235_exp10TabGen_q <= "001110110101000110";
WHEN "1010000" => memoryC0_uid235_exp10TabGen_q <= "001110111100100010";
WHEN "1010001" => memoryC0_uid235_exp10TabGen_q <= "001111000100000010";
WHEN "1010010" => memoryC0_uid235_exp10TabGen_q <= "001111001011100110";
WHEN "1010011" => memoryC0_uid235_exp10TabGen_q <= "001111010011001110";
WHEN "1010100" => memoryC0_uid235_exp10TabGen_q <= "001111011010111001";
WHEN "1010101" => memoryC0_uid235_exp10TabGen_q <= "001111100010101001";
WHEN "1010110" => memoryC0_uid235_exp10TabGen_q <= "001111101010011100";
WHEN "1010111" => memoryC0_uid235_exp10TabGen_q <= "001111110010010011";
WHEN "1011000" => memoryC0_uid235_exp10TabGen_q <= "001111111010001110";
WHEN "1011001" => memoryC0_uid235_exp10TabGen_q <= "010000000010001110";
WHEN "1011010" => memoryC0_uid235_exp10TabGen_q <= "010000001010010001";
WHEN "1011011" => memoryC0_uid235_exp10TabGen_q <= "010000010010011000";
WHEN "1011100" => memoryC0_uid235_exp10TabGen_q <= "010000011010100011";
WHEN "1011101" => memoryC0_uid235_exp10TabGen_q <= "010000100010110010";
WHEN "1011110" => memoryC0_uid235_exp10TabGen_q <= "010000101011000110";
WHEN "1011111" => memoryC0_uid235_exp10TabGen_q <= "010000110011011110";
WHEN "1100000" => memoryC0_uid235_exp10TabGen_q <= "010000111011111001";
WHEN "1100001" => memoryC0_uid235_exp10TabGen_q <= "010001000100011001";
WHEN "1100010" => memoryC0_uid235_exp10TabGen_q <= "010001001100111110";
WHEN "1100011" => memoryC0_uid235_exp10TabGen_q <= "010001010101100110";
WHEN "1100100" => memoryC0_uid235_exp10TabGen_q <= "010001011110010011";
WHEN "1100101" => memoryC0_uid235_exp10TabGen_q <= "010001100111000101";
WHEN "1100110" => memoryC0_uid235_exp10TabGen_q <= "010001101111111010";
WHEN "1100111" => memoryC0_uid235_exp10TabGen_q <= "010001111000110101";
WHEN "1101000" => memoryC0_uid235_exp10TabGen_q <= "010010000001110011";
WHEN "1101001" => memoryC0_uid235_exp10TabGen_q <= "010010001010110110";
WHEN "1101010" => memoryC0_uid235_exp10TabGen_q <= "010010010011111110";
WHEN "1101011" => memoryC0_uid235_exp10TabGen_q <= "010010011101001010";
WHEN "1101100" => memoryC0_uid235_exp10TabGen_q <= "010010100110011011";
WHEN "1101101" => memoryC0_uid235_exp10TabGen_q <= "010010101111110001";
WHEN "1101110" => memoryC0_uid235_exp10TabGen_q <= "010010111001001011";
WHEN "1101111" => memoryC0_uid235_exp10TabGen_q <= "010011000010101010";
WHEN "1110000" => memoryC0_uid235_exp10TabGen_q <= "010011001100001110";
WHEN "1110001" => memoryC0_uid235_exp10TabGen_q <= "010011010101110110";
WHEN "1110010" => memoryC0_uid235_exp10TabGen_q <= "010011011111100100";
WHEN "1110011" => memoryC0_uid235_exp10TabGen_q <= "010011101001010110";
WHEN "1110100" => memoryC0_uid235_exp10TabGen_q <= "010011110011001101";
WHEN "1110101" => memoryC0_uid235_exp10TabGen_q <= "010011111101001001";
WHEN "1110110" => memoryC0_uid235_exp10TabGen_q <= "010100000111001010";
WHEN "1110111" => memoryC0_uid235_exp10TabGen_q <= "010100010001010000";
WHEN "1111000" => memoryC0_uid235_exp10TabGen_q <= "010100011011011100";
WHEN "1111001" => memoryC0_uid235_exp10TabGen_q <= "010100100101101100";
WHEN "1111010" => memoryC0_uid235_exp10TabGen_q <= "010100110000000001";
WHEN "1111011" => memoryC0_uid235_exp10TabGen_q <= "010100111010011100";
WHEN "1111100" => memoryC0_uid235_exp10TabGen_q <= "010101000100111100";
WHEN "1111101" => memoryC0_uid235_exp10TabGen_q <= "010101001111100001";
WHEN "1111110" => memoryC0_uid235_exp10TabGen_q <= "010101011010001011";
WHEN "1111111" => memoryC0_uid235_exp10TabGen_q <= "010101100100111011";
WHEN OTHERS =>
memoryC0_uid235_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor(LOGICAL,1133)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_b <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_q <= not (ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_a or ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_b);
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena(REG,1134)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_nor_q = "1") THEN
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena_q <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd(LOGICAL,1135)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_a <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_sticky_ena_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_b <= en;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_a and ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_b;
--ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem(DUALMEM,1124)
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_ia <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_inputreg_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_aa <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdreg_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_ab <= ld_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid235_exp10TabGen_0_q_to_memoryC0_uid235_exp10TabGen_a_replace_rdmux_q;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 5,
numwords_a => 21,
width_b => 7,
widthad_b => 5,
numwords_b => 21,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_iq,
address_a => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_aa,
data_a => ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_ia
);
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_reset0 <= areset;
ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_iq(6 downto 0);
--reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0(REG,377)@36
reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_q <= ld_addr_uid64_fpExpETest_b_to_reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid234_exp10TabGen(LOOKUP,233)@37
memoryC0_uid234_exp10TabGen: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
memoryC0_uid234_exp10TabGen_q <= "0000000000000000000000000000000000000100";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_addr_uid64_fpExpETest_0_to_memoryC0_uid234_exp10TabGen_0_q) IS
WHEN "0000000" => memoryC0_uid234_exp10TabGen_q <= "0000000000000000000000000000000000000100";
WHEN "0000001" => memoryC0_uid234_exp10TabGen_q <= "0000000010101011000000000010001000110010";
WHEN "0000010" => memoryC0_uid234_exp10TabGen_q <= "0000010101011010101011101111000111001101";
WHEN "0000011" => memoryC0_uid234_exp10TabGen_q <= "0001001000011011001000001000011011101101";
WHEN "0000100" => memoryC0_uid234_exp10TabGen_q <= "0010101100000000100010010011111101101001";
WHEN "0000101" => memoryC0_uid234_exp10TabGen_q <= "0101010000100111010011100000111110110000";
WHEN "0000110" => memoryC0_uid234_exp10TabGen_q <= "1001000110110100000101001111010001100010";
WHEN "0000111" => memoryC0_uid234_exp10TabGen_q <= "1110011111010011110101011000010011101101";
WHEN "0001000" => memoryC0_uid234_exp10TabGen_q <= "0101101010111011111010011010011101110000";
WHEN "0001001" => memoryC0_uid234_exp10TabGen_q <= "1110111010101010000111100110011000101011";
WHEN "0001010" => memoryC0_uid234_exp10TabGen_q <= "1010011111100100110001001110011010110110";
WHEN "0001011" => memoryC0_uid234_exp10TabGen_q <= "1000101010111010110000111000001101001101";
WHEN "0001100" => memoryC0_uid234_exp10TabGen_q <= "1001101110000011101001110000011001101111";
WHEN "0001101" => memoryC0_uid234_exp10TabGen_q <= "1101111010011111101101000000100100010110";
WHEN "0001110" => memoryC0_uid234_exp10TabGen_q <= "0101100001110111111110000111001111001110";
WHEN "0001111" => memoryC0_uid234_exp10TabGen_q <= "0000110101111110010111010010001011110111";
WHEN "0010000" => memoryC0_uid234_exp10TabGen_q <= "0000001000101101101101111010111001101100";
WHEN "0010001" => memoryC0_uid234_exp10TabGen_q <= "0011101100001001110111000101010011011100";
WHEN "0010010" => memoryC0_uid234_exp10TabGen_q <= "1011110010011111101100000000101100100101";
WHEN "0010011" => memoryC0_uid234_exp10TabGen_q <= "1000101110000101001110101010111111110000";
WHEN "0010100" => memoryC0_uid234_exp10TabGen_q <= "1010110001011001101110010110001111001110";
WHEN "0010101" => memoryC0_uid234_exp10TabGen_q <= "0010001111000101101100010000011000111110";
WHEN "0010110" => memoryC0_uid234_exp10TabGen_q <= "1111011001111011000000001101011110111100";
WHEN "0010111" => memoryC0_uid234_exp10TabGen_q <= "0010100100110100111101010100000101001100";
WHEN "0011000" => memoryC0_uid234_exp10TabGen_q <= "1100000010111000010110101100000110101101";
WHEN "0011001" => memoryC0_uid234_exp10TabGen_q <= "1100000111010011100100010000000010010110";
WHEN "0011010" => memoryC0_uid234_exp10TabGen_q <= "0011000101011110100111100000100000110111";
WHEN "0011011" => memoryC0_uid234_exp10TabGen_q <= "0001010000111011010000011010010101011000";
WHEN "0011100" => memoryC0_uid234_exp10TabGen_q <= "0110111101010101000010001110111001100001";
WHEN "0011101" => memoryC0_uid234_exp10TabGen_q <= "0100011110100001011000011111000110010100";
WHEN "0011110" => memoryC0_uid234_exp10TabGen_q <= "1010001000011111101011111000101011001011";
WHEN "0011111" => memoryC0_uid234_exp10TabGen_q <= "1000001111011001010111010110000100001011";
WHEN "0100000" => memoryC0_uid234_exp10TabGen_q <= "1111000111100001111101000000110000110111";
WHEN "0100001" => memoryC0_uid234_exp10TabGen_q <= "1111000101010111001011010110001100111110";
WHEN "0100010" => memoryC0_uid234_exp10TabGen_q <= "1000011101100001000010001111001100000101";
WHEN "0100011" => memoryC0_uid234_exp10TabGen_q <= "1011100100110001111000001001111001101100";
WHEN "0100100" => memoryC0_uid234_exp10TabGen_q <= "1000110000000110011111010110011110111111";
WHEN "0100101" => memoryC0_uid234_exp10TabGen_q <= "0000010100100110001011000110001111100010";
WHEN "0100110" => memoryC0_uid234_exp10TabGen_q <= "0010100111100010110100111101011110000101";
WHEN "0100111" => memoryC0_uid234_exp10TabGen_q <= "1111111110011001000010000111111011001111";
WHEN "0101000" => memoryC0_uid234_exp10TabGen_q <= "1000101110110000001000101111111110101110";
WHEN "0101001" => memoryC0_uid234_exp10TabGen_q <= "1101001110011010010101011000011101001000";
WHEN "0101010" => memoryC0_uid234_exp10TabGen_q <= "1101110011010100110000011001001011000110";
WHEN "0101011" => memoryC0_uid234_exp10TabGen_q <= "1010110011100111100011011110001111100111";
WHEN "0101100" => memoryC0_uid234_exp10TabGen_q <= "0100100101100101111111001010000110011010";
WHEN "0101101" => memoryC0_uid234_exp10TabGen_q <= "1011011111101110100000011010010100011000";
WHEN "0101110" => memoryC0_uid234_exp10TabGen_q <= "1111111000101010110110001111001110110010";
WHEN "0101111" => memoryC0_uid234_exp10TabGen_q <= "0010000111010000000111010110010111001101";
WHEN "0110000" => memoryC0_uid234_exp10TabGen_q <= "0010100010011110110111110111101101010111";
WHEN "0110001" => memoryC0_uid234_exp10TabGen_q <= "0001100001100011001111000101111000001011";
WHEN "0110010" => memoryC0_uid234_exp10TabGen_q <= "1111011011110100111101010001000111110011";
WHEN "0110011" => memoryC0_uid234_exp10TabGen_q <= "1100101000110111100001011101010001101111";
WHEN "0110100" => memoryC0_uid234_exp10TabGen_q <= "1001100000011010001111011010101000110010";
WHEN "0110101" => memoryC0_uid234_exp10TabGen_q <= "0110011010011000010101100001110010000100";
WHEN "0110110" => memoryC0_uid234_exp10TabGen_q <= "0011101110111001000010110010011000101110";
WHEN "0110111" => memoryC0_uid234_exp10TabGen_q <= "0001110110001111101100110101000001110111";
WHEN "0111000" => memoryC0_uid234_exp10TabGen_q <= "0001001000111011110110000000000010000011";
WHEN "0111001" => memoryC0_uid234_exp10TabGen_q <= "0001111111101001010011011111010101111111";
WHEN "0111010" => memoryC0_uid234_exp10TabGen_q <= "0100110011010000010011011111100000000010";
WHEN "0111011" => memoryC0_uid234_exp10TabGen_q <= "1001111100110101100011011011101011110001";
WHEN "0111100" => memoryC0_uid234_exp10TabGen_q <= "0001110101101010010110001110111001100101";
WHEN "0111101" => memoryC0_uid234_exp10TabGen_q <= "1100110111001100101010101000010011011101";
WHEN "0111110" => memoryC0_uid234_exp10TabGen_q <= "1011011011000111010001100010101100110111";
WHEN "0111111" => memoryC0_uid234_exp10TabGen_q <= "1101111011010001110100011111001111000001";
WHEN "1000000" => memoryC0_uid234_exp10TabGen_q <= "0100110001110000111100000011010011100010";
WHEN "1000001" => memoryC0_uid234_exp10TabGen_q <= "0000011000110110010110011001101110100101";
WHEN "1000010" => memoryC0_uid234_exp10TabGen_q <= "0001001011000000111101110111001010100111";
WHEN "1000011" => memoryC0_uid234_exp10TabGen_q <= "0111100010111100111111100001110111000101";
WHEN "1000100" => memoryC0_uid234_exp10TabGen_q <= "0011111011100100000001111100101011111001";
WHEN "1000101" => memoryC0_uid234_exp10TabGen_q <= "0110101111111101001011110101100011000111";
WHEN "1000110" => memoryC0_uid234_exp10TabGen_q <= "0000011011011101001010110111001010110011";
WHEN "1000111" => memoryC0_uid234_exp10TabGen_q <= "0001011001100110011010011110010000101001";
WHEN "1001000" => memoryC0_uid234_exp10TabGen_q <= "1010000110001001001010110010001000110110";
WHEN "1001001" => memoryC0_uid234_exp10TabGen_q <= "1010111101000011100111100000110010011010";
WHEN "1001010" => memoryC0_uid234_exp10TabGen_q <= "0100011010100001111110111110011010001000";
WHEN "1001011" => memoryC0_uid234_exp10TabGen_q <= "0110111010111110101001001000011110010111";
WHEN "1001100" => memoryC0_uid234_exp10TabGen_q <= "0010111011000010001110101100010101001010";
WHEN "1001101" => memoryC0_uid234_exp10TabGen_q <= "1000110111100011110000010001010110100101";
WHEN "1001110" => memoryC0_uid234_exp10TabGen_q <= "1001001101101000101101100110101101000000";
WHEN "1001111" => memoryC0_uid234_exp10TabGen_q <= "0100011010100101001100110100101101001110";
WHEN "1010000" => memoryC0_uid234_exp10TabGen_q <= "1010111011111100000001110001111000000111";
WHEN "1010001" => memoryC0_uid234_exp10TabGen_q <= "1101001111011110110101011011100111110000";
WHEN "1010010" => memoryC0_uid234_exp10TabGen_q <= "1011110011001110001101010010101001110110";
WHEN "1010011" => memoryC0_uid234_exp10TabGen_q <= "0111000101011001110010111011001001001100";
WHEN "1010100" => memoryC0_uid234_exp10TabGen_q <= "1111100100100000011011100000101000010100";
WHEN "1010101" => memoryC0_uid234_exp10TabGen_q <= "0101101111010000001111011101101110110110";
WHEN "1010110" => memoryC0_uid234_exp10TabGen_q <= "1010000100100110110010000111101011110111";
WHEN "1010111" => memoryC0_uid234_exp10TabGen_q <= "1101000011110001001001011101101110110011";
WHEN "1011000" => memoryC0_uid234_exp10TabGen_q <= "1111001100001100000101111100011001001001";
WHEN "1011001" => memoryC0_uid234_exp10TabGen_q <= "0000111101100100001010010100101010110000";
WHEN "1011010" => memoryC0_uid234_exp10TabGen_q <= "0010110111110101110011100111001010101000";
WHEN "1011011" => memoryC0_uid234_exp10TabGen_q <= "0101011011001101100001000011001110011011";
WHEN "1011100" => memoryC0_uid234_exp10TabGen_q <= "1001001000000111111100001010000010010111";
WHEN "1011101" => memoryC0_uid234_exp10TabGen_q <= "1110011111010010000000110101110011110001";
WHEN "1011110" => memoryC0_uid234_exp10TabGen_q <= "0110000001101001000101100101000000010001";
WHEN "1011111" => memoryC0_uid234_exp10TabGen_q <= "0000010000011011000011101001101011100110";
WHEN "1100000" => memoryC0_uid234_exp10TabGen_q <= "1101101101000110011111011100111110000000";
WHEN "1100001" => memoryC0_uid234_exp10TabGen_q <= "1110111001011010110000110110101101100101";
WHEN "1100010" => memoryC0_uid234_exp10TabGen_q <= "0100010111011000001011101001010100011100";
WHEN "1100011" => memoryC0_uid234_exp10TabGen_q <= "1110101001010000001000000001110101110001";
WHEN "1100100" => memoryC0_uid234_exp10TabGen_q <= "1110010001100101001011001100010100000101";
WHEN "1100101" => memoryC0_uid234_exp10TabGen_q <= "0011110011001011001111111100011010101110";
WHEN "1100110" => memoryC0_uid234_exp10TabGen_q <= "1111110001000111101111011010011100101111";
WHEN "1100111" => memoryC0_uid234_exp10TabGen_q <= "0010101110110001101001110100101011010100";
WHEN "1101000" => memoryC0_uid234_exp10TabGen_q <= "1101001111110001101111010101000110000100";
WHEN "1101001" => memoryC0_uid234_exp10TabGen_q <= "1111111000000010101000111011100111001100";
WHEN "1101010" => memoryC0_uid234_exp10TabGen_q <= "1011001011110001000001011100101101111110";
WHEN "1101011" => memoryC0_uid234_exp10TabGen_q <= "1111101111011011101110100100101001101011";
WHEN "1101100" => memoryC0_uid234_exp10TabGen_q <= "1110000111110011111001111111000111000101";
WHEN "1101101" => memoryC0_uid234_exp10TabGen_q <= "0110111001111101001010100011100011001011";
WHEN "1101110" => memoryC0_uid234_exp10TabGen_q <= "1010101011001101101101100110000101000011";
WHEN "1101111" => memoryC0_uid234_exp10TabGen_q <= "1010000001001110100000001101000001011001";
WHEN "1110000" => memoryC0_uid234_exp10TabGen_q <= "0101100001111011011000101011001001111110";
WHEN "1110001" => memoryC0_uid234_exp10TabGen_q <= "1101110011100011001111111110101011011010";
WHEN "1110010" => memoryC0_uid234_exp10TabGen_q <= "0011011100101000001011010100111011101001";
WHEN "1110011" => memoryC0_uid234_exp10TabGen_q <= "0111000011111111100101110010111011011000";
WHEN "1110100" => memoryC0_uid234_exp10TabGen_q <= "1001010000110010011010000010101101001001";
WHEN "1110101" => memoryC0_uid234_exp10TabGen_q <= "1010101010011101001100000101100100000010";
WHEN "1110110" => memoryC0_uid234_exp10TabGen_q <= "1011111000110000010011001011001101000100";
WHEN "1110111" => memoryC0_uid234_exp10TabGen_q <= "1101100011110000000011101101110101000101";
WHEN "1111000" => memoryC0_uid234_exp10TabGen_q <= "0000010011110100111001010011001110000110";
WHEN "1111001" => memoryC0_uid234_exp10TabGen_q <= "0100110001101011100000110010110110011110";
WHEN "1111010" => memoryC0_uid234_exp10TabGen_q <= "1011100110010101000010100001000100001101";
WHEN "1111011" => memoryC0_uid234_exp10TabGen_q <= "0101011011000111001100011111010111010111";
WHEN "1111100" => memoryC0_uid234_exp10TabGen_q <= "0010111001101100011100110001110101101101";
WHEN "1111101" => memoryC0_uid234_exp10TabGen_q <= "0100101100000100001011111001110010011111";
WHEN "1111110" => memoryC0_uid234_exp10TabGen_q <= "1011011100100010110111010101100100101111";
WHEN "1111111" => memoryC0_uid234_exp10TabGen_q <= "0111110101110010001100000101101110111100";
WHEN OTHERS =>
memoryC0_uid234_exp10TabGen_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--os_uid236_exp10TabGen(BITJOIN,235)@38
os_uid236_exp10TabGen_q <= memoryC0_uid235_exp10TabGen_q & memoryC0_uid234_exp10TabGen_q;
--rndBit_uid275_exp10PolyEval(CONSTANT,274)
rndBit_uid275_exp10PolyEval_q <= "001";
--cIncludingRoundingBit_uid276_exp10PolyEval(BITJOIN,275)@38
cIncludingRoundingBit_uid276_exp10PolyEval_q <= os_uid236_exp10TabGen_q & rndBit_uid275_exp10PolyEval_q;
--reg_cIncludingRoundingBit_uid276_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_0(REG,413)@38
reg_cIncludingRoundingBit_uid276_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid276_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_0_q <= "0000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid276_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_0_q <= cIncludingRoundingBit_uid276_exp10PolyEval_q;
END IF;
END IF;
END PROCESS;
--ts5_uid277_exp10PolyEval(ADD,276)@39
ts5_uid277_exp10PolyEval_a <= STD_LOGIC_VECTOR((61 downto 61 => reg_cIncludingRoundingBit_uid276_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_0_q(60)) & reg_cIncludingRoundingBit_uid276_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_0_q);
ts5_uid277_exp10PolyEval_b <= STD_LOGIC_VECTOR((61 downto 55 => reg_R_uid338_pT5_uid274_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_1_q(54)) & reg_R_uid338_pT5_uid274_exp10PolyEval_0_to_ts5_uid277_exp10PolyEval_1_q);
ts5_uid277_exp10PolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts5_uid277_exp10PolyEval_a) + SIGNED(ts5_uid277_exp10PolyEval_b));
ts5_uid277_exp10PolyEval_q <= ts5_uid277_exp10PolyEval_o(61 downto 0);
--s5_uid278_exp10PolyEval(BITSELECT,277)@39
s5_uid278_exp10PolyEval_in <= ts5_uid277_exp10PolyEval_q;
s5_uid278_exp10PolyEval_b <= s5_uid278_exp10PolyEval_in(61 downto 1);
--peORExpInc_uid68_fpExpETest(BITSELECT,67)@39
peORExpInc_uid68_fpExpETest_in <= s5_uid278_exp10PolyEval_b(58 downto 0);
peORExpInc_uid68_fpExpETest_b <= peORExpInc_uid68_fpExpETest_in(58 downto 58);
--reg_peORExpInc_uid68_fpExpETest_0_to_expRPostBiasPreExc_uid70_fpExpETest_1(REG,415)@39
reg_peORExpInc_uid68_fpExpETest_0_to_expRPostBiasPreExc_uid70_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_peORExpInc_uid68_fpExpETest_0_to_expRPostBiasPreExc_uid70_fpExpETest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_peORExpInc_uid68_fpExpETest_0_to_expRPostBiasPreExc_uid70_fpExpETest_1_q <= peORExpInc_uid68_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--cstBias_uid8_fpExpETest(CONSTANT,7)
cstBias_uid8_fpExpETest_q <= "01111111111";
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor(LOGICAL,911)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_b <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena_q;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_q <= not (ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_a or ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_b);
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_mem_top(CONSTANT,907)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_mem_top_q <= "011011";
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp(LOGICAL,908)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_a <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_mem_top_q;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_q);
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_q <= "1" when ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_a = ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_b else "0";
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmpReg(REG,909)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmpReg_q <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena(REG,912)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_nor_q = "1") THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena_q <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd(LOGICAL,913)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_a <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_sticky_ena_q;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_b <= en;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_q <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_a and ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_b;
--reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0(REG,360)@8
reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q <= "00000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q <= ePreRnd_uid46_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_inputreg(DELAY,901)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 14, depth => 1 )
PORT MAP ( xin => reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q, xout => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt(COUNTER,903)
-- every=1, low=0, high=27, step=1, init=1
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i = 26 THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_eq = '1') THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i - 27;
ELSE
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_i,5));
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg(REG,904)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg_q <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux(MUX,905)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_s <= en;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux: PROCESS (ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_s, ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg_q, ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_s IS
WHEN "0" => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_q <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg_q;
WHEN "1" => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_q <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem(DUALMEM,902)
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_ia <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_inputreg_q;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_aa <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdreg_q;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_ab <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_rdmux_q;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 14,
widthad_a => 5,
numwords_a => 28,
width_b => 14,
widthad_b => 5,
numwords_b => 28,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_iq,
address_a => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_aa,
data_a => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_ia
);
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_reset0 <= areset;
ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_q <= ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_iq(13 downto 0);
--expRPostBiasPreExc0_uid69_fpExpETest(ADD,68)@39
expRPostBiasPreExc0_uid69_fpExpETest_a <= STD_LOGIC_VECTOR((15 downto 14 => ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_q(13)) & ld_reg_ePreRnd_uid46_fpExpETest_0_to_expRPostBiasPreExc0_uid69_fpExpETest_0_q_to_expRPostBiasPreExc0_uid69_fpExpETest_a_replace_mem_q);
expRPostBiasPreExc0_uid69_fpExpETest_b <= STD_LOGIC_VECTOR('0' & "0000" & cstBias_uid8_fpExpETest_q);
expRPostBiasPreExc0_uid69_fpExpETest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRPostBiasPreExc0_uid69_fpExpETest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
expRPostBiasPreExc0_uid69_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(expRPostBiasPreExc0_uid69_fpExpETest_a) + SIGNED(expRPostBiasPreExc0_uid69_fpExpETest_b));
END IF;
END IF;
END PROCESS;
expRPostBiasPreExc0_uid69_fpExpETest_q <= expRPostBiasPreExc0_uid69_fpExpETest_o(14 downto 0);
--expRPostBiasPreExc_uid70_fpExpETest(ADD,69)@40
expRPostBiasPreExc_uid70_fpExpETest_a <= STD_LOGIC_VECTOR((16 downto 15 => expRPostBiasPreExc0_uid69_fpExpETest_q(14)) & expRPostBiasPreExc0_uid69_fpExpETest_q);
expRPostBiasPreExc_uid70_fpExpETest_b <= STD_LOGIC_VECTOR('0' & "000000000000000" & reg_peORExpInc_uid68_fpExpETest_0_to_expRPostBiasPreExc_uid70_fpExpETest_1_q);
expRPostBiasPreExc_uid70_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(expRPostBiasPreExc_uid70_fpExpETest_a) + SIGNED(expRPostBiasPreExc_uid70_fpExpETest_b));
expRPostBiasPreExc_uid70_fpExpETest_q <= expRPostBiasPreExc_uid70_fpExpETest_o(15 downto 0);
--expR_uid75_fpExpETest(BITSELECT,74)@40
expR_uid75_fpExpETest_in <= expRPostBiasPreExc_uid70_fpExpETest_q(10 downto 0);
expR_uid75_fpExpETest_b <= expR_uid75_fpExpETest_in(10 downto 0);
--ld_expR_uid75_fpExpETest_b_to_expRPostExc_uid97_fpExpETest_d(DELAY,509)@40
ld_expR_uid75_fpExpETest_b_to_expRPostExc_uid97_fpExpETest_d : dspba_delay
GENERIC MAP ( width => 11, depth => 2 )
PORT MAP ( xin => expR_uid75_fpExpETest_b, xout => ld_expR_uid75_fpExpETest_b_to_expRPostExc_uid97_fpExpETest_d_q, ena => en(0), clk => clk, aclr => areset );
--cstZeroWE_uid11_fpExpETest(CONSTANT,10)
cstZeroWE_uid11_fpExpETest_q <= "00000000000";
--ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor(LOGICAL,1002)
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_b <= ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena_q;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_q <= not (ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_a or ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_b);
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_mem_top(CONSTANT,920)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_mem_top_q <= "0100110";
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp(LOGICAL,921)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_a <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_mem_top_q;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q);
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_q <= "1" when ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_a = ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_b else "0";
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg(REG,922)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena(REG,1003)
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_nor_q = "1") THEN
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd(LOGICAL,1004)
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_a <= ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_sticky_ena_q;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_b <= en;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_q <= ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_a and ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_b;
--cstAllZWF_uid16_fpExpETest(CONSTANT,15)
cstAllZWF_uid16_fpExpETest_q <= "0000000000000000000000000000000000000000000000000000";
--fracXIsZero_uid23_fpExpETest(LOGICAL,22)@0
fracXIsZero_uid23_fpExpETest_a <= frac_uid22_fpExpETest_b;
fracXIsZero_uid23_fpExpETest_b <= cstAllZWF_uid16_fpExpETest_q;
fracXIsZero_uid23_fpExpETest_q <= "1" when fracXIsZero_uid23_fpExpETest_a = fracXIsZero_uid23_fpExpETest_b else "0";
--InvFracXIsZero_uid25_fpExpETest(LOGICAL,24)@0
InvFracXIsZero_uid25_fpExpETest_a <= fracXIsZero_uid23_fpExpETest_q;
InvFracXIsZero_uid25_fpExpETest_q <= not InvFracXIsZero_uid25_fpExpETest_a;
--expXIsMax_uid21_fpExpETest(LOGICAL,20)@0
expXIsMax_uid21_fpExpETest_a <= expX_uid6_fpExpETest_b;
expXIsMax_uid21_fpExpETest_b <= cstAllOWE_uid15_fpExpETest_q;
expXIsMax_uid21_fpExpETest_q <= "1" when expXIsMax_uid21_fpExpETest_a = expXIsMax_uid21_fpExpETest_b else "0";
--exc_N_uid26_fpExpETest(LOGICAL,25)@0
exc_N_uid26_fpExpETest_a <= expXIsMax_uid21_fpExpETest_q;
exc_N_uid26_fpExpETest_b <= InvFracXIsZero_uid25_fpExpETest_q;
exc_N_uid26_fpExpETest_q <= exc_N_uid26_fpExpETest_a and exc_N_uid26_fpExpETest_b;
--ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_inputreg(DELAY,992)
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_N_uid26_fpExpETest_q, xout => ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt(COUNTER,916)
-- every=1, low=0, high=38, step=1, init=1
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i = 37 THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_eq <= '1';
ELSE
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_eq = '1') THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i - 38;
ELSE
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_i,6));
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg(REG,917)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux(MUX,918)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_s <= en;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux: PROCESS (ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_s, ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q, ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_q)
BEGIN
CASE ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_s IS
WHEN "0" => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q;
WHEN "1" => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdcnt_q;
WHEN OTHERS => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem(DUALMEM,993)
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_ia <= ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_inputreg_q;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_aa <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_ab <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 39,
width_b => 1,
widthad_b => 6,
numwords_b => 39,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_iq,
address_a => ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_aa,
data_a => ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_ia
);
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_reset0 <= areset;
ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_q <= ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_iq(0 downto 0);
--ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor(LOGICAL,989)
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_b <= ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena_q;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_q <= not (ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_a or ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_b);
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_mem_top(CONSTANT,933)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_mem_top_q <= "0100101";
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp(LOGICAL,934)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_a <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_mem_top_q;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q);
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_q <= "1" when ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_a = ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_b else "0";
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg(REG,935)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena(REG,990)
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_nor_q = "1") THEN
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd(LOGICAL,991)
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_a <= ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_sticky_ena_q;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_b <= en;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_q <= ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_a and ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_b;
--ld_signX_uid7_fpExpETest_b_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_c(DELAY,482)@0
ld_signX_uid7_fpExpETest_b_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => signX_uid7_fpExpETest_b, xout => ld_signX_uid7_fpExpETest_b_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_c_q, ena => en(0), clk => clk, aclr => areset );
--InvSignX_uid81_fpExpETest(LOGICAL,80)@1
InvSignX_uid81_fpExpETest_a <= ld_signX_uid7_fpExpETest_b_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_c_q;
InvSignX_uid81_fpExpETest_q <= not InvSignX_uid81_fpExpETest_a;
--expOvfInitial_uid41_fpExpETest(BITSELECT,40)@0
expOvfInitial_uid41_fpExpETest_in <= shiftValuePreSat_uid40_fpExpETest_q;
expOvfInitial_uid41_fpExpETest_b <= expOvfInitial_uid41_fpExpETest_in(11 downto 11);
--reg_expOvfInitial_uid41_fpExpETest_0_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_2(REG,417)@0
reg_expOvfInitial_uid41_fpExpETest_0_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expOvfInitial_uid41_fpExpETest_0_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expOvfInitial_uid41_fpExpETest_0_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_2_q <= expOvfInitial_uid41_fpExpETest_b;
END IF;
END IF;
END PROCESS;
--InvExc_N_uid27_fpExpETest(LOGICAL,26)@0
InvExc_N_uid27_fpExpETest_a <= exc_N_uid26_fpExpETest_q;
InvExc_N_uid27_fpExpETest_q <= not InvExc_N_uid27_fpExpETest_a;
--exc_I_uid24_fpExpETest(LOGICAL,23)@0
exc_I_uid24_fpExpETest_a <= expXIsMax_uid21_fpExpETest_q;
exc_I_uid24_fpExpETest_b <= fracXIsZero_uid23_fpExpETest_q;
exc_I_uid24_fpExpETest_q <= exc_I_uid24_fpExpETest_a and exc_I_uid24_fpExpETest_b;
--InvExc_I_uid28_fpExpETest(LOGICAL,27)@0
InvExc_I_uid28_fpExpETest_a <= exc_I_uid24_fpExpETest_q;
InvExc_I_uid28_fpExpETest_q <= not InvExc_I_uid28_fpExpETest_a;
--expXIsZero_uid19_fpExpETest(LOGICAL,18)@0
expXIsZero_uid19_fpExpETest_a <= expX_uid6_fpExpETest_b;
expXIsZero_uid19_fpExpETest_b <= cstZeroWE_uid11_fpExpETest_q;
expXIsZero_uid19_fpExpETest_q <= "1" when expXIsZero_uid19_fpExpETest_a = expXIsZero_uid19_fpExpETest_b else "0";
--InvExpXIsZero_uid29_fpExpETest(LOGICAL,28)@0
InvExpXIsZero_uid29_fpExpETest_a <= expXIsZero_uid19_fpExpETest_q;
InvExpXIsZero_uid29_fpExpETest_q <= not InvExpXIsZero_uid29_fpExpETest_a;
--exc_R_uid30_fpExpETest(LOGICAL,29)@0
exc_R_uid30_fpExpETest_a <= InvExpXIsZero_uid29_fpExpETest_q;
exc_R_uid30_fpExpETest_b <= InvExc_I_uid28_fpExpETest_q;
exc_R_uid30_fpExpETest_c <= InvExc_N_uid27_fpExpETest_q;
exc_R_uid30_fpExpETest_q_i <= exc_R_uid30_fpExpETest_a and exc_R_uid30_fpExpETest_b and exc_R_uid30_fpExpETest_c;
exc_R_uid30_fpExpETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => exc_R_uid30_fpExpETest_q, xin => exc_R_uid30_fpExpETest_q_i, clk => clk, ena => en(0), aclr => areset);
--regXAndExpOverflowAndPos_uid82_fpExpETest(LOGICAL,81)@1
regXAndExpOverflowAndPos_uid82_fpExpETest_a <= exc_R_uid30_fpExpETest_q;
regXAndExpOverflowAndPos_uid82_fpExpETest_b <= reg_expOvfInitial_uid41_fpExpETest_0_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_2_q;
regXAndExpOverflowAndPos_uid82_fpExpETest_c <= InvSignX_uid81_fpExpETest_q;
regXAndExpOverflowAndPos_uid82_fpExpETest_q <= regXAndExpOverflowAndPos_uid82_fpExpETest_a and regXAndExpOverflowAndPos_uid82_fpExpETest_b and regXAndExpOverflowAndPos_uid82_fpExpETest_c;
--ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_inputreg(DELAY,979)
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => regXAndExpOverflowAndPos_uid82_fpExpETest_q, xout => ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt(COUNTER,929)
-- every=1, low=0, high=37, step=1, init=1
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i = 36 THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_eq <= '1';
ELSE
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_eq = '1') THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i - 37;
ELSE
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_i,6));
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg(REG,930)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux(MUX,931)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_s <= en;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux: PROCESS (ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_s, ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q, ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_q)
BEGIN
CASE ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_s IS
WHEN "0" => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q;
WHEN "1" => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdcnt_q;
WHEN OTHERS => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem(DUALMEM,980)
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_ia <= ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_inputreg_q;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_aa <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_ab <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 38,
width_b => 1,
widthad_b => 6,
numwords_b => 38,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_iq,
address_a => ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_aa,
data_a => ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_ia
);
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_reset0 <= areset;
ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_q <= ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_iq(0 downto 0);
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor(LOGICAL,924)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_b <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena_q;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_q <= not (ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_a or ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_b);
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena(REG,925)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_nor_q = "1") THEN
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd(LOGICAL,926)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_a <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_sticky_ena_q;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_b <= en;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_a and ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_b;
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_inputreg(DELAY,914)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => expOvfInitial_uid41_fpExpETest_b, xout => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem(DUALMEM,915)
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_ia <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_inputreg_q;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_aa <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_ab <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 39,
width_b => 1,
widthad_b => 6,
numwords_b => 39,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_iq,
address_a => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_aa,
data_a => ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_ia
);
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_reset0 <= areset;
ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_iq(0 downto 0);
--InvExpOvfInitial_uid78_fpExpETest(LOGICAL,77)@41
InvExpOvfInitial_uid78_fpExpETest_a <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_mem_q;
InvExpOvfInitial_uid78_fpExpETest_q <= not InvExpOvfInitial_uid78_fpExpETest_a;
--reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1(REG,416)@40
reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1_q <= expRPostBiasPreExc_uid70_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--expOvf_uid74_fpExpETest(COMPARE,73)@41
expOvf_uid74_fpExpETest_cin <= GND_q;
expOvf_uid74_fpExpETest_a <= STD_LOGIC_VECTOR((17 downto 16 => reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1_q(15)) & reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1_q) & '0';
expOvf_uid74_fpExpETest_b <= STD_LOGIC_VECTOR('0' & "000000" & cstAllOWE_uid15_fpExpETest_q) & expOvf_uid74_fpExpETest_cin(0);
expOvf_uid74_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(expOvf_uid74_fpExpETest_a) - SIGNED(expOvf_uid74_fpExpETest_b));
expOvf_uid74_fpExpETest_n(0) <= not expOvf_uid74_fpExpETest_o(18);
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor(LOGICAL,937)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_b <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena_q;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_q <= not (ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_a or ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_b);
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena(REG,938)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_nor_q = "1") THEN
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd(LOGICAL,939)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_a <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_sticky_ena_q;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_b <= en;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_a and ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_b;
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_inputreg(DELAY,927)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_R_uid30_fpExpETest_q, xout => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem(DUALMEM,928)
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_ia <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_inputreg_q;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_aa <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_ab <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 38,
width_b => 1,
widthad_b => 6,
numwords_b => 38,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_iq,
address_a => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_aa,
data_a => ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_ia
);
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_reset0 <= areset;
ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_iq(0 downto 0);
--regInAndOvf_uid84_fpExpETest(LOGICAL,83)@41
regInAndOvf_uid84_fpExpETest_a <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_q;
regInAndOvf_uid84_fpExpETest_b <= expOvf_uid74_fpExpETest_n;
regInAndOvf_uid84_fpExpETest_c <= InvExpOvfInitial_uid78_fpExpETest_q;
regInAndOvf_uid84_fpExpETest_q <= regInAndOvf_uid84_fpExpETest_a and regInAndOvf_uid84_fpExpETest_b and regInAndOvf_uid84_fpExpETest_c;
--ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor(LOGICAL,976)
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_b <= ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena_q;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_q <= not (ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_a or ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_b);
--ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena(REG,977)
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_nor_q = "1") THEN
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd(LOGICAL,978)
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_a <= ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_sticky_ena_q;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_b <= en;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_q <= ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_a and ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_b;
--ld_exc_I_uid24_fpExpETest_q_to_posInf_uid86_fpExpETest_a(DELAY,497)@0
ld_exc_I_uid24_fpExpETest_q_to_posInf_uid86_fpExpETest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_I_uid24_fpExpETest_q, xout => ld_exc_I_uid24_fpExpETest_q_to_posInf_uid86_fpExpETest_a_q, ena => en(0), clk => clk, aclr => areset );
--posInf_uid86_fpExpETest(LOGICAL,85)@1
posInf_uid86_fpExpETest_a <= ld_exc_I_uid24_fpExpETest_q_to_posInf_uid86_fpExpETest_a_q;
posInf_uid86_fpExpETest_b <= InvSignX_uid81_fpExpETest_q;
posInf_uid86_fpExpETest_q <= posInf_uid86_fpExpETest_a and posInf_uid86_fpExpETest_b;
--ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_inputreg(DELAY,966)
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => posInf_uid86_fpExpETest_q, xout => ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem(DUALMEM,967)
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_ia <= ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_inputreg_q;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_aa <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_ab <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 38,
width_b => 1,
widthad_b => 6,
numwords_b => 38,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_iq,
address_a => ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_aa,
data_a => ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_ia
);
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_reset0 <= areset;
ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_q <= ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_iq(0 downto 0);
--excRInf_uid87_fpExpETest(LOGICAL,86)@41
excRInf_uid87_fpExpETest_a <= ld_posInf_uid86_fpExpETest_q_to_excRInf_uid87_fpExpETest_a_replace_mem_q;
excRInf_uid87_fpExpETest_b <= regInAndOvf_uid84_fpExpETest_q;
excRInf_uid87_fpExpETest_c <= ld_regXAndExpOverflowAndPos_uid82_fpExpETest_q_to_excRInf_uid87_fpExpETest_c_replace_mem_q;
excRInf_uid87_fpExpETest_q <= excRInf_uid87_fpExpETest_a or excRInf_uid87_fpExpETest_b or excRInf_uid87_fpExpETest_c;
--ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor(LOGICAL,963)
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_b <= ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena_q;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_q <= not (ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_a or ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_b);
--ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena(REG,964)
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_nor_q = "1") THEN
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena_q <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd(LOGICAL,965)
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_a <= ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_sticky_ena_q;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_b <= en;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_q <= ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_a and ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_b;
--negInf_uid76_fpExpETest(LOGICAL,75)@0
negInf_uid76_fpExpETest_a <= exc_I_uid24_fpExpETest_q;
negInf_uid76_fpExpETest_b <= signX_uid7_fpExpETest_b;
negInf_uid76_fpExpETest_q <= negInf_uid76_fpExpETest_a and negInf_uid76_fpExpETest_b;
--ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_inputreg(DELAY,953)
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => negInf_uid76_fpExpETest_q, xout => ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem(DUALMEM,954)
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_ia <= ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_inputreg_q;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_aa <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdreg_q;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_ab <= ld_expOvfInitial_uid41_fpExpETest_b_to_InvExpOvfInitial_uid78_fpExpETest_a_replace_rdmux_q;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 39,
width_b => 1,
widthad_b => 6,
numwords_b => 39,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_iq,
address_a => ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_aa,
data_a => ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_ia
);
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_reset0 <= areset;
ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_q <= ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_iq(0 downto 0);
--ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor(LOGICAL,950)
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_a <= ld_shiftValuePreSatRed_uid43_fpExpETest_b_to_shiftVal_uid44_fpExpETest_c_notEnable_q;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_b <= ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena_q;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_q <= not (ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_a or ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_b);
--ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena(REG,951)
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_nor_q = "1") THEN
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena_q <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd(LOGICAL,952)
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_a <= ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_sticky_ena_q;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_b <= en;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_q <= ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_a and ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_b;
--regXAndExpOverflowAndNeg_uid77_fpExpETest(LOGICAL,76)@1
regXAndExpOverflowAndNeg_uid77_fpExpETest_a <= exc_R_uid30_fpExpETest_q;
regXAndExpOverflowAndNeg_uid77_fpExpETest_b <= reg_expOvfInitial_uid41_fpExpETest_0_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_2_q;
regXAndExpOverflowAndNeg_uid77_fpExpETest_c <= ld_signX_uid7_fpExpETest_b_to_regXAndExpOverflowAndNeg_uid77_fpExpETest_c_q;
regXAndExpOverflowAndNeg_uid77_fpExpETest_q <= regXAndExpOverflowAndNeg_uid77_fpExpETest_a and regXAndExpOverflowAndNeg_uid77_fpExpETest_b and regXAndExpOverflowAndNeg_uid77_fpExpETest_c;
--ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_inputreg(DELAY,940)
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => regXAndExpOverflowAndNeg_uid77_fpExpETest_q, xout => ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem(DUALMEM,941)
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_ia <= ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_inputreg_q;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_aa <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdreg_q;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_ab <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_rdmux_q;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 38,
width_b => 1,
widthad_b => 6,
numwords_b => 38,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_iq,
address_a => ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_aa,
data_a => ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_ia
);
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_reset0 <= areset;
ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_q <= ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_iq(0 downto 0);
--expUdf_uid72_fpExpETest(COMPARE,71)@41
expUdf_uid72_fpExpETest_cin <= GND_q;
expUdf_uid72_fpExpETest_a <= STD_LOGIC_VECTOR('0' & "0000000000000000" & GND_q) & '0';
expUdf_uid72_fpExpETest_b <= STD_LOGIC_VECTOR((17 downto 16 => reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1_q(15)) & reg_expRPostBiasPreExc_uid70_fpExpETest_0_to_expUdf_uid72_fpExpETest_1_q) & expUdf_uid72_fpExpETest_cin(0);
expUdf_uid72_fpExpETest_o <= STD_LOGIC_VECTOR(SIGNED(expUdf_uid72_fpExpETest_a) - SIGNED(expUdf_uid72_fpExpETest_b));
expUdf_uid72_fpExpETest_n(0) <= not expUdf_uid72_fpExpETest_o(18);
--regXAndUdf_uid79_fpExpETest(LOGICAL,78)@41
regXAndUdf_uid79_fpExpETest_a <= ld_exc_R_uid30_fpExpETest_q_to_regXAndUdf_uid79_fpExpETest_a_replace_mem_q;
regXAndUdf_uid79_fpExpETest_b <= expUdf_uid72_fpExpETest_n;
regXAndUdf_uid79_fpExpETest_c <= InvExpOvfInitial_uid78_fpExpETest_q;
regXAndUdf_uid79_fpExpETest_q <= regXAndUdf_uid79_fpExpETest_a and regXAndUdf_uid79_fpExpETest_b and regXAndUdf_uid79_fpExpETest_c;
--excRZero_uid80_fpExpETest(LOGICAL,79)@41
excRZero_uid80_fpExpETest_a <= regXAndUdf_uid79_fpExpETest_q;
excRZero_uid80_fpExpETest_b <= ld_regXAndExpOverflowAndNeg_uid77_fpExpETest_q_to_excRZero_uid80_fpExpETest_b_replace_mem_q;
excRZero_uid80_fpExpETest_c <= ld_negInf_uid76_fpExpETest_q_to_excRZero_uid80_fpExpETest_c_replace_mem_q;
excRZero_uid80_fpExpETest_q <= excRZero_uid80_fpExpETest_a or excRZero_uid80_fpExpETest_b or excRZero_uid80_fpExpETest_c;
--concExc_uid88_fpExpETest(BITJOIN,87)@41
concExc_uid88_fpExpETest_q <= ld_exc_N_uid26_fpExpETest_q_to_concExc_uid88_fpExpETest_c_replace_mem_q & excRInf_uid87_fpExpETest_q & excRZero_uid80_fpExpETest_q;
--reg_concExc_uid88_fpExpETest_0_to_excREnc_uid89_fpExpETest_0(REG,420)@41
reg_concExc_uid88_fpExpETest_0_to_excREnc_uid89_fpExpETest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_concExc_uid88_fpExpETest_0_to_excREnc_uid89_fpExpETest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_concExc_uid88_fpExpETest_0_to_excREnc_uid89_fpExpETest_0_q <= concExc_uid88_fpExpETest_q;
END IF;
END IF;
END PROCESS;
--excREnc_uid89_fpExpETest(LOOKUP,88)@42
excREnc_uid89_fpExpETest: PROCESS (reg_concExc_uid88_fpExpETest_0_to_excREnc_uid89_fpExpETest_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_concExc_uid88_fpExpETest_0_to_excREnc_uid89_fpExpETest_0_q) IS
WHEN "000" => excREnc_uid89_fpExpETest_q <= "01";
WHEN "001" => excREnc_uid89_fpExpETest_q <= "00";
WHEN "010" => excREnc_uid89_fpExpETest_q <= "10";
WHEN "011" => excREnc_uid89_fpExpETest_q <= "00";
WHEN "100" => excREnc_uid89_fpExpETest_q <= "11";
WHEN "101" => excREnc_uid89_fpExpETest_q <= "00";
WHEN "110" => excREnc_uid89_fpExpETest_q <= "00";
WHEN "111" => excREnc_uid89_fpExpETest_q <= "00";
WHEN OTHERS =>
excREnc_uid89_fpExpETest_q <= (others => '-');
END CASE;
-- End reserved scope level
END PROCESS;
--xIn(GPIN,3)@0
--expRPostExc_uid97_fpExpETest(MUX,96)@42
expRPostExc_uid97_fpExpETest_s <= excREnc_uid89_fpExpETest_q;
expRPostExc_uid97_fpExpETest: PROCESS (expRPostExc_uid97_fpExpETest_s, en, cstZeroWE_uid11_fpExpETest_q, ld_expR_uid75_fpExpETest_b_to_expRPostExc_uid97_fpExpETest_d_q, cstAllOWE_uid15_fpExpETest_q, cstAllOWE_uid15_fpExpETest_q)
BEGIN
CASE expRPostExc_uid97_fpExpETest_s IS
WHEN "00" => expRPostExc_uid97_fpExpETest_q <= cstZeroWE_uid11_fpExpETest_q;
WHEN "01" => expRPostExc_uid97_fpExpETest_q <= ld_expR_uid75_fpExpETest_b_to_expRPostExc_uid97_fpExpETest_d_q;
WHEN "10" => expRPostExc_uid97_fpExpETest_q <= cstAllOWE_uid15_fpExpETest_q;
WHEN "11" => expRPostExc_uid97_fpExpETest_q <= cstAllOWE_uid15_fpExpETest_q;
WHEN OTHERS => expRPostExc_uid97_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--oneFracRPostExc2_uid90_fpExpETest(CONSTANT,89)
oneFracRPostExc2_uid90_fpExpETest_q <= "0000000000000000000000000000000000000000000000000001";
--peOR_uid67_fpExpETest(BITSELECT,66)@39
peOR_uid67_fpExpETest_in <= s5_uid278_exp10PolyEval_b(57 downto 0);
peOR_uid67_fpExpETest_b <= peOR_uid67_fpExpETest_in(57 downto 5);
--fracR_uid71_fpExpETest(BITSELECT,70)@39
fracR_uid71_fpExpETest_in <= peOR_uid67_fpExpETest_b(51 downto 0);
fracR_uid71_fpExpETest_b <= fracR_uid71_fpExpETest_in(51 downto 0);
--ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_inputreg(DELAY,1005)
ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_inputreg : dspba_delay
GENERIC MAP ( width => 52, depth => 1 )
PORT MAP ( xin => fracR_uid71_fpExpETest_b, xout => ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d(DELAY,507)@39
ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d : dspba_delay
GENERIC MAP ( width => 52, depth => 2 )
PORT MAP ( xin => ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_inputreg_q, xout => ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_q, ena => en(0), clk => clk, aclr => areset );
--fracRPostExc_uid93_fpExpETest(MUX,92)@42
fracRPostExc_uid93_fpExpETest_s <= excREnc_uid89_fpExpETest_q;
fracRPostExc_uid93_fpExpETest: PROCESS (fracRPostExc_uid93_fpExpETest_s, en, cstAllZWF_uid16_fpExpETest_q, ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_q, cstAllZWF_uid16_fpExpETest_q, oneFracRPostExc2_uid90_fpExpETest_q)
BEGIN
CASE fracRPostExc_uid93_fpExpETest_s IS
WHEN "00" => fracRPostExc_uid93_fpExpETest_q <= cstAllZWF_uid16_fpExpETest_q;
WHEN "01" => fracRPostExc_uid93_fpExpETest_q <= ld_fracR_uid71_fpExpETest_b_to_fracRPostExc_uid93_fpExpETest_d_q;
WHEN "10" => fracRPostExc_uid93_fpExpETest_q <= cstAllZWF_uid16_fpExpETest_q;
WHEN "11" => fracRPostExc_uid93_fpExpETest_q <= oneFracRPostExc2_uid90_fpExpETest_q;
WHEN OTHERS => fracRPostExc_uid93_fpExpETest_q <= (others => '0');
END CASE;
END PROCESS;
--RExpE_uid98_fpExpETest(BITJOIN,97)@42
RExpE_uid98_fpExpETest_q <= GND_q & expRPostExc_uid97_fpExpETest_q & fracRPostExc_uid93_fpExpETest_q;
--xOut(GPOUT,4)@42
q <= RExpE_uid98_fpExpETest_q;
end normal;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_sin.vhd | 10 | 14482 | -- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_SIN.VHD ***
--*** ***
--*** Function: Single Precision SIN Core ***
--*** ***
--*** 10/01/10 ML ***
--*** ***
--*** (c) 2010 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** 1. Input < 0.5 radians, take cos(pi/2-input)***
--*** 2. latency = depth + range_depth (11) + 7 ***
--*** (1 more than cos) ***
--***************************************************
ENTITY fp_sin IS
GENERIC (
device : integer := 0;
width : positive := 30;
depth : positive := 18;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
END fp_sin;
ARCHITECTURE rtl of fp_sin IS
constant cordic_width : positive := width;
constant cordic_depth : positive := depth;
constant range_depth : positive := 11;
signal piovertwo : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal input_number : STD_LOGIC_VECTOR (32 DOWNTO 1);
signal input_number_delay : STD_LOGIC_VECTOR (32 DOWNTO 1);
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal exponentcheck : STD_LOGIC_VECTOR (9 DOWNTO 1);
-- range reduction
signal circle : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal negcircle : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal quadrantsign, quadrantselect : STD_LOGIC;
signal positive_quadrant, negative_quadrant : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal fraction_quadrant : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal one_term : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal quadrant : STD_LOGIC_VECTOR (34 DOWNTO 1);
-- circle to radians mult
signal radiansnode : STD_LOGIC_VECTOR (cordic_width DOWNTO 1);
signal indexcheck : STD_LOGIC_VECTOR (16 DOWNTO 1);
signal indexbit : STD_LOGIC;
signal signinff : STD_LOGIC_VECTOR (range_depth DOWNTO 1);
signal selectoutputff : STD_LOGIC_VECTOR (range_depth+cordic_depth+5 DOWNTO 1);
signal signcalcff : STD_LOGIC_VECTOR (cordic_depth+6 DOWNTO 1);
signal quadrant_sumff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal select_sincosff : STD_LOGIC_VECTOR (4 DOWNTO 1);
signal fixed_sincos : STD_LOGIC_VECTOR (cordic_width DOWNTO 1);
signal fixed_sincosnode : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal fixed_sincosff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal countnode : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal countff : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal mantissanormnode : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal mantissanormff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal exponentnormnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal exponentnormff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal overflownode : STD_LOGIC_VECTOR (24 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal exponentoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal signoutff : STD_LOGIC;
component fp_range1
GENERIC (device : integer);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
circle : OUT STD_LOGIC_VECTOR (36 DOWNTO 1);
negcircle : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component fp_cordic_m1
GENERIC (
width : positive := 36;
depth : positive := 20;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radians : IN STD_LOGIC_VECTOR (width DOWNTO 1); --'0'&[width-1:1]
indexbit : IN STD_LOGIC;
sincosbit : IN STD_LOGIC;
sincos : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_clz36 IS
PORT (
mantissa : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
leading : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
end component;
component fp_lsft36 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component fp_fxmul
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36;
pipes : positive := 1;
accuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_del IS
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
-- pi/2 = 1.57
piovertwo <= x"c90fdaa22";
zerovec <= x"000000000";
--*** SIN(X) = X when exponent < 115 ***
input_number <= signin & exponentin & mantissain;
-- level 1 in, level range_depth+cordic_depth+7 out
cdin: fp_del
GENERIC MAP (width=>32,pipes=>range_depth+cordic_depth+6)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>input_number,
cc=>input_number_delay);
--*** RANGE REDUCTION ***
crr: fp_range1
GENERIC MAP(device=>device)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
signin=>signin,exponentin=>exponentin,mantissain=>mantissain,
circle=>circle,negcircle=>negcircle);
quadrantsign <= circle(36); -- sin negative in quadrants 3&4
quadrantselect <= circle(35); -- sin (1-x) in quadants 2&4
gra: FOR k IN 1 TO 34 GENERATE
quadrant(k) <= (circle(k) AND NOT(quadrantselect)) OR
(negcircle(k) AND quadrantselect);
END GENERATE;
-- if quadrant >0.5 (when quadrant(34) = 1), use quadrant, else use 1-quadrant, and take cos rather than sin
positive_quadrant <= '0' & quadrant & '0';
gnqa: FOR k IN 1 TO 36 GENERATE
negative_quadrant(k) <= NOT(positive_quadrant(k));
fraction_quadrant(k) <= (positive_quadrant(k) AND quadrant(34)) OR
(negative_quadrant(k) AND NOT(quadrant(34)));
END GENERATE;
one_term <= NOT(quadrant(34)) & zerovec(35 DOWNTO 1); -- 0 if positive quadrant
pfa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO range_depth LOOP
signinff(k) <= '0';
END LOOP;
FOR k IN 1 TO cordic_depth+6 LOOP
signcalcff(k) <= '0';
END LOOP;
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
END LOOP;
FOR k IN 1 TO range_depth+cordic_depth+5 LOOP
selectoutputff(k) <= '0';
END LOOP;
FOR k IN 1 TO 36 LOOP
quadrant_sumff(k) <= '0';
END LOOP;
FOR k IN 1 TO 4 LOOP
select_sincosff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff(1) <= signin;
FOR k IN 2 TO range_depth LOOP
signinff(k) <= signinff(k-1);
END LOOP;
-- level range_depth+1 to range_depth+cordic_depth+6
signcalcff(1) <= quadrantsign XOR signinff(range_depth);
FOR k IN 2 TO cordic_depth+6 LOOP
signcalcff(k) <= signcalcff(k-1);
END LOOP;
exponentinff <= exponentin; -- level 1
selectoutputff(1) <= exponentcheck(9); -- level 2 to range_depth+cordic_depth+6
FOR k IN 2 TO range_depth+cordic_depth+5 LOOP
selectoutputff(k) <= selectoutputff(k-1);
END LOOP;
-- range 0-0.9999
quadrant_sumff <= one_term + fraction_quadrant + NOT(quadrant(34)); -- level range_depth+1
-- level range depth+1 to range_depth+4
select_sincosff(1) <= quadrant(34);
FOR k IN 2 TO 4 LOOP
select_sincosff(k) <= select_sincosff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
-- if exponent < 115, sin = input
exponentcheck <= ('0' & exponentinff) - ('0' & x"73");
-- levels range_depth+2,3,4
cmul: fp_fxmul
GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>cordic_width,
pipes=>3,synthesize=>1)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>quadrant_sumff,databb=>piovertwo,
result=>radiansnode);
indexcheck(1) <= radiansnode(cordic_width-1);
gica: FOR k IN 2 TO 16 GENERATE
indexcheck(k) <= indexcheck(k-1) OR radiansnode(cordic_width-k);
END GENERATE;
-- for safety, give an extra bit of space
indexbit <= NOT(indexcheck(indexpoint+1));
ccc: fp_cordic_m1
GENERIC MAP (width=>cordic_width,depth=>cordic_depth,indexpoint=>indexpoint)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radians=>radiansnode,
indexbit=>indexbit,
sincosbit=>select_sincosff(4),
sincos=>fixed_sincos);
gfxa: IF (width < 36) GENERATE
fixed_sincosnode <= fixed_sincos & zerovec(36-width DOWNTO 1);
END GENERATE;
gfxb: IF (width = 36) GENERATE
fixed_sincosnode <= fixed_sincos;
END GENERATE;
clz: fp_clz36
PORT MAP (mantissa=>fixed_sincosnode,leading=>countnode);
sft: fp_lsft36
PORT MAP (inbus=>fixed_sincosff,shift=>countff,
outbus=>mantissanormnode);
-- maximum sin or cos = 1.0 = 1.0e127 single precision
-- 1e128 - 1 (leading one) gives correct number
exponentnormnode <= "10000000" - ("00" & countff);
overflownode(1) <= mantissanormnode(12);
gova: FOR k IN 2 TO 24 GENERATE
overflownode(k) <= mantissanormnode(k+11) AND overflownode(k-1);
END GENERATE;
-- OUTPUT
poa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 36 LOOP
fixed_sincosff(k) <= '0';
END LOOP;
countff <= "000000";
FOR k IN 1 TO 23 LOOP
mantissanormff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 8 LOOP
exponentnormff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
signoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
fixed_sincosff <= fixed_sincosnode; -- level range_depth+cordic_depth+5
countff <= countnode; -- level range_depth+4+cordic_depth+5
-- level range_depth+cordic_depth+6
mantissanormff <= mantissanormnode(35 DOWNTO 13) + mantissanormnode(12);
exponentnormff <= exponentnormnode(8 DOWNTO 1) + overflownode(24);
-- level range_depth+cordic_depth+7
FOR k IN 1 TO 23 LOOP
mantissaoutff(k) <= (mantissanormff(k) AND NOT(selectoutputff(range_depth+cordic_depth+5))) OR
(input_number_delay(k) AND selectoutputff(range_depth+cordic_depth+5));
END LOOP;
FOR k IN 1 TO 8 LOOP
exponentoutff(k) <= (exponentnormff(k) AND NOT(selectoutputff(range_depth+cordic_depth+5))) OR
(input_number_delay(k+23) AND selectoutputff(range_depth+cordic_depth+5));
END LOOP;
signoutff <= (signcalcff(cordic_depth+6) AND NOT(selectoutputff(range_depth+cordic_depth+5))) OR
(input_number_delay(32) AND selectoutputff(range_depth+cordic_depth+5));
END IF;
END IF;
END PROCESS;
mantissaout <= mantissaoutff;
exponentout <= exponentoutff;
signout <= signoutff;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_lnrnd.vhd | 10 | 6337 |
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_LNRND.VHD ***
--*** ***
--*** Function: FP LOG Output Block - Rounded ***
--*** ***
--*** 22/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_lnrnd IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signln : IN STD_LOGIC;
exponentln : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaln : IN STD_LOGIC_VECTOR (24 DOWNTO 1);
nanin : IN STD_LOGIC;
infinityin : IN STD_LOGIC;
zeroin : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
END fp_lnrnd;
ARCHITECTURE rtl OF fp_lnrnd IS
constant expwidth : positive := 8;
constant manwidth : positive := 23;
type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1);
signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal manoverflowbitff : STD_LOGIC;
signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1);
signal setmanzero, setmanmax : STD_LOGIC;
signal setexpzero, setexpmax : STD_LOGIC;
BEGIN
gzv: FOR k IN 1 TO manwidth-1 GENERATE
zerovec(k) <= '0';
END GENERATE;
pra: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
nanff <= "00";
signff <= "00";
FOR k IN 1 TO manwidth LOOP
roundmantissaff(k) <= '0';
mantissaff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth+2 LOOP
exponentoneff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF(enable = '1') THEN
nanff(1) <= nanin;
nanff(2) <= nanff(1);
infinityff(1) <= infinityin;
infinityff(2) <= infinityff(1);
zeroff(1) <= zeroin;
zeroff(2) <= zeroff(1);
signff(1) <= signln;
signff(2) <= signff(1);
manoverflowbitff <= manoverflow(manwidth+1);
roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1));
FOR k IN 1 TO manwidth LOOP
mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax;
END LOOP;
exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln;
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax;
END LOOP;
END IF;
END IF;
END PROCESS;
exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) +
(zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff);
--*********************************
--*** PREDICT MANTISSA OVERFLOW ***
--*********************************
manoverflow(1) <= mantissaln(1);
gmoa: FOR k IN 2 TO manwidth+1 GENERATE
manoverflow(k) <= manoverflow(k-1) AND mantissaln(k);
END GENERATE;
--**********************************
--*** CHECK GENERATED CONDITIONS ***
--**********************************
-- all set to '1' when true
-- set mantissa to 0 when infinity or zero condition
setmanzero <= NOT(zeroff(1)) OR infinityff(1);
-- setmantissa to "11..11" when nan
setmanmax <= nanff(1);
-- set exponent to 0 when zero condition
setexpzero <= NOT(zeroff(1));
-- set exponent to "11..11" when nan or infinity
setexpmax <= nanff(1) OR infinityff(1);
--***************
--*** OUTPUTS ***
--***************
signout <= signff(2);
mantissaout <= mantissaff;
exponentout <= exponenttwoff;
-----------------------------------------------
nanout <= nanff(2);
overflowout <= infinityff(2);
zeroout <= zeroff(2);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_mul5418s.vhd | 10 | 4973 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_MUL5418S.VHD ***
--*** ***
--*** Function: Fixed Point Multiplier ***
--*** 54x18=54, 3 18x18 architecture, ***
--*** Stratix II/III, 3 or 4 pipeline, ***
--*** synthesizable ***
--*** ***
--*** 09/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 15/01/08 - outputs up to 72 bits now ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_mul5418s IS
GENERIC (
widthcc : positive := 36;
pipes : positive := 3 --3/4
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (18 DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
END fp_mul5418s;
ARCHITECTURE rtl OF fp_mul5418s IS
signal zerovec : STD_LOGIC_VECTOR (18 DOWNTO 1);
signal muloneout, multwoout, multhrout : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal aavec, bbvec : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal resultnode : STD_LOGIC_VECTOR (72 DOWNTO 1);
signal lowff, lowdelff : STD_LOGIC_VECTOR (18 DOWNTO 1);
component dp_fxadd IS
GENERIC (
width : positive := 64;
pipes : positive := 1;
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_mul2s IS
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO 18 GENERATE
zerovec(k) <= '0';
END GENERATE;
mulone: fp_mul2s
GENERIC MAP (widthaa=>18,widthbb=>18,widthcc=>36)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>dataaa(18 DOWNTO 1),databb=>databb(18 DOWNTO 1),
result=>muloneout);
multwo: fp_mul2s
GENERIC MAP (widthaa=>18,widthbb=>18,widthcc=>36)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>dataaa(36 DOWNTO 19),databb=>databb(18 DOWNTO 1),
result=>multwoout);
multhr: fp_mul2s
GENERIC MAP (widthaa=>18,widthbb=>18,widthcc=>36)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>dataaa(54 DOWNTO 37),databb=>databb(18 DOWNTO 1),
result=>multhrout);
aavec <= multhrout & muloneout(36 DOWNTO 19);
bbvec <= zerovec(18 DOWNTO 1) & multwoout;
adder: dp_fxadd
GENERIC MAP (width=>54,pipes=>pipes-2,synthesize=>1)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aavec,bb=>bbvec,carryin=>'0',
cc=>resultnode(72 DOWNTO 19));
gda: IF (pipes = 3) GENERATE
pda: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 18 LOOP
lowff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
lowff <= muloneout(18 DOWNTO 1);
END IF;
END IF;
END PROCESS;
resultnode(18 DOWNTO 1) <= lowff;
END GENERATE;
gdb: IF (pipes = 4) GENERATE
pdb: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 18 LOOP
lowff(k) <= '0';
lowdelff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
lowff <= muloneout(18 DOWNTO 1);
lowdelff <= lowff;
END IF;
END IF;
END PROCESS;
resultnode(18 DOWNTO 1) <= lowdelff;
END GENERATE;
result <= resultnode(72 DOWNTO 73-widthcc);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/SinPiDPStratixVf400_safe_path.vhd | 10 | 437 | -- safe_path for SinPiDPStratixVf400 given rtl dir is . (quartus)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
PACKAGE SinPiDPStratixVf400_safe_path is
FUNCTION safe_path( path: string ) RETURN string;
END SinPiDPStratixVf400_safe_path;
PACKAGE body SinPiDPStratixVf400_safe_path IS
FUNCTION safe_path( path: string )
RETURN string IS
BEGIN
return string'("./") & path;
END FUNCTION safe_path;
END SinPiDPStratixVf400_safe_path;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dp_ldexp.vhd | 10 | 4877 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** DP_LDEXP.VHD ***
--*** ***
--*** Function: Single Precision Load Exponent ***
--*** ***
--*** ldexp(x,n) - x*2^n - IEEE in and out ***
--*** ***
--*** Created 12/09/09 ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_ldexp IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
bb : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
satout, zeroout, nanout : OUT STD_LOGIC
);
END dp_ldexp;
ARCHITECTURE rtl OF dp_ldexp IS
signal signinff : STD_LOGIC;
signal exponentinff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal mantissainff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal bbff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal signoutff : STD_LOGIC;
signal exponentoutff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal mantissaoutff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal satoutff, zerooutff, nanoutff : STD_LOGIC;
signal satnode, zeronode, nannode : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal expzeroin, expmaxin : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal expzeronode, expmaxnode : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal expzeroout, expmaxout : STD_LOGIC;
signal manzeroin : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal manzero, mannonzero : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
signoutff <= '0';
FOR k IN 1 TO 11 LOOP
exponentinff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 52 LOOP
mantissainff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 13 LOOP
bbff(k) <= '0';
END LOOP;
satoutff <= '0';
zerooutff <= '0';
nanoutff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
exponentinff <= exponentin;
mantissainff <= mantissain;
bbff <= bb(13 DOWNTO 1);
signoutff <= signinff;
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeronode)) OR satnode OR nannode;
END LOOP;
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= (mantissainff(k) AND NOT(zeronode) AND NOT(satnode)) OR nannode;
END LOOP;
satoutff <= satnode;
zerooutff <= zeronode;
nanoutff <= nannode;
END IF;
END IF;
END PROCESS;
expnode <= ("00" & exponentinff) + bbff;
expzeroin(1) <= exponentinff(1);
expmaxin(1) <= exponentinff(1);
gxa: FOR k IN 2 TO 11 GENERATE
expzeroin(k) <= expzeroin(k-1) OR exponentinff(k);
expmaxin(k) <= expmaxin(k-1) AND exponentinff(k);
END GENERATE;
expzeronode(1) <= expnode(1);
expmaxnode(1) <= expnode(1);
gxb: FOR k IN 2 TO 11 GENERATE
expzeronode(k) <= expzeronode(k-1) OR expnode(k);
expmaxnode(k) <= expmaxnode(k-1) AND expnode(k);
END GENERATE;
expzeroout <= NOT(expzeroin(11)) OR (NOT(expzeronode(11)) AND NOT(expnode(12))) OR (expnode(13));
expmaxout <= expmaxin(11) OR (expmaxnode(11) AND NOT(expnode(12))) OR (expnode(12) AND NOT(expnode(13)));
manzeroin(1) <= mantissainff(1);
gma: FOR k IN 2 TO 52 GENERATE
manzeroin(k) <= manzeroin(k-1) OR mantissainff(k);
END GENERATE;
manzero <= NOT(manzeroin(52));
mannonzero <= manzeroin(52);
satnode <= (expmaxin(11) AND NOT(manzeroin(52))) OR expmaxout;
zeronode <= NOT(expzeroin(11)) OR expzeroout;
nannode <= expmaxin(11) AND manzeroin(52);
signout <= signoutff;
exponentout <= exponentoutff;
mantissaout <= mantissaoutff;
satout <= satoutff;
zeroout <= zerooutff;
nanout <= nanoutff;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_mulufp54.vhd | 10 | 5016 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_MULUFP54.VHD ***
--*** ***
--*** Function: Double precision multiplier ***
--*** core (unsigned mantissa) ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_mulufp54 IS
GENERIC (synthesize : integer := 1); -- 0 = behavioral, 1 = instantiated
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aaman : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
aaexp : IN STD_LOGIC_VECTOR (13 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bbman : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
bbexp : IN STD_LOGIC_VECTOR (13 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
ccman : OUT STD_LOGIC_VECTOR (64 DOWNTO 1);
ccexp : OUT STD_LOGIC_VECTOR (13 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_mulufp54;
ARCHITECTURE rtl OF hcc_mulufp54 IS
constant normtype : integer := 0;
type expfftype IS ARRAY (5 DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
signal mulout : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal aaexpff, bbexpff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal expff : expfftype;
signal aasatff, aazipff, bbsatff, bbzipff : STD_LOGIC;
signal ccsatff, cczipff : STD_LOGIC_VECTOR (5 DOWNTO 1);
component hcc_mul54usb
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component hcc_mul54uss
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
BEGIN
-- 54 bit mantissa, signed normalized input
-- [S ][1 ][M...M]
-- [54][53][52..1]
-- multiplier outputs (result < 2)
-- [S....S][1 ][M*M...][X...X]
-- [72..70][69][68..17][16..1]
-- multiplier outputs (result >= 2)
-- [S....S][1 ][M*M...][X...X]
-- [72..71][70][69..18][17..1]
-- assume that result > 2
-- output [71..8] for 64 bit mantissa out
pma: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
aaexpff <= "0000000000000";
bbexpff <= "0000000000000";
FOR k IN 1 TO 5 LOOP
expff(k)(13 DOWNTO 1) <= "0000000000000";
END LOOP;
aasatff <= '0';
aazipff <= '0';
bbsatff <= '0';
bbzipff <= '0';
ccsatff <= "00000";
cczipff <= "00000";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aasatff <= aasat;
aazipff <= aazip;
bbsatff <= bbsat;
bbzipff <= bbzip;
ccsatff(1) <= aasatff OR bbsatff;
FOR k IN 2 TO 5 LOOP
ccsatff(k) <= ccsatff(k-1);
END LOOP;
cczipff(1) <= aazipff OR bbzipff;
FOR k IN 2 TO 5 LOOP
cczipff(k) <= cczipff(k-1);
END LOOP;
aaexpff <= aaexp;
bbexpff <= bbexp;
expff(1)(13 DOWNTO 1) <= aaexpff + bbexpff - "0001111111111";
FOR k IN 1 TO 13 LOOP
expff(2)(k) <= (expff(1)(k) OR ccsatff(1)) AND NOT(cczipff(1));
END LOOP;
FOR k IN 3 TO 5 LOOP
expff(k)(13 DOWNTO 1) <= expff(k-1)(13 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
gsa: IF (synthesize = 0) GENERATE
bmult: hcc_mul54usb
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aaman,bb=>bbman,
cc=>mulout);
END GENERATE;
gsb: IF (synthesize = 1) GENERATE
smult: hcc_mul54uss
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
mulaa=>aaman,mulbb=>bbman,
mulcc=>mulout);
END GENERATE;
--***************
--*** OUTPUTS ***
--***************
ccman <= mulout;
ccexp <= expff(5)(13 DOWNTO 1);
ccsat <= ccsatff(5);
cczip <= cczipff(5);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_mulfp2x.vhd | 10 | 24298 |
LIBRARY ieee;
LIBRARY lpm;
USE lpm.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_MULFP2X.VHD ***
--*** ***
--*** Function: Double precision multiplier ***
--*** (unsigned mantissa) ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 28/01/08 - see below ***
--*** ***
--*** ***
--***************************************************
-- 28/01/08 - correct manoverflow for ieee output, effects of mantissa shift
-- for both ieee and mult output, test output widths, also reversed exp and man
-- order in ieee output
-- 31/08/08 - behavioral and synth mults both now return "001X" (> 2) OR "0001X" (<2)
-- change xoutput to 1 bit less right shift (behavioral mult changed)
ENTITY hcc_mulfp2x IS
GENERIC (
ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11)
xoutput : integer := 1; -- 1 = double x format (s64/13)
multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13)
roundconvert : integer := 0; -- global switch - round all ieee<=>x conversion when '1'
roundnormalize : integer := 0; -- global switch - round all normalizations when '1'
doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles
outputpipe : integer := 0; -- if zero, dont put final pipe for some modes
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_mulfp2x;
ARCHITECTURE rtl OF hcc_mulfp2x IS
type ccxexpdelfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
type cceexpdelfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (64 DOWNTO 1);
-- multiplier core interface
signal mulinaaman, mulinbbman : STD_LOGIC_VECTOR(54 DOWNTO 1);
signal mulinaaexp, mulinbbexp : STD_LOGIC_VECTOR(13 DOWNTO 1);
signal mulinaasat, mulinaazip : STD_LOGIC;
signal mulinbbsat, mulinbbzip : STD_LOGIC;
signal mulinaasign, mulinbbsign : STD_LOGIC;
signal mulinaasignff, mulinbbsignff : STD_LOGIC;
signal mulsignff : STD_LOGIC_VECTOR (5 DOWNTO 1);
signal ccmannode : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal ccexpnode : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal ccsatnode, cczipnode : STD_LOGIC;
-- output section (x out)
signal ccmanshiftnode, signedccxmannode : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal ccxroundnode : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal ccxroundff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal ccxexpff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal ccxsatff, ccxzipff : STD_LOGIC;
signal ccxexpdelff : ccxexpdelfftype;
signal ccxsatdelff, ccxzipdelff : STD_LOGIC_VECTOR (2 DOWNTO 1);
-- output section (ieeeout)
signal shiftroundbit : STD_LOGIC;
signal cceroundnode : STD_LOGIC_VECTOR (55 DOWNTO 1);
signal cceroundcarry : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal ccemannode : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal ccemanoutff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal cceexpoutff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal ccesignbitff : STD_LOGIC;
signal cceroundff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal cceexpff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal ccesatff, ccezipff : STD_LOGIC;
signal ccesignff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal cceexpdelff : cceexpdelfftype;
signal ccesatdelff, ccezipdelff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal ccesigndelff : STD_LOGIC_VECTOR (3 DOWNTO 1);
signal cceexpbase, cceexpplus : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal ccesatbase, ccezipbase : STD_LOGIC;
signal cceexpmax, cceexpzero : STD_LOGIC;
signal manoutzero, expoutzero, expoutmax : STD_LOGIC;
signal manoverflow : STD_LOGIC;
-- output section (multout)
signal shiftmanbit : STD_LOGIC;
signal manshiftnode : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal manshiftff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal ccexpdelff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal ccsatdelff, cczipdelff : STD_LOGIC;
signal muloutsignff : STD_LOGIC;
-- debug
signal aaexp, bbexp : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal ccexp : STD_LOGIC_VECTOR (11 + 2*multoutput + 2*xoutput DOWNTO 1);
signal aaman, bbman : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal ccman : STD_LOGIC_VECTOR (54+10*xoutput DOWNTO 1);
component hcc_addpipeb
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_addpipes
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_mulufp54
GENERIC (synthesize : integer := 0);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aaman : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
aaexp : IN STD_LOGIC_VECTOR (13 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
bbman : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
bbexp : IN STD_LOGIC_VECTOR (13 DOWNTO 1);
bbsat, bbzip : IN STD_LOGIC;
ccman : OUT STD_LOGIC_VECTOR (64 DOWNTO 1);
ccexp : OUT STD_LOGIC_VECTOR (13 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
BEGIN
gza: FOR k IN 1 TO 64 GENERATE
zerovec(k) <= '0';
END GENERATE;
--**************************************************
--*** ***
--*** Input Section - Normalization, if required ***
--*** ***
--**************************************************
--********************************************************
--*** NOTE THAT IN ALL CASES SIGN BIT IS PACKED IN MSB ***
--*** OF UNSIGNED MULTIPLIER ***
--********************************************************
--*** ieee754 input when multiplier input is from cast ***
--*** cast now creates different ***
--*** formats for multiplier, divider, and alu ***
--*** multiplier format [S][1][mantissa....] ***
--********************************************************
--********************************************************
--*** if input from another double multiplier (special ***
--*** output mode normalizes to 54 bit mantissa and ***
--*** 13 bit exponent ***
--*** multiplier format [S][1][mantissa....] ***
--********************************************************
--********************************************************
--*** if input from internal format, must be normed ***
--*** by normfp2x first, creates [S][1][mantissa...] ***
--********************************************************
mulinaaman <= '0' & aa(66 DOWNTO 14);
mulinaaexp <= aa(13 DOWNTO 1);
mulinbbman <= '0' & bb(66 DOWNTO 14);
mulinbbexp <= bb(13 DOWNTO 1);
mulinaasat <= aasat;
mulinaazip <= aazip;
mulinbbsat <= bbsat;
mulinbbzip <= bbzip;
-- signbits packed in MSB of mantissas
mulinaasign <= aa(67);
mulinbbsign <= bb(67);
--**************************************************
--*** ***
--*** Multiplier Section ***
--*** ***
--**************************************************
mult: hcc_mulufp54
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aaman=>mulinaaman,aaexp=>mulinaaexp,aasat=>mulinaasat,aazip=>mulinaazip,
bbman=>mulinbbman,bbexp=>mulinbbexp,bbsat=>mulinbbsat,bbzip=>mulinbbzip,
ccman=>ccmannode,ccexp=>ccexpnode,ccsat=>ccsatnode,cczip=>cczipnode);
psd: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
mulinaasignff <= '0';
mulinbbsignff <= '0';
FOR k IN 1 TO 5 LOOP
mulsignff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
mulinaasignff <= mulinaasign;
mulinbbsignff <= mulinbbsign;
mulsignff(1) <= mulinaasignff XOR mulinbbsignff;
FOR k IN 2 TO 5 LOOP
mulsignff(k) <= mulsignff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--**************************************************
--*** ***
--*** Output Section ***
--*** ***
--**************************************************
--********************************************************
--*** internal format output, convert back to signed ***
--*** no need for fine normalization ***
--********************************************************
goxa: IF (xoutput = 1) GENERATE
-- result will be "001X" (>2) or "0001X" (<2)
-- Y is SSSSS1 (<2) - therefore right shift 2 bits
-- 31/08/08 - behavioral mult changed to be same as synth one
ccmanshiftnode <= "00" & ccmannode(64 DOWNTO 3);
goxb: FOR k IN 1 TO 64 GENERATE
signedccxmannode(k) <= ccmanshiftnode(k) XOR mulsignff(5);
END GENERATE;
goxc: IF (roundconvert = 0 AND outputpipe = 0) GENERATE
--*** OUTPUTS ***
cc(77 DOWNTO 14) <= signedccxmannode;
cc(13 DOWNTO 1) <= ccexpnode;
ccsat <= ccsatnode;
cczip <= cczipnode;
END GENERATE;
goxd: IF ((roundconvert = 0 AND outputpipe = 1) OR
(roundconvert = 1 AND doublespeed = 0)) GENERATE
goxe: IF (roundconvert = 0) GENERATE
ccxroundnode <= signedccxmannode;
END GENERATE;
goxf: IF (roundconvert = 1) GENERATE
ccxroundnode <= signedccxmannode + (zerovec(63 DOWNTO 1) & mulsignff(5));
END GENERATE;
poxa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
ccxroundff(k) <= '0';
END LOOP;
FOR k IN 1 TO 13 LOOP
ccxexpff(k) <= '0';
END LOOP;
ccxsatff <= '0';
ccxzipff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
ccxroundff <= ccxroundnode;
ccxexpff <= ccexpnode;
ccxsatff <= ccsatnode;
ccxzipff <= cczipnode;
END IF;
END IF;
END PROCESS;
--*** OUTPUTS ***
cc(77 DOWNTO 14) <= ccxroundff;
cc(13 DOWNTO 1) <= ccxexpff(13 DOWNTO 1);
ccsat <= ccxsatff;
cczip <= ccxzipff;
END GENERATE;
goxg: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
poxb: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 13 LOOP
ccxexpdelff(1)(k) <= '0';
ccxexpdelff(2)(k) <= '0';
END LOOP;
ccxsatdelff <= "00";
ccxzipdelff <= "00";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
ccxexpdelff(1)(13 DOWNTO 1) <= ccexpnode;
ccxexpdelff(2)(13 DOWNTO 1) <= ccxexpdelff(1)(13 DOWNTO 1);
ccxsatdelff(1) <= ccsatnode;
ccxsatdelff(2) <= ccxsatdelff(1);
ccxzipdelff(1) <= cczipnode;
ccxzipdelff(2) <= ccxzipdelff(1);
END IF;
END IF;
END PROCESS;
goxh: IF (synthesize = 0) GENERATE
addone: hcc_addpipeb
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>signedccxmannode,bb=>zerovec(64 DOWNTO 1),carryin=>mulsignff(5),
cc=>ccxroundnode);
END GENERATE;
goxi: IF (synthesize = 1) GENERATE
addtwo: hcc_addpipes
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>signedccxmannode,bb=>zerovec(64 DOWNTO 1),carryin=>mulsignff(5),
cc=>ccxroundnode);
END GENERATE;
--*** OUTPUTS ***
cc(77 DOWNTO 14) <= ccxroundnode;
cc(13 DOWNTO 1) <= ccxexpdelff(2)(13 DOWNTO 1);
ccsat <= ccxsatdelff(2);
cczip <= ccxzipdelff(2);
END GENERATE;
END GENERATE;
--********************************************************
--*** if output directly out of datapath, convert here ***
--*** input to multiplier always "01XXX" format, so ***
--*** just 1 bit normalization required ***
--********************************************************
goea: IF (ieeeoutput = 1) GENERATE -- ieee754 out of datapath, do conversion
-- output either "0001XXXX.." (<2) or "001XXXX.." (>=2), need to make output
-- 01XXXX
shiftroundbit <= NOT(ccmannode(62));
goeb: FOR k IN 1 TO 55 GENERATE -- format "01"[52..1]R
cceroundnode(k) <= (ccmannode(k+7) AND shiftroundbit) OR
(ccmannode(k+8) AND NOT(shiftroundbit));
END GENERATE;
goec: IF (roundconvert = 0) GENERATE
ccemannode <= cceroundnode(53 DOWNTO 2);
poia: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 52 LOOP
ccemanoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
cceexpoutff(k) <= '0';
END LOOP;
ccesignbitff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
FOR k IN 1 TO 52 LOOP
ccemanoutff(k) <= ccemannode(k) AND NOT(manoutzero);
END LOOP;
FOR k IN 1 TO 11 LOOP
cceexpoutff(k) <= (cceexpplus(k) OR expoutmax) AND NOT(expoutzero);
END LOOP;
ccesignbitff <= mulsignff(5);
END IF;
END IF;
END PROCESS;
cceexpplus <= ccexpnode + (zerovec(12 DOWNTO 1) & NOT(shiftroundbit)); -- change 28/01/08
ccesatbase <= ccsatnode;
ccezipbase <= cczipnode;
manoverflow <= '0'; -- change 28/01/08
--*** OUTPUTS ***
cc(64) <= ccesignbitff;
-- change 28/01/08
cc(63 DOWNTO 53) <= cceexpoutff;
cc(52 DOWNTO 1) <= ccemanoutff;
END GENERATE;
goed: IF (roundconvert = 1 AND doublespeed = 0) GENERATE
cceroundcarry <= zerovec(53 DOWNTO 1) & cceroundnode(1);
poeb: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
cceroundff(k) <= '0';
END LOOP;
FOR k IN 1 TO 13 LOOP
cceexpff(k) <= '0';
END LOOP;
ccesatff <= '0';
ccezipff <= '0';
FOR k IN 1 TO 52 LOOP
ccemanoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
cceexpoutff(k) <= '0';
END LOOP;
ccesignff <= "00";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
cceroundff <= cceroundnode(55 DOWNTO 2) + cceroundcarry;
-- change 28/01/08
cceexpff(13 DOWNTO 1) <= ccexpnode + (zerovec(12 DOWNTO 1) & NOT(shiftroundbit));
ccesatff <= ccsatnode;
ccezipff <= cczipnode;
FOR k IN 1 TO 52 LOOP
ccemanoutff(k) <= cceroundff(k) AND NOT(manoutzero);
END LOOP;
FOR k IN 1 TO 11 LOOP
cceexpoutff(k) <= (cceexpplus(k) OR expoutmax) AND NOT(expoutzero);
END LOOP;
ccesignff(1) <= mulsignff(5);
ccesignff(2) <= ccesignff(1);
END IF;
END IF;
END PROCESS;
manoverflow <= cceroundff(54);
cceexpbase <= cceexpff(13 DOWNTO 1);
ccesatbase <= ccesatff;
ccezipbase <= ccezipff;
cceexpplus <= cceexpbase + ("000000000000" & cceroundff(54));
--*** OUTPUTS ***
cc(64) <= ccesignff(2);
-- change 28/01/08
cc(63 DOWNTO 53) <= cceexpoutff;
cc(52 DOWNTO 1) <= ccemanoutff;
END GENERATE;
goef: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
cceroundcarry <= zerovec(53 DOWNTO 1) & cceroundnode(1);
goeg: IF (synthesize = 0) GENERATE
addone: hcc_addpipeb
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>cceroundnode(55 DOWNTO 2),bb=>zerovec(54 DOWNTO 1),
carryin=>cceroundnode(1),
cc=>cceroundnode);
END GENERATE;
goeh: IF (synthesize = 1) GENERATE
addtwo: hcc_addpipes
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>cceroundnode(55 DOWNTO 2),bb=>zerovec(54 DOWNTO 1),
carryin=>cceroundnode(1),
cc=>cceroundnode);
END GENERATE;
poea: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 13 LOOP
cceexpdelff(1)(k) <= '0';
cceexpdelff(2)(k) <= '0';
END LOOP;
ccesatdelff <= "00";
ccezipdelff <= "00";
FOR k IN 1 TO 52 LOOP
ccemanoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
cceexpoutff(k) <= '0';
END LOOP;
ccesigndelff <= "000";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
-- change 28/01/08
cceexpdelff(1)(13 DOWNTO 1) <= ccexpnode + (zerovec(12 DOWNTO 1) & NOT(shiftroundbit));
cceexpdelff(2)(13 DOWNTO 1) <= cceexpdelff(1)(13 DOWNTO 1);
ccesatdelff(1) <= ccsatnode;
ccesatdelff(2) <= ccesatdelff(1);
ccezipdelff(1) <= cczipnode;
ccezipdelff(2) <= ccezipdelff(1);
FOR k IN 1 TO 52 LOOP
ccemanoutff(k) <= cceroundnode(k) AND NOT(manoutzero);
END LOOP;
FOR k IN 1 TO 11 LOOP
cceexpoutff(k) <= (cceexpplus(k) OR expoutmax) AND NOT(expoutzero);
END LOOP;
ccesigndelff(1) <= mulsignff(5);
ccesigndelff(2) <= ccesigndelff(1);
ccesigndelff(3) <= ccesigndelff(2);
END IF;
END IF;
END PROCESS;
manoverflow <= cceroundnode(54);
cceexpbase <= cceexpdelff(2)(13 DOWNTO 1);
ccesatbase <= ccesatdelff(2);
ccezipbase <= ccezipdelff(2);
cceexpplus <= cceexpbase + ("000000000000" & cceroundnode(54));
--*** OUTPUTS ***
cc(64) <= ccesigndelff(3);
-- change 28/01/08
cc(63 DOWNTO 53) <= cceexpoutff;
cc(52 DOWNTO 1) <= ccemanoutff;
END GENERATE;
cceexpmax <= cceexpplus(11) AND cceexpplus(10) AND cceexpplus(9) AND cceexpplus(8) AND
cceexpplus(7) AND cceexpplus(6) AND cceexpplus(5) AND cceexpplus(4) AND
cceexpplus(3) AND cceexpplus(2) AND cceexpplus(1);
cceexpzero <= NOT(cceexpplus(11) OR cceexpplus(10) OR cceexpplus(9) OR cceexpplus(8) OR
cceexpplus(7) OR cceexpplus(6) OR cceexpplus(5) OR cceexpplus(4) OR
cceexpplus(3) OR cceexpplus(2) OR cceexpplus(1));
-- any special condition turns mantissa zero
manoutzero <= ccesatbase OR ccezipbase OR
cceexpmax OR cceexpzero OR
cceexpplus(13) OR cceexpplus(12) OR
manoverflow;
expoutzero <= ccezipbase OR cceexpzero OR cceexpplus(13);
expoutmax <= cceexpmax OR cceexpplus(12);
-- dummy only
ccsat <= '0';
cczip <= '0';
END GENERATE;
--********************************************************
--*** if output directly into DP mult, convert here ***
--*** input to multiplier always "01XXX" format, so ***
--*** just 1 bit normalization required, no round ***
--********************************************************
goma: IF (multoutput = 1) GENERATE -- to another multiplier
-- output either "0001XXXX.." (<2) or "001XXXX.." (>=2), need to make output
-- 01XXXX
shiftmanbit <= NOT(ccmannode(62));
gomb: FOR k IN 1 TO 54 GENERATE -- format "01"[52..1]
manshiftnode(k) <= (ccmannode(k+8) AND shiftmanbit) OR
(ccmannode(k+9) AND NOT(shiftmanbit));
END GENERATE;
poma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
manshiftff(k) <= '0';
END LOOP;
FOR k IN 1 TO 13 LOOP
ccexpdelff(k) <= '0';
END LOOP;
ccsatdelff <= '0';
cczipdelff <= '0';
muloutsignff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
manshiftff <= manshiftnode;
-- change 28/01/08
ccexpdelff(13 DOWNTO 1) <= ccexpnode + (zerovec(12 DOWNTO 1) & NOT(shiftmanbit));
ccsatdelff <= ccsatnode;
cczipdelff <= cczipnode;
muloutsignff <= mulsignff(5);
END IF;
END IF;
END PROCESS;
cc(67) <= muloutsignff;
cc(66 DOWNTO 14) <= manshiftff(53 DOWNTO 1);
cc(13 DOWNTO 1) <= ccexpdelff(13 DOWNTO 1);
ccsat <= ccsatdelff;
cczip <= cczipdelff;
END GENERATE;
--*** DEBUG SECTION ***
aaexp <= aa(13 DOWNTO 1);
bbexp <= bb(13 DOWNTO 1);
aaman <= aa(67 DOWNTO 14);
bbman <= bb(67 DOWNTO 14);
gdba: IF (xoutput = 1) GENERATE
gdbb: IF (roundconvert = 0 AND outputpipe = 0) GENERATE
ccman <= signedccxmannode;
ccexp <= ccexpnode;
END GENERATE;
gdbc: IF ((roundconvert = 0 AND outputpipe = 1) OR
(roundconvert = 1 AND doublespeed = 0)) GENERATE
ccman <= ccxroundff;
ccexp <= ccxexpff(13 DOWNTO 1);
END GENERATE;
gdbd: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
ccman <= ccxroundnode;
ccexp <= ccxexpdelff(2)(13 DOWNTO 1);
END GENERATE;
END GENERATE;
-- change 28/01/08
gdbe: IF (ieeeoutput = 1) GENERATE
ccexp <= cceexpoutff;
ccman <= "01" & ccemanoutff;
END GENERATE;
-- change 28/01/08
gdbf: IF (multoutput = 1) GENERATE
ccexp <= ccexpdelff(13 DOWNTO 1);
ccman <= '0' & manshiftff(53 DOWNTO 1);
END GENERATE;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/hcc_neg1x.vhd | 10 | 5243 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_NEG1X.VHD ***
--*** ***
--*** Function: Negate Variable ***
--*** ***
--*** Input is normalized S,'1',mantissa,exp ***
--*** ***
--*** 14/03/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_neg1x IS
GENERIC (
mantissa : positive := 32; -- 32/36
ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8)
xoutput : integer := 1; -- 1 = single x format (smantissa/10)
funcoutput : integer := 0 -- function output (S'1'umantissa-2/10)
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_neg1x;
ARCHITECTURE rtl OF hcc_neg1x IS
signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
signal aasatff, aazipff : STD_LOGIC;
-- x output
signal ccxman : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal ccxexp : STD_LOGIC_VECTOR (10 DOWNTO 1);
-- function output
signal ccfuncman : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal ccfuncexp : STD_LOGIC_VECTOR (10 DOWNTO 1);
-- ieee output
signal expnode : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal manoutff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal expoutff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expmax, expzero : STD_LOGIC;
signal manoutzero, expoutzero, expoutmax : STD_LOGIC;
BEGIN
pin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa+10 LOOP
aaff(k) <= '0';
END LOOP;
aasatff <= '0';
aazipff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
aasatff <= aasat;
aazipff <= aazip;
END IF;
END IF;
END PROCESS;
--******************************
--*** internal format output ***
--******************************
goxa: IF (xoutput = 1) GENERATE
goxb: FOR k IN 1 TO mantissa GENERATE
ccxman(k) <= NOT(aaff(k+10));
END GENERATE;
ccxexp(10 DOWNTO 1) <= aaff(10 DOWNTO 1);
cc <= ccxman & ccxexp;
ccsat <= aasatff;
cczip <= aazipff;
END GENERATE;
--***************************************
--*** internal function format output ***
--***************************************
gofa: IF (funcoutput = 1) GENERATE
ccfuncman(mantissa) <= NOT(aaff(mantissa+10));
ccfuncman(mantissa-1 DOWNTO 1) <= aaff(mantissa+9 DOWNTO 11);
ccfuncexp(10 DOWNTO 1) <= aaff(10 DOWNTO 1);
cc <= ccfuncman & ccfuncexp;
ccsat <= aasatff;
cczip <= aazipff;
END GENERATE;
--**************************
--*** IEEE format output ***
--**************************
goia: IF (ieeeoutput = 1) GENERATE
expnode <= aaff(10 DOWNTO 1);
pio: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 23 LOOP
manoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 8 LOOP
expoutff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
FOR k IN 1 TO 23 LOOP
manoutff(k) <= aaff(k+mantissa-15) AND NOT(manoutzero);
END LOOP;
FOR k IN 1 TO 8 LOOP
expoutff(k) <= (expnode(k) AND NOT(expoutzero)) OR expoutmax;
END LOOP;
END IF;
END PROCESS;
expmax <= expnode(8) AND expnode(7) AND expnode(6) AND expnode(5) AND
expnode(4) AND expnode(3) AND expnode(2) AND expnode(1);
expzero <= NOT(expnode(8) OR expnode(7) OR expnode(6) OR expnode(5) OR
expnode(4) OR expnode(3) OR expnode(2) OR expnode(1));
manoutzero <= aasatff OR aazipff OR expmax OR expzero OR expnode(10) OR expnode(9);
expoutzero <= aazipff OR expzero OR expnode(10);
expoutmax <= aasatff OR expmax OR (NOT(expnode(10)) AND expnode(9));
-- OUTPUTS
cc <= NOT(aaff(mantissa+10)) & expoutff & manoutff;
ccsat <= '0';
cczip <= '0';
END GENERATE;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_mul3s.vhd | 10 | 5539 |
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_MUL3S.VHD ***
--*** ***
--*** Function: Fixed Point Multiplier ***
--*** ***
--*** 18-36 bit inputs, 3 pipes ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_mul3s IS
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36
);
PORT
(
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
END fp_mul3s;
ARCHITECTURE SYN OF fp_mul3s IS
SIGNAL resultnode : STD_LOGIC_VECTOR (widthaa+widthbb DOWNTO 1);
component altmult_add
GENERIC (
addnsub_multiplier_aclr1 : STRING;
addnsub_multiplier_pipeline_aclr1 : STRING;
addnsub_multiplier_pipeline_register1 : STRING;
addnsub_multiplier_register1 : STRING;
dedicated_multiplier_circuitry : STRING;
input_aclr_a0 : STRING;
input_aclr_b0 : STRING;
input_register_a0 : STRING;
input_register_b0 : STRING;
input_source_a0 : STRING;
input_source_b0 : STRING;
intended_device_family : STRING;
lpm_type : STRING;
multiplier1_direction : STRING;
multiplier_aclr0 : STRING;
multiplier_register0 : STRING;
number_of_multipliers : NATURAL;
output_aclr : STRING;
output_register : STRING;
port_addnsub1 : STRING;
port_signa : STRING;
port_signb : STRING;
representation_a : STRING;
representation_b : STRING;
signed_aclr_a : STRING;
signed_aclr_b : STRING;
signed_pipeline_aclr_a : STRING;
signed_pipeline_aclr_b : STRING;
signed_pipeline_register_a : STRING;
signed_pipeline_register_b : STRING;
signed_register_a : STRING;
signed_register_b : STRING;
width_a : NATURAL;
width_b : NATURAL;
width_result : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (widthaa-1 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (widthbb-1 DOWNTO 0);
clock0 : IN STD_LOGIC ;
aclr3 : IN STD_LOGIC ;
ena0 : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (widthaa+widthbb-1 DOWNTO 0)
);
end component;
BEGIN
mulone : altmult_add
GENERIC MAP (
addnsub_multiplier_aclr1 => "ACLR3",
addnsub_multiplier_pipeline_aclr1 => "ACLR3",
addnsub_multiplier_pipeline_register1 => "CLOCK0",
addnsub_multiplier_register1 => "CLOCK0",
dedicated_multiplier_circuitry => "AUTO",
input_aclr_a0 => "ACLR3",
input_aclr_b0 => "ACLR3",
input_register_a0 => "CLOCK0",
input_register_b0 => "CLOCK0",
input_source_a0 => "DATAA",
input_source_b0 => "DATAB",
intended_device_family => "Stratix II",
lpm_type => "altmult_add",
multiplier1_direction => "ADD",
multiplier_aclr0 => "ACLR3",
multiplier_register0 => "CLOCK0",
number_of_multipliers => 1,
output_aclr => "ACLR3",
output_register => "CLOCK0",
port_addnsub1 => "PORT_UNUSED",
port_signa => "PORT_UNUSED",
port_signb => "PORT_UNUSED",
representation_a => "UNSIGNED",
representation_b => "UNSIGNED",
signed_aclr_a => "ACLR3",
signed_aclr_b => "ACLR3",
signed_pipeline_aclr_a => "ACLR3",
signed_pipeline_aclr_b => "ACLR3",
signed_pipeline_register_a => "CLOCK0",
signed_pipeline_register_b => "CLOCK0",
signed_register_a => "CLOCK0",
signed_register_b => "CLOCK0",
width_a => widthaa,
width_b => widthbb,
width_result => widthaa+widthbb
)
PORT MAP (
dataa => dataaa,
datab => databb,
clock0 => sysclk,
aclr3 => reset,
ena0 => enable,
result => resultnode
);
result <= resultnode(widthaa+widthbb DOWNTO widthaa+widthbb-widthcc+1);
END SYN;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/dp_sqr.vhd | 10 | 8540 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** DOUBLE PRECISION SQUARE ROOT - TOP LEVEL ***
--*** ***
--*** DP_SQR.VHD ***
--*** ***
--*** Function: IEEE754 DP Square Root ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Latency = 57 ***
--*** Based on FPROOT1.VHD (12/06) ***
--***************************************************
ENTITY dp_sqr IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC
);
END dp_sqr;
ARCHITECTURE rtl OF dp_sqr IS
constant manwidth : positive := 52;
constant expwidth : positive := 11;
type expfftype IS ARRAY (manwidth+4 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signinff : STD_LOGIC;
signal maninff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (manwidth+4 DOWNTO 1);
signal expnode, expdiv : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (manwidth+3 DOWNTO 1);
signal squareroot : STD_LOGIC_VECTOR (manwidth+2 DOWNTO 1);
signal roundff, manff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal roundbit : STD_LOGIC;
signal preadjust : STD_LOGIC;
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
-- conditions
signal nanmanff, nanexpff : STD_LOGIC_VECTOR (manwidth+4 DOWNTO 1);
signal zeroexpff, zeromanff : STD_LOGIC_VECTOR (manwidth+3 DOWNTO 1);
signal expinzero, expinmax : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal maninzero : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expzero, expmax, manzero : STD_LOGIC;
signal infinitycondition, nancondition : STD_LOGIC;
component fp_sqrroot IS
GENERIC (width : positive := 52);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
rad : IN STD_LOGIC_VECTOR (width+1 DOWNTO 1);
root : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
FOR k IN 1 TO manwidth LOOP
maninff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
expinff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+4 LOOP
signff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+4 LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO manwidth LOOP
roundff(k) <= '0';
manff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
signinff <= signin;
maninff <= mantissain;
expinff <= exponentin;
signff(1) <= signinff;
FOR k IN 2 TO manwidth+4 LOOP
signff(k) <= signff(k-1);
END LOOP;
expff(1)(expwidth DOWNTO 1) <= expdiv;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) + offset;
FOR k IN 3 TO manwidth+3 LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
FOR k IN 1 TO expwidth LOOP
expff(manwidth+4)(k) <= (expff(manwidth+3)(k) AND zeroexpff(manwidth+3)) OR nanexpff(manwidth+3);
END LOOP;
roundff <= squareroot(manwidth+1 DOWNTO 2) + (zerovec(manwidth-1 DOWNTO 1) & roundbit);
FOR k IN 1 TO manwidth LOOP
manff(k) <= (roundff(k) AND zeromanff(manwidth+3)) OR nanmanff(manwidth+3);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** CONDITIONS ***
--*******************
pcc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO manwidth+4 LOOP
nanmanff(k) <= '0';
nanexpff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+3 LOOP
zeroexpff(k) <= '0';
zeromanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
nanmanff(1) <= nancondition; -- level 1
nanexpff(1) <= nancondition OR infinitycondition; -- also max exp when infinity
FOR k IN 2 TO manwidth+4 LOOP
nanmanff(k) <= nanmanff(k-1);
nanexpff(k) <= nanexpff(k-1);
END LOOP;
zeromanff(1) <= expzero AND NOT(infinitycondition); -- level 1
zeroexpff(1) <= expzero; -- level 1
FOR k IN 2 TO manwidth+3 LOOP
zeromanff(k) <= zeromanff(k-1);
zeroexpff(k) <= zeroexpff(k-1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
-- if exponent is odd, double mantissa and adjust exponent
-- core latency manwidth+2 = 54
-- top latency = core + 1 (input) + 2 (output) = 57
sqr: fp_sqrroot
GENERIC MAP (width=>manwidth+2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
rad=>radicand,
root=>squareroot);
radicand(1) <= '0';
radicand(2) <= maninff(1) AND NOT(preadjust);
gra: FOR k IN 3 TO manwidth+1 GENERATE
radicand(k) <= (maninff(k-1) AND NOT(preadjust)) OR (maninff(k-2) AND preadjust);
END GENERATE;
radicand(manwidth+2) <= NOT(preadjust) OR (maninff(manwidth) AND preadjust);
radicand(manwidth+3) <= preadjust;
--****************
--*** EXPONENT ***
--****************
-- subtract 1023, divide result/2, if odd - preadjust
-- if zero input, zero exponent and mantissa
expnode <= expinff - offset;
preadjust <= expnode(1);
expdiv <= expnode(expwidth) & expnode(expwidth DOWNTO 2);
--*************
--*** ROUND ***
--*************
-- only need to round up, round to nearest not possible out of root
roundbit <= squareroot(1);
--*********************
--*** SPECIAL CASES ***
--*********************
-- 1. if negative input, invalid operation, NAN (unless -0)
-- 2. -0 in -0 out
-- 3. infinity in, invalid operation, infinity out
-- 4. NAN in, invalid operation, NAN
-- '0' if 0
expinzero(1) <= expinff(1);
gxza: FOR k IN 2 TO expwidth GENERATE
expinzero(k) <= expinzero(k-1) OR expinff(k);
END GENERATE;
expzero <= expinzero(expwidth); -- '0' when zero
-- '1' if nan or infinity
expinmax(1) <= expinff(1);
gxia: FOR k IN 2 TO expwidth GENERATE
expinmax(k) <= expinmax(k-1) AND expinff(k);
END GENERATE;
expmax <= expinmax(expwidth); -- '1' when true
-- '1' if not zero or infinity
maninzero(1) <= maninff(1);
gmza: FOR k IN 2 TO manwidth GENERATE
maninzero(k) <= maninzero(k-1) OR maninff(k);
END GENERATE;
manzero <= maninzero(manwidth);
infinitycondition <= NOT(manzero) AND expmax;
nancondition <= (signinff AND expzero) OR (expmax AND manzero);
--***************
--*** OUTPUTS ***
--***************
signout <= signff(manwidth+4);
exponentout <= expff(manwidth+4)(expwidth DOWNTO 1);
mantissaout <= manff;
-----------------------------------------------
nanout <= nanmanff(manwidth+4);
invalidout <= nanmanff(manwidth+4);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_rsft32x5.vhd | 10 | 4329 |
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_RSFT32X5.VHD ***
--*** ***
--*** Function: Single Precision Right Shift ***
--*** ***
--*** 22/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_rsft32x5 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END fp_rsft32x5;
ARCHITECTURE rtl OF fp_rsft32x5 IS
signal rightone, righttwo, rightthr : STD_LOGIC_VECTOR (32 DOWNTO 1);
BEGIN
gra: FOR k IN 1 TO 29 GENERATE
rightone(k) <= (inbus(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(k+1) AND NOT(shift(2)) AND shift(1)) OR
(inbus(k+2) AND shift(2) AND NOT(shift(1))) OR
(inbus(k+3) AND shift(2) AND shift(1));
END GENERATE;
rightone(30) <= (inbus(30) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(31) AND NOT(shift(2)) AND shift(1)) OR
(inbus(32) AND shift(2) AND NOT(shift(1)));
rightone(31) <= (inbus(31) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(32) AND NOT(shift(2)) AND shift(1));
rightone(32) <= inbus(32) AND NOT(shift(2)) AND NOT(shift(1));
grb: FOR k IN 1 TO 20 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(rightone(k+8) AND shift(4) AND NOT(shift(3))) OR
(rightone(k+12) AND shift(4) AND shift(3));
END GENERATE;
grc: FOR k IN 21 TO 24 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(rightone(k+8) AND shift(4) AND NOT(shift(3)));
END GENERATE;
grd: FOR k IN 25 TO 28 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3));
END GENERATE;
gre: FOR k IN 29 TO 32 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3)));
END GENERATE;
grf: FOR k IN 1 TO 16 GENERATE
rightthr(k) <= (righttwo(k) AND NOT(shift(5))) OR
(righttwo(k+16) AND shift(5));
END GENERATE;
grg: FOR k IN 17 TO 32 GENERATE
rightthr(k) <= (righttwo(k) AND NOT(shift(5)));
END GENERATE;
outbus <= rightthr;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/fp_rsft32x5.vhd | 10 | 4329 |
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_RSFT32X5.VHD ***
--*** ***
--*** Function: Single Precision Right Shift ***
--*** ***
--*** 22/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_rsft32x5 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END fp_rsft32x5;
ARCHITECTURE rtl OF fp_rsft32x5 IS
signal rightone, righttwo, rightthr : STD_LOGIC_VECTOR (32 DOWNTO 1);
BEGIN
gra: FOR k IN 1 TO 29 GENERATE
rightone(k) <= (inbus(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(k+1) AND NOT(shift(2)) AND shift(1)) OR
(inbus(k+2) AND shift(2) AND NOT(shift(1))) OR
(inbus(k+3) AND shift(2) AND shift(1));
END GENERATE;
rightone(30) <= (inbus(30) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(31) AND NOT(shift(2)) AND shift(1)) OR
(inbus(32) AND shift(2) AND NOT(shift(1)));
rightone(31) <= (inbus(31) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(32) AND NOT(shift(2)) AND shift(1));
rightone(32) <= inbus(32) AND NOT(shift(2)) AND NOT(shift(1));
grb: FOR k IN 1 TO 20 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(rightone(k+8) AND shift(4) AND NOT(shift(3))) OR
(rightone(k+12) AND shift(4) AND shift(3));
END GENERATE;
grc: FOR k IN 21 TO 24 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(rightone(k+8) AND shift(4) AND NOT(shift(3)));
END GENERATE;
grd: FOR k IN 25 TO 28 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3));
END GENERATE;
gre: FOR k IN 29 TO 32 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3)));
END GENERATE;
grf: FOR k IN 1 TO 16 GENERATE
rightthr(k) <= (righttwo(k) AND NOT(shift(5))) OR
(righttwo(k+16) AND shift(5));
END GENERATE;
grg: FOR k IN 17 TO 32 GENERATE
rightthr(k) <= (righttwo(k) AND NOT(shift(5)));
END GENERATE;
outbus <= rightthr;
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_normfp2x.vhd | 10 | 13893 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_NORMFP2X.VHD ***
--*** ***
--*** Function: Normalize double precision ***
--*** number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 05/03/08 - correct expbotffdepth constant ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** NOTES : TODOS ***
--***************************************************
--*** NEED OVERFLOW CHECK - if 01.11111XXX11111 rounds up to 10.000..0000
ENTITY hcc_normfp2x IS
GENERIC (
roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1'
roundnormalize : integer := 1; -- global switch - round all normalizations when '1'
normspeed : positive := 3; -- 1,2, or 3 pipes for norm core
doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles
target : integer := 1; -- 1(internal), 0 (multiplier, divider)
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_normfp2x;
ARCHITECTURE rtl OF hcc_normfp2x IS
constant latency : positive := 3 + normspeed +
(roundconvert*doublespeed) +
(roundnormalize + roundnormalize*doublespeed);
constant exptopffdepth : positive := 2 + roundconvert*doublespeed;
constant expbotffdepth : positive := normspeed + roundnormalize*(1+doublespeed); -- 05/03/08
-- if internal format, need to turn back to signed at this point
constant invertpoint : positive := 1 + normspeed + (roundconvert*doublespeed);
type exptopfftype IS ARRAY (exptopffdepth DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
type expbotfftype IS ARRAY (expbotffdepth DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal aaff : STD_LOGIC_VECTOR (77 DOWNTO 1);
signal exptopff : exptopfftype;
signal expbotff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal expbotdelff : expbotfftype;
signal exponent : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal adjustexp : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal aasatff, aazipff : STD_LOGIC_VECTOR (latency DOWNTO 1);
signal mulsignff : STD_LOGIC_VECTOR (latency-1 DOWNTO 1);
signal aainvnode, aaabsnode, aaabsff, aaabs : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal normalaa : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal countnorm : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal normalaaff : STD_LOGIC_VECTOR (55+9*target DOWNTO 1);
signal mantissa : STD_LOGIC_VECTOR (54+10*target DOWNTO 1);
signal aamannode : STD_LOGIC_VECTOR (54+10*target DOWNTO 1);
signal aamanff : STD_LOGIC_VECTOR (54+10*target DOWNTO 1);
signal sign : STD_LOGIC;
component hcc_addpipeb
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_addpipes
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_normus64 IS
GENERIC (pipes : positive := 1); -- currently 1 or 3
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
fracin : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1);
fracout : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO 64 GENERATE
zerovec(k) <= '0';
END GENERATE;
--*** INPUT REGISTER ***
pna: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 77 LOOP
aaff(k) <= '0';
END LOOP;
FOR k IN 1 TO exptopffdepth LOOP
FOR j IN 1 TO 13 LOOP
exptopff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO latency LOOP
aasatff(k) <= '0';
aazipff(k) <= '0';
END LOOP;
FOR k IN 1 TO latency-1 LOOP
mulsignff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
exptopff(1)(13 DOWNTO 1) <= aaff(13 DOWNTO 1) + adjustexp;
FOR k IN 2 TO exptopffdepth LOOP
exptopff(k)(13 DOWNTO 1) <= exptopff(k-1)(13 DOWNTO 1);
END LOOP;
aasatff(1) <= aasat;
aazipff(1) <= aazip;
FOR k IN 2 TO latency LOOP
aasatff(k) <= aasatff(k-1);
aazipff(k) <= aazipff(k-1);
END LOOP;
mulsignff(1) <= aaff(77);
FOR k IN 2 TO latency-1 LOOP
mulsignff(k) <= mulsignff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
-- exponent bottom half
gxa: IF (expbotffdepth = 1) GENERATE
pxa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 13 LOOP
expbotff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
expbotff(13 DOWNTO 1) <= exptopff(exptopffdepth)(13 DOWNTO 1) - ("0000000" & countnorm);
END IF;
END IF;
END PROCESS;
exponent <= expbotff;
END GENERATE;
gxb: IF (expbotffdepth > 1) GENERATE
pxb: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO expbotffdepth LOOP
FOR j IN 1 TO 13 LOOP
expbotdelff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
expbotdelff(1)(13 DOWNTO 1) <= exptopff(exptopffdepth)(13 DOWNTO 1) - ("0000000" & countnorm);
FOR k IN 2 TO expbotffdepth LOOP
expbotdelff(k)(13 DOWNTO 1) <= expbotdelff(k-1)(13 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
exponent <= expbotdelff(expbotffdepth)(13 DOWNTO 1);
END GENERATE;
-- add 4, because Y format is SSSSS1XXXX, seem to need this for both targets
adjustexp <= "0000000000100";
gna: FOR k IN 1 TO 64 GENERATE
aainvnode(k) <= aaff(k+13) XOR aaff(77);
END GENERATE;
--*** APPLY ROUNDING TO ABS VALUE (IF REQUIRED) ***
gnb: IF ((roundconvert = 0) OR
(roundconvert = 1 AND doublespeed = 0)) GENERATE
gnc: IF (roundconvert = 0) GENERATE
aaabsnode <= aainvnode;
END GENERATE;
gnd: IF (roundconvert = 1) GENERATE
aaabsnode <= aainvnode + (zerovec(63 DOWNTO 1) & aaff(77));
END GENERATE;
pnb: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
aaabsff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaabsff <= aaabsnode;
END IF;
END IF;
END PROCESS;
aaabs <= aaabsff;
END GENERATE;
gnd: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
gsa: IF (synthesize = 0) GENERATE
absone: hcc_addpipeb
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aainvnode,bb=>zerovec,carryin=>aaff(77),
cc=>aaabs);
END GENERATE;
gsb: IF (synthesize = 1) GENERATE
abstwo: hcc_addpipes
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aainvnode,bb=>zerovec,carryin=>aaff(77),
cc=>aaabs);
END GENERATE;
END GENERATE;
--*** NORMALIZE HERE - 1-3 pipes (countnorm output after 1 pipe)
normcore: hcc_normus64
GENERIC MAP (pipes=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
fracin=>aaabs,
countout=>countnorm,fracout=>normalaa);
gta: IF (target = 0) GENERATE
pnc: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
normalaaff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
normalaaff <= normalaa(64 DOWNTO 10);
END IF;
END IF;
END PROCESS;
--*** ROUND NORMALIZED VALUE (IF REQUIRED)***
--*** note: normal output is 64 bits
gne: IF (roundnormalize = 0) GENERATE
mantissa <= normalaaff(55 DOWNTO 2);
END GENERATE;
gnf: IF (roundnormalize = 1) GENERATE
gng: IF (doublespeed = 0) GENERATE
aamannode <= normalaaff(55 DOWNTO 2) + (zerovec(53 DOWNTO 1) & normalaaff(1));
pnd: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
aamanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aamanff <= aamannode;
END IF;
END IF;
END PROCESS;
mantissa <= aamanff;
END GENERATE;
gnh: IF (doublespeed = 1) GENERATE
gra: IF (synthesize = 0) GENERATE
rndone: hcc_addpipeb
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>normalaaff(55 DOWNTO 2),bb=>zerovec(54 DOWNTO 1),carryin=>normalaaff(1),
cc=>mantissa);
END GENERATE;
grb: IF (synthesize = 1) GENERATE
rndtwo: hcc_addpipes
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>normalaaff(55 DOWNTO 2),bb=>zerovec(54 DOWNTO 1),carryin=>normalaaff(1),
cc=>mantissa);
END GENERATE;
END GENERATE;
END GENERATE;
sign <= mulsignff(latency-1);
cc <= sign & mantissa(53 DOWNTO 1) & exponent;
ccsat <= aasatff(latency);
cczip <= aazipff(latency);
END GENERATE;
gtb: IF (target = 1) GENERATE
pne: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
normalaaff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
FOR k IN 1 TO 59 LOOP
normalaaff(k) <= normalaa(k+4) XOR mulsignff(invertpoint);
END LOOP;
normalaaff(60) <= mulsignff(invertpoint);
normalaaff(61) <= mulsignff(invertpoint);
normalaaff(62) <= mulsignff(invertpoint);
normalaaff(63) <= mulsignff(invertpoint);
normalaaff(64) <= mulsignff(invertpoint);
END IF;
END IF;
END PROCESS;
gni: IF (roundnormalize = 0) GENERATE
mantissa <= normalaaff; -- 1's complement
END GENERATE;
gnj: IF (roundnormalize = 1) GENERATE
gnk: IF (doublespeed = 0) GENERATE
aamannode <= normalaaff + (zerovec(63 DOWNTO 1) & mulsignff(invertpoint+1));
pne: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
aamanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aamanff <= aamannode;
END IF;
END IF;
END PROCESS;
mantissa <= aamanff;
END GENERATE;
gnl: IF (doublespeed = 1) GENERATE
grc: IF (synthesize = 0) GENERATE
rndone: hcc_addpipeb
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>normalaaff,bb=>zerovec(64 DOWNTO 1),carryin=>mulsignff(invertpoint+1),
cc=>mantissa);
END GENERATE;
grd: IF (synthesize = 1) GENERATE
rndtwo: hcc_addpipes
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>normalaaff,bb=>zerovec(64 DOWNTO 1),carryin=>mulsignff(invertpoint+1),
cc=>mantissa);
END GENERATE;
END GENERATE;
END GENERATE;
cc <= mantissa(64 DOWNTO 1) & exponent;
ccsat <= aasatff(latency);
cczip <= aazipff(latency);
END GENERATE;
end rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/hcc_castdtox.vhd | 10 | 4863 |
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTDTOX.VHD ***
--*** ***
--*** Function: Cast IEEE754 Double to Internal ***
--*** Single ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_castdtox IS
GENERIC (
target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider)
mantissa : positive := 32;
roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1'
doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_castdtox;
ARCHITECTURE rtl OF hcc_castdtox IS
signal ccprenode : STD_LOGIC_VECTOR (77 DOWNTO 1);
signal ccnode : STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
signal satnode, zipnode : STD_LOGIC;
component hcc_castdtoy
GENERIC (
target : integer := 0; -- 1(internal), 0 (multiplier, divider)
roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1'
outputpipe : integer := 0; -- if zero, dont put final pipe for some modes
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
component hcc_castytox IS
GENERIC (
target : integer := 0; -- 1 (signed 64 bit), 0 (unsigned "S1"+52bit)
roundconvert : integer := 1; -- global switch - round all conversions when '1'
mantissa : positive := 32
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
end component;
BEGIN
-- if x target is internal (0), output of dtoy is internal (1)
-- if x target is multiplier(1), output of dtoy is internal (1)
-- if x target is divider(2), output of dtoy is divider (0)
-- if x target is internal (0), output of dtoy is internal (1)
gda: IF (target = 0) GENERATE
castinone: hcc_castdtoy
GENERIC MAP (target=>1,roundconvert=>roundconvert,doublespeed=>doublespeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aa,
cc=>ccnode,ccsat=>satnode,cczip=>zipnode);
END GENERATE;
-- if x target is multiplier(1), output of dtoy is internal (1)
-- leftshift y (SSSSS1XXX) to signed multiplier format (S1XXX)
gdb: IF (target = 1) GENERATE
castintwo: hcc_castdtoy
GENERIC MAP (target=>1,roundconvert=>roundconvert,doublespeed=>doublespeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aa,
cc=>ccprenode,ccsat=>satnode,cczip=>zipnode);
ccnode <= ccprenode(73 DOWNTO 5) & "0000";
END GENERATE;
gdc: IF (target = 2) GENERATE
castintwo: hcc_castdtoy
GENERIC MAP (target=>0,roundconvert=>roundconvert,doublespeed=>doublespeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aa,
cc=>ccnode,ccsat=>satnode,cczip=>zipnode);
END GENERATE;
castout: hcc_castytox
GENERIC MAP (target=>target,roundconvert=>roundconvert,mantissa=>mantissa)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>ccnode,aasat=>satnode,aazip=>zipnode,
cc=>cc,ccsat=>ccsat,cczip=>cczip);
END rtl;
| mit |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/fp_mul2s.vhd | 10 | 5503 |
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_MUL2S.VHD ***
--*** ***
--*** Function: Fixed Point Multiplier ***
--*** ***
--*** 18-36 bit inputs, 2 pipes ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_mul2s IS
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36
);
PORT
(
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
END fp_mul2s;
ARCHITECTURE SYN OF fp_mul2s IS
SIGNAL resultnode : STD_LOGIC_VECTOR (widthaa+widthbb DOWNTO 1);
COMPONENT altmult_add
GENERIC (
addnsub_multiplier_aclr1 : STRING;
addnsub_multiplier_pipeline_aclr1 : STRING;
addnsub_multiplier_pipeline_register1 : STRING;
addnsub_multiplier_register1 : STRING;
dedicated_multiplier_circuitry : STRING;
input_aclr_a0 : STRING;
input_aclr_b0 : STRING;
input_register_a0 : STRING;
input_register_b0 : STRING;
input_source_a0 : STRING;
input_source_b0 : STRING;
intended_device_family : STRING;
lpm_type : STRING;
multiplier1_direction : STRING;
multiplier_aclr0 : STRING;
multiplier_register0 : STRING;
number_of_multipliers : NATURAL;
output_register : STRING;
port_addnsub1 : STRING;
port_signa : STRING;
port_signb : STRING;
representation_a : STRING;
representation_b : STRING;
signed_aclr_a : STRING;
signed_aclr_b : STRING;
signed_pipeline_aclr_a : STRING;
signed_pipeline_aclr_b : STRING;
signed_pipeline_register_a : STRING;
signed_pipeline_register_b : STRING;
signed_register_a : STRING;
signed_register_b : STRING;
width_a : NATURAL;
width_b : NATURAL;
width_result : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (widthaa-1 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (widthbb-1 DOWNTO 0);
clock0 : IN STD_LOGIC ;
aclr3 : IN STD_LOGIC ;
ena0 : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (widthaa+widthbb-1 DOWNTO 0)
);
END COMPONENT;
BEGIN
ALTMULT_ADD_component : altmult_add
GENERIC MAP (
addnsub_multiplier_aclr1 => "ACLR3",
addnsub_multiplier_pipeline_aclr1 => "ACLR3",
addnsub_multiplier_pipeline_register1 => "CLOCK0",
addnsub_multiplier_register1 => "CLOCK0",
dedicated_multiplier_circuitry => "AUTO",
input_aclr_a0 => "ACLR3",
input_aclr_b0 => "ACLR3",
input_register_a0 => "CLOCK0",
input_register_b0 => "CLOCK0",
input_source_a0 => "DATAA",
input_source_b0 => "DATAB",
intended_device_family => "Stratix",
lpm_type => "altmult_add",
multiplier1_direction => "ADD",
multiplier_aclr0 => "ACLR3",
multiplier_register0 => "CLOCK0",
number_of_multipliers => 1,
output_register => "UNREGISTERED",
port_addnsub1 => "PORT_UNUSED",
port_signa => "PORT_UNUSED",
port_signb => "PORT_UNUSED",
representation_a => "UNSIGNED",
representation_b => "UNSIGNED",
signed_aclr_a => "ACLR3",
signed_aclr_b => "ACLR3",
signed_pipeline_aclr_a => "ACLR3",
signed_pipeline_aclr_b => "ACLR3",
signed_pipeline_register_a => "CLOCK0",
signed_pipeline_register_b => "CLOCK0",
signed_register_a => "CLOCK0",
signed_register_b => "CLOCK0",
width_a => widthaa,
width_b => widthbb,
width_result => widthaa+widthbb
)
PORT MAP (
dataa => dataaa,
datab => databb,
clock0 => sysclk,
aclr3 => reset,
ena0 => enable,
result => resultnode
);
result <= resultnode(widthaa+widthbb DOWNTO widthaa+widthbb-widthcc+1);
END SYN;
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/dec_shifter.vhd | 1 | 9221 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ej594zmBXQ4WKlrhbeq87N0GF6uaeCUNVzULwOxP5WExxz4Inp4JdzUNA34974erpCcd+NAUFMsd
i3GUTvHHtQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
i6z27Zp06G9UY15YXk1s93y0zy8nk/tG1fGhydYlnzNURU2Zy+HrNpogCVNrRADDY21q3VsUhrvm
93D0VAhJxT4YccbtS0KtbIYt23x5pTiRJWPA8qbaZxWtiYM2VvZLML9wbLXb5WkSsqY4vgg6fvsy
JI0RXls37bWY015N2XQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HKQdEDuSAgQT3wG2h+uPRRpl3caoCJv066u9qlZIlDe3qH69/fVjjnaTZcS2W1CUBHnpx6kA4u1f
DVsfxqirFzqjArZN+RvclmSDxnUXgqfVA87y5cZBuZDLMBlRm7gEEaehMvY1Mgtve7RoTnQJYTLV
uGLqwcNJtMNIeg5njClp9gepy3co4vjIi31n9GR5u1cGTTz0RhAxWh1iWK6m/J09n+I03Ql+49J2
cTl7x/FMusg0KSJYGyKWtHAktafO1KybhLRKywcrhjrf+cXa2JawhPjztiTgTOBcuyFYiP7h5PEN
aNnfwerj/RU4T+Q0zsg5p5TrMep+iFC5lHl1VA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nr2n2nPMrGgoJIPjcXH0KLTOYRZyw7qcKdDrdyEH3MDmdktoQWRM/4EjQ9Uiwy7wyMUpeAmcKn8x
o2Z38oN5PotHgIZAgGnEF+E7m+FY4W5f4bhWXhlPDvXWiEB/eisxTIBzMZL2r4MksPoILiTbjJzn
lYAmjgASBei7E/IqOXY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SuUcII2M2m1DwhvrYYOj9P0zETZksee0kdhWzbvSzMWknSZGdep0u3x10fkiO63ALFNtZmyQWZNl
ngJBXVw+g4jTlmzmfYnHJNsPTNE0RC/uthNDikHVsAo20AaqMNM54z3U5LCYfuW4D3K1nL4osu+c
GtYTliznxLWv8MUvIwAQfwlILlBgU5+5D+J/TRYyvDG1w5EcZx7tXIBSUHtVtzeG/BrTCkX8Weyi
5qVtBs27P1eL+XZBWFRYkUPkPwOyMic8hqqSH/1g3gQIhOE0/S5Hu5TROFrLNtGKQQZFFf7vZ6/q
zeLDzNAJ/My0AjaeO9J0kqUslj6TKPDnCXFIXA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088)
`protect data_block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`protect end_protected
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/delay_bit.vhd | 1 | 9480 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WRKnPFlYY3kwR4T65wbBD0cHJQrB40Yac7fnNZpG0YHdpZXSdMOQXvUfsO9sxR6+c+az7Z18r3FE
9jWmmGxRtA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SONMUtiHf5jmt7DsCdzeMIMOjavSuEXbhcj+m04PrBDuzRt+emoy4HW0/t2Kt62TPYLC9UVa4C2d
mADuKpqCJx37DfZzURUuqTnuA3t5nMn2HkHgq1ZNaYzTulv2iXqcv9cY3ukVQTyQBLYPizYe2v5G
TJ4jglfh8uRzInRp9Ms=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sT7esuM2v5jPIsiIqBnsKRUNN8cNMlWkaxvA02+mNmkQGcIj8kQtTbbPrtoOQaQ9NKwQ3y2MSuPv
I9o5J3aPP5Kl2YjDnksFvr4cs2X07iXfFXkW/K0DqZ4V2HhkUDqs84A0lMOVY0x6m1TN5zZbXoAm
GKbu4y/1jXYzT1bxtkJvMshRBx/jGgvePg+GX/dmkQfQvVtnDjf1UNvbwkIonmPtT24ptIvcm81T
i5hf0KSoJSXmINXvHDz7A7ILRQSAinaMI9rx1Vy0HrR2xR9HKU/sgoxzePGIv9+NJZ4j7k22GExL
BG0ocgZ+N286q0wlJDX6Rdo7dwLuAZO1THoPmQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3Ss0DPaVtxlVO6gKfpMb4nZJHeYlf4HzMSrcIHj106omPGV3zCZbyzuqF4klVfJAg6MWo35jyIMp
J3FO3U90i6ZNqNdRxtUNY8RTGObODLIZ2O/S2p1/IbI8JWvhQ/LF0MRQsKyv9P/sLg28w7RB5TcP
PQAOtQ3ixGnJhpYghY8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F8pGy1PVcuRS4ru7aOsMgCHpvRrqrJMkkUR43+ZmVlx5AYjWzzbmYRwWx8mfJ65ZncoqKaWtKdY1
/ZonQgcv1g8JThGIokS/QEMUURSuq2FuKUAtwOZhqyhjsfK7u6t4tSiThV9Kb1r5tmzKJRNd5tA0
9qZvJHGvtPp/OeO5jg8BVBgB5LvsTPI67nAfyIy0XqwRhQm96Zn2/uDCq5Sr72ZQOgojJW7nETTj
6pWT8sZmEDQ77rLczw2/vfbsof7fDAF98cqI7JvwGoH/g23z+9k+YTnnI8hO47cdGZaTgop0k7lK
QpX3BSUWuRAyGiOuAacb1MKUo6/OkpI+qY30VQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5280)
`protect data_block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`protect end_protected
| mit |
spzSource/MPFSM.RegFile.Sort | MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/Controller.vhd | 1 | 8076 | library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use commands.all;
--
-- Holds interaction among ROM, RAM and datapath.
--
entity Controller is
port(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
stop : out std_logic;
rom_enabled : out std_logic;
rom_address : out std_logic_vector(7 downto 0);
rom_data_output : in std_logic_vector(27 downto 0);
ram_read_write : out std_logic;
ram_write_data_port : out std_logic_vector(7 downto 0);
ram_write_address : out std_logic_vector(7 downto 0);
ram_read_data_port_1 : in std_logic_vector(7 downto 0);
ram_read_data_port_2 : in std_logic_vector(7 downto 0);
ram_read_address_1 : out std_logic_vector(7 downto 0);
ram_read_address_2 : out std_logic_vector(7 downto 0);
datapath_enabled : out std_logic;
datapath_operation_code : out std_logic_vector(3 downto 0);
datapath_operand_1 : out std_logic_vector(7 downto 0);
datapath_operand_2 : out std_logic_vector(7 downto 0);
datapath_result : in std_logic_vector(7 downto 0);
datapath_zero_flag : in std_logic;
datapath_significant_bit_flag : in std_logic
);
end entity Controller;
architecture Controller_Behavioural of Controller is
type states is (
IDLE,
FETCH,
DECODE,
READ,
WRITE,
ADD,
SUB,
HALT,
JUMP_IF_ZERO,
JUMP_IF_NOT_SIGN_BIT_SET,
LOAD_FROM_INDEX_TO,
LOAD_FROM_INDEX_TO_READ_ADDR,
LOAD_ACCEPT_WRITE,
LOAD_TO_INDEX_FROM,
LOAD_TO_INDEX_FROM_READ_ADDR
);
signal next_state : states;
signal current_state : states;
signal instruction : std_logic_vector(27 downto 0);
signal instruction_counter : std_logic_vector(7 downto 0);
signal operation : std_logic_vector(3 downto 0);
signal operand_address_1 : std_logic_vector(7 downto 0);
signal operand_address_2 : std_logic_vector(7 downto 0);
signal result_address : std_logic_vector(7 downto 0);
signal data_1 : std_logic_vector(7 downto 0);
signal data_2 : std_logic_vector(7 downto 0);
signal data_w : std_logic_vector(7 downto 0);
constant DEFAULT_COUNTER_VALUE : std_logic_vector(7 downto 0) := (instruction_counter'range => '0');
constant DEFAULT_INSTRUCTION_VALUE : std_logic_vector(27 downto 0) := (instruction'range => '0');
constant DEFAULT_OPERATION_VALUE : std_logic_vector(3 downto 0) := (operation'range => '0');
constant DEFAULT_ADDRESS_VALUE : std_logic_vector(7 downto 0) := (operand_address_1'range => '0');
begin
FSM : process(clk, rst, next_state)
begin
if (rst = '1') then
current_state <= IDLE;
elsif rising_edge(clk) then
current_state <= next_state;
end if;
end process;
FSM_COMB : process(current_state, start, operation)
begin
case current_state is
when IDLE =>
if (start = '1') then
next_state <= FETCH;
else
next_state <= IDLE;
end if;
when FETCH => next_state <= DECODE;
when DECODE =>
if (operation = HALT_OP) then
next_state <= HALT;
elsif (operation = JZ_OP) then
next_state <= JUMP_IF_ZERO;
elsif (operation = JNSB_OP) then
next_state <= JUMP_IF_NOT_SIGN_BIT_SET;
else
next_state <= READ;
end if;
when READ =>
if (operation = ADD_OP) then
next_state <= ADD;
elsif (operation = SUB_OP) then
next_state <= SUB;
elsif (operation = LOAD_FROM_INEDEX_TO_ADDR_OP) then
next_state <= LOAD_FROM_INDEX_TO;
elsif (operation = LOAD_FROM_ADDR_TO_INDEX_OP) then
next_state <= LOAD_TO_INDEX_FROM;
else
next_state <= IDLE;
end if;
when LOAD_FROM_INDEX_TO =>
next_state <= LOAD_FROM_INDEX_TO_READ_ADDR;
when LOAD_TO_INDEX_FROM =>
next_state <= LOAD_TO_INDEX_FROM_READ_ADDR;
when LOAD_FROM_INDEX_TO_READ_ADDR | LOAD_TO_INDEX_FROM_READ_ADDR =>
next_state <= LOAD_ACCEPT_WRITE;
when ADD | SUB | LOAD_ACCEPT_WRITE =>
next_state <= WRITE;
when WRITE | JUMP_IF_ZERO | JUMP_IF_NOT_SIGN_BIT_SET =>
next_state <= FETCH;
when HALT =>
next_state <= HALT;
when others =>
next_state <= IDLE;
end case;
end process;
--
-- multiplexer to handle stop signal;
--
STOP_PROCESS : process(current_state)
begin
if (current_state = HALT) then
stop <= '1';
else
stop <= '0';
end if;
end process;
--
-- synchronous instruction counter
--
INSTR_COUNTER : process(clk, rst, current_state)
begin
if (rst = '1') then
instruction_counter <= DEFAULT_COUNTER_VALUE;
elsif falling_edge(clk) then
if (current_state = DECODE) then
instruction_counter <= instruction_counter + 1;
elsif (current_state = JUMP_IF_ZERO and datapath_zero_flag = '1') then
instruction_counter <= operand_address_1;
elsif (current_state = JUMP_IF_NOT_SIGN_BIT_SET and datapath_significant_bit_flag = '0') then
instruction_counter <= operand_address_1;
end if;
end if;
end process;
rom_address <= instruction_counter;
ROM_READ_SET : process(next_state, current_state)
begin
if (next_state = FETCH or current_state = FETCH) then
rom_enabled <= '1';
else
rom_enabled <= '0';
end if;
end process;
--
-- reads instructions from the ROM
--
ROM_READ : process(rst, current_state, rom_data_output)
begin
if (rst = '1') then
instruction <= DEFAULT_INSTRUCTION_VALUE;
elsif (current_state = FETCH) then
instruction <= rom_data_output;
end if;
end process;
--
-- determines the states of registers (address and instruction),
-- based on current state of FSM
--
REGS_CONTROL : process(rst, next_state, instruction)
begin
if (rst = '1') then
operation <= DEFAULT_OPERATION_VALUE;
operand_address_1 <= DEFAULT_ADDRESS_VALUE;
operand_address_2 <= DEFAULT_ADDRESS_VALUE;
result_address <= DEFAULT_ADDRESS_VALUE;
elsif (next_state = DECODE) then
operation <= instruction(27 downto 24);
operand_address_1 <= instruction(23 downto 16);
operand_address_2 <= instruction(15 downto 8);
result_address <= instruction(7 downto 0);
elsif (next_state = LOAD_FROM_INDEX_TO) then
operand_address_1 <= data_1;
elsif (next_state = LOAD_TO_INDEX_FROM) then
operand_address_2 <= data_2;
elsif (next_state = LOAD_TO_INDEX_FROM_READ_ADDR) then
result_address <= operand_address_2;
end if;
end process;
RAM_ADDR_SET : process(operand_address_1, operand_address_2, result_address)
begin
if (current_state /= JUMP_IF_NOT_SIGN_BIT_SET and current_state /= JUMP_IF_ZERO) then
ram_read_address_1 <= operand_address_1;
ram_read_address_2 <= operand_address_2;
ram_write_address <= result_address;
end if;
end process;
RAM_MODE_SET : process(current_state)
begin
if (current_state = WRITE) then
ram_read_write <= '0';
else
ram_read_write <= '1';
end if;
end process;
RAM_DATA_OUT : process(current_state)
begin
if (current_state = READ) then
data_1 <= ram_read_data_port_1;
data_2 <= ram_read_data_port_2;
elsif (current_state = LOAD_FROM_INDEX_TO_READ_ADDR) then
data_1 <= ram_read_data_port_1;
end if;
end process;
ram_write_data_port <= datapath_result;
datapath_operand_1 <= data_1;
datapath_operand_2 <= data_2;
datapath_operation_code <= operation;
DATAPATH_SET : process(current_state)
begin
if (current_state = ADD or current_state = SUB or current_state = LOAD_ACCEPT_WRITE) then
datapath_enabled <= '1';
else
datapath_enabled <= '0';
end if;
end process;
end architecture Controller_Behavioural;
| mit |
NicoLedwith/Dr.AluOpysel | RAT_MCU/vga_clk_div.vhd | 1 | 805 | --
-- Declares a clock divider to synchronize the VGA scanlines
--
-- Original author: unknown
--
-- Peter Heatwole, Aaron Barton
-- CPE233, Winter 2012, CalPoly
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vga_clk_div is
port(clk : in std_logic;
clkout : out std_logic);
end vga_clk_div;
architecture Behavioral of vga_clk_div is
signal tmp_clkf : std_logic;
begin
my_div_fast: process (clk,tmp_clkf)
variable div_cnt : integer := 0;
begin
if (rising_edge(clk)) then
if (div_cnt = 1) then
tmp_clkf <= not tmp_clkf;
div_cnt := 0;
else
div_cnt := div_cnt + 1;
end if;
end if;
clkout <= tmp_clkf;
end process my_div_fast;
end Behavioral;
| mit |
NicoLedwith/Dr.AluOpysel | RAT_MCU/Wrapper.vhd | 1 | 5606 | -------------------------------------------------------------------------------
-- Company: RAT Technologies
-- Engineer: Various RAT rats
--
-- Create Date: 1/31/2012
-- Design Name:
-- Module Name: RAT_wrapper - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Wrapper for RAT MCU. This model provides a template to
-- interface the RAT MCU to the Nexys2 development board.
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity RAT_wrapper is
Port ( LEDS : out STD_LOGIC_VECTOR (7 downto 0);
SEGMENTS : out STD_LOGIC_VECTOR (7 downto 0);
DISP_EN : out STD_LOGIC_VECTOR (3 downto 0);
SWITCHES : in STD_LOGIC_VECTOR (7 downto 0);
BUTTONS : in STD_LOGIC_VECTOR (3 downto 0);
RESET : in STD_LOGIC;
CLK : in STD_LOGIC);
end RAT_wrapper;
architecture Behavioral of RAT_wrapper is
-------------------------------------------------------------------------------
-- INPUT PORT IDS -------------------------------------------------------------
CONSTANT SWITCHES_ID : STD_LOGIC_VECTOR (7 downto 0) := X"20";
CONSTANT BUTTONS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"24";
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- OUTPUT PORT IDS ------------------------------------------------------------
CONSTANT LEDS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"40";
CONSTANT SEGMENTS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"82";
CONSTANT DISP_EN_ID : STD_LOGIC_VECTOR (7 downto 0) := X"83";
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Declare RAT_MCU ------------------------------------------------------------
component RAT_MCU
Port ( IN_PORT : in STD_LOGIC_VECTOR (7 downto 0);
OUT_PORT : out STD_LOGIC_VECTOR (7 downto 0);
PORT_ID : out STD_LOGIC_VECTOR (7 downto 0);
IO_STRB : out STD_LOGIC;
RESET : in STD_LOGIC;
INT : in STD_LOGIC;
CLK : in STD_LOGIC);
end component RAT_MCU;
-------------------------------------------------------------------------------
-- Signals for connecting RAT_MCU to RAT_wrapper -------------------------------
signal s_input_port : std_logic_vector (7 downto 0);
signal s_output_port : std_logic_vector (7 downto 0);
signal s_port_id : std_logic_vector (7 downto 0);
signal s_load : std_logic;
--signal s_interrupt : std_logic; -- not yet used
-- Register definitions for output devices ------------------------------------
signal r_LEDS : std_logic_vector (7 downto 0) := (others => '0');
signal r_SEGMENTS : std_logic_vector (7 downto 0) := (others => '0');
signal r_DISP_EN : std_logic_vector (3 downto 0) := (others => '0');
-------------------------------------------------------------------------------
begin
-- Instantiate RAT_MCU --------------------------------------------------------
MCU: RAT_MCU
port map( IN_PORT => s_input_port,
OUT_PORT => s_output_port,
PORT_ID => s_port_id,
RESET => RESET,
IO_STRB => s_load,
INT => BUTTONS(3),
CLK => CLK);
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- MUX for selecting what input to read
-------------------------------------------------------------------------------
inputs: process(s_port_id, SWITCHES, BUTTONS)
begin
if (s_port_id = SWITCHES_ID) then
s_input_port <= SWITCHES;
elsif (s_port_id = BUTTONS_ID) then
s_input_port <= "00000" & BUTTONS(2 downto 0);
else
s_input_port <= x"00";
end if;
end process inputs;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Decoder for updating output registers
-- Register updates depend on rising clock edge and asserted load signal
-------------------------------------------------------------------------------
outputs: process(CLK, s_load, s_port_id)
begin
if (rising_edge(CLK)) then
if (s_load = '1') then
if (s_port_id = LEDS_ID) then
r_LEDS <= s_output_port;
elsif (s_port_id = SEGMENTS_ID) then
r_SEGMENTS <= s_output_port;
elsif (s_port_id = DISP_EN_ID) then
r_DISP_EN <= s_output_port(3 downto 0);
end if;
end if;
end if;
end process outputs;
-------------------------------------------------------------------------------
-- Register Interface Assignments ---------------------------------------------
LEDS <= r_LEDS;
SEGMENTS <= r_SEGMENTS;
DISP_EN <= r_DISP_EN;
-------------------------------------------------------------------------------
end Behavioral;
| mit |
zurkiyeh/zurkiyeh.github.io | simulation/qsim/work/controller_vlg_sample_tst/_primary.vhd | 1 | 656 | library verilog;
use verilog.vl_types.all;
entity controller_vlg_sample_tst is
port(
clk : in vl_logic;
coin_Detected : in vl_logic;
comp_equal_in : in vl_logic;
comp_greater_in : in vl_logic;
comp_smaller_in : in vl_logic;
reset : in vl_logic;
soda_choice : in vl_logic;
soda_price_0 : in vl_logic_vector(7 downto 0);
soda_price_1 : in vl_logic_vector(7 downto 0);
value_cents : in vl_logic_vector(7 downto 0);
sampler_tx : out vl_logic
);
end controller_vlg_sample_tst;
| mit |
kjellhar/axi_mmc | src/vhdl/mmc_crc7.vhd | 1 | 1758 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12/01/2014 10:27:20 AM
-- Design Name:
-- Module Name: mmc_crc7 - rtl
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mmc_crc7 is
Port ( clk : in std_logic;
clk_en : in std_logic;
reset : in std_logic;
enable : in std_logic;
serial_in : in std_logic;
crc7_out : out std_logic_vector (6 downto 0)
);
end mmc_crc7;
architecture rtl of mmc_crc7 is
signal crc_reg : std_logic_vector (6 downto 0) := (others => '0');
begin
crc7_out <= crc_reg;
process
begin
wait until rising_edge(clk);
if reset='1' then
crc_reg <= (others => '0');
elsif enable='1' and clk_en='1' then
crc_reg(0) <= crc_reg(6) xor serial_in;
crc_reg(1) <= crc_reg(0);
crc_reg(2) <= crc_reg(1);
crc_reg(3) <= crc_reg(2) xor crc_reg(6) xor serial_in;
crc_reg(4) <= crc_reg(3);
crc_reg(5) <= crc_reg(4);
crc_reg(6) <= crc_reg(5);
end if;
end process;
end rtl;
| mit |
spzSource/MPFSM.RegFile.Sort | MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/Datapath.vhd | 1 | 2286 | library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use commands.all;
entity Datapath is
port(
enabled : in std_logic;
operation_code : in std_logic_vector(3 downto 0);
operand_1 : in std_logic_vector(7 downto 0);
operand_2 : in std_logic_vector(7 downto 0);
result : out std_logic_vector(7 downto 0);
zero_flag : out std_logic;
significant_bit_flag : out std_logic
);
end entity Datapath;
architecture Datapath_Behavioural of Datapath is
signal op_result : std_logic_vector(7 downto 0);
signal add_result : std_logic_vector(7 downto 0);
signal sub_result : std_logic_vector(7 downto 0);
signal mov_result : std_logic_vector(7 downto 0);
signal result_zero_flag : std_logic;
signal result_significant_bit_flag : std_logic;
begin
--
-- represents 8-bit adder
--
add_result <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(operand_1) + CONV_INTEGER(operand_2), 8);
--
-- represents 8-bit subtraction
--
sub_result <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(operand_1) - CONV_INTEGER(operand_2), 8);
mov_result <= operand_1;
--
-- synchronous register-accumulator
--
ALU_REG : process(enabled, operation_code, operand_1, operand_2, add_result, sub_result, mov_result)
begin
if (rising_edge(enabled)) then
case operation_code is
when ADD_OP => op_result <= add_result;
when SUB_OP => op_result <= sub_result;
when LOAD_FROM_INEDEX_TO_ADDR_OP | LOAD_FROM_ADDR_TO_INDEX_OP => op_result <= mov_result;
when others => null;
end case;
end if;
end process;
FLAGS_PROCESS : process(op_result)
begin
if op_result = (op_result'range => '0') then
result_zero_flag <= '1';
else
result_zero_flag <= '0';
end if;
if op_result(7) = '1' then
result_significant_bit_flag <= '1';
else
result_significant_bit_flag <= '0';
end if;
end process;
result <= op_result;
zero_flag <= result_zero_flag;
significant_bit_flag <= result_significant_bit_flag;
end architecture Datapath_Behavioural;
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/fir_compiler_v7_1/hdl/halfband_decimation.vhd | 2 | 339334 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RzhECzT+9ycWh1bgnkpz56bWSMlOS2C2qo/ehOaT3WPvy013PYz4OZ5v0LrNvNJ1mw2s1DnGQ1Em
kLAQwYDipg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o+fP5UbR/yhpfo9MfJOSyqjpaQCgRoUuDtMGqGidyoLwM65TS+j+Q3wrwWAuQeE95uVt5C1HY8ru
vaSNXSRS7yOhaGUx4Rb2yvDyU/Q1u7TYuvqAE81C/+/TGqpfd02N3DfdBTEDvwn76RfSfFI6LYTN
HqGmu5ZimdzZTN3Exkk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
n/5RfExNmY5h6rIItRFeDQpjpiOANqHHnIWvMDBj9uQNPDnsruxyk/5f+ySWD90BFy5ctcrImCSM
QeisTaLJxRA7hAgtE7lEUUK4yrxrpaiWBmwphEDR92QpYigMNCYgjLZUPA7z/CljnqmkcTdtmwT/
JCB0DBXxiDvoUC9NkETilIo6+WYcM0hDx1QDKaR/FkB4l0vx0O0zq2vd6aA9aUxY45Lion+2amxj
3HGOWnBaVcvTdxm+H5baMUjMWgtnU9umbCXYHzEY5zyTQzdSlfoDssWwuyLMnexhJ/ZhBR6Vf8Ly
eQbiUuBSeXJSyN5Gk2q1IwHuUw3uFdj+G8j2Ww==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YnhiysvyTci4sHtzqW54U2ODyWQA/Zc7iHdB/SakTtFquqBb6k/q3ta/SWV8DknaGxdL+JRcq7E+
/M2v9R9Xy6D5ce2y3mQbQtgmJRluN6OSHXvxqaGiHciPw6/2NDN5qEsfO0mvVpLX1vDL4bcSKAuv
DkxybBhkHnXJez1j+yM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kcFlikViD9VP3HhWC6L4szfOwqupofLtcwekCEuA/YL5tZNpUh9Xy6V9yMXNxZmjA48b/mopE3xq
PkFIGws9WM67BrC49IBjgX8b/4L3Xd9yRDnEWYStmsnCQel/rZf/uxOCyemGRnFvFpT4J6TovBVm
2KfqYrKOVrYStO5Mq6TuZXuQLb4t0y+dtyGOR9uuABOLr69/hiXIn/bNqeYSmjerrSIdolDHNesb
FS75Lv7DxwHOR3LPO1KQzPaC5gucvMUyoI2G4GNtdHTIH/QOqiQgA4AvPa2tLRpAHjrTSKXip9DH
ruYT0m0wnnmbRFuUk5Bs+etTambPF6wwx/tEfg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456)
`protect data_block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`protect end_protected
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv_comp.vhd | 2 | 13565 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FAaroWniYno/mQkbjCOTPT94RF7QGuTWz1suO0sXxJjpU4HO4ysdGIqrIYDKVHf7hil/8bOK1R+M
rhBk1K2wEw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HOlmbjvu+CvxCH+2lOYEgws7NJgtAkwZFRZ10+jhyB9JwZkSakSR/nAA/MNGPvXQWdIZwE4tsTl4
jcVt9T5nx0gTZHmvYdL3vIApl7TYmq6jlS9RMp+9BwPO1Kx7nQ7a0mqNLW986w9WXei74Okq25mz
WlvTdIa9kqZiGa7VXN0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OoK+Nut1ThXPTQJbrMk59IZWC6cLrNJtzqgodYkanvAWdgjVIVb5SmALSel5BS9psAElfE0wLQxy
avu7a9Yz+ZgMIgajw7t3nX6TET+E1Bm8Deso5Ub9lmzeEvVqgl4MVWJNuGnNAaHFJrnGQFX5wzQY
PGX6/bon9f1hFNaLv8S7v/g5Q2fSkXiz9VwtMwR0BavuwFmEN1zCMGeu2Gd7C0Wy6ypIxlAPR5yV
1Nez5Ke47XMtHVaBdIhkQr6P7LTZMb58NZLvAFKEM4GOMFf/PbpSeK296ZLYycU293323ybg/JqM
ZqBoCj7m6P9hIPRE7ClEMl1v9U5LAmje/KAqHw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
05BE3HwNq178ILIPhkdkh/pICfeniOUTbRo/K4NlxOOcsgyVVIjaitodhlMmjRPBnLBIudLxQrME
ptJw0pk4RMyJOEgc867q9ol7Zow6I18ATqU0+IVp+7vrN8q4ICJxAs/N5APKYOb/R7YGyxl5037w
Kgok7vw5kJNzgfWB/gA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bmSeqLQSjhLtl/YsKBq6Ym+siPVmTOtGMCjyyhUL9/BbyUgDD/GzZUxRRU5iMz4kkXuCfG/43qNn
yXuMwQXiWVXc/8oiwkHTblmvk/LIVCH+gWsxHOOWlwgwneD8PY8vt/hDIwg0jB8EYUnQGCYEetQF
aoOqE1wjkiLZPlZJywbAHo5BtG6aIu9G99qE8+QFnjbpP0qTo8yowO5BarmPQdBCcmElo8yg6aFu
b/X2/f8or5M/MZJ4dpk7dgubMF6ij20wMPZFuZZ4Gp05Fk72K6q8BhJSzevWmEd8lAKX5IHCUVmE
WO/BE/qekLc/uc+Uu+5R7wSCM1d6pGi1zdc8hQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8304)
`protect data_block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`protect end_protected
| mit |
NicoLedwith/Dr.AluOpysel | RAT_MCU/Scratch_ram.vhd | 1 | 1202 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
entity SCR is
Port ( DATA : inout STD_LOGIC_VECTOR (9 downto 0);
ADDR : in STD_LOGIC_VECTOR (7 downto 0);
OE : in STD_LOGIC;
WE : in STD_LOGIC;
CLK : in STD_LOGIC);
end SCR;
architecture SCR of SCR is
TYPE memory is array (0 to 255) of std_logic_vector(9 downto 0);
-----------------------------------------------------------(1)
SIGNAL BD_RAM : memory := (others => (others =>'0') );
begin
my_bi_dir: process(CLK,OE,WE,DATA,ADDR,BD_RAM)
begin
--------------------------------------------------------(2)
if (OE = '1') then
DATA <= BD_RAM(conv_integer(ADDR));
--------------------------------------------------------(3)
else
-----------------------------------------------------(4)
DATA <= (others => 'Z');
-----------------------------------------------------(5)
if (WE = '1') then
if (rising_edge(CLK)) then
BD_RAM(conv_integer(ADDR)) <= DATA;
end if;
end if;
end if;
end process my_bi_dir;
end SCR;
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/axi_utils_v2_0_pkg.vhd | 3 | 8183 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qVzr/aBSJYHosH2FpsTQigWY+QzTPfhdYc5Rkel+XwyMwVxlui6acviCav06diOtXxySFfELUEs0
eHEHLMamtA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W++rjq3H4hXEjpyJTnd7GkVv8IzPknJUhn7cKsy9WJrSfixlygPgcnRbWp6s2uzr/gBzHdju5C71
KiQbU0nOdGDjYHbYxdRB103B6p2PgD0u17j7WSD68XsmH//5fIEOqUaKK9yrfHhJbQC6jtVbD55o
AVecnzBE0EQt2XyQW1k=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WIOc741/8KooqhliH6L6VrWOKve1DQKmI7p3BdVshUxqgrVWOI307nZ/fgRUSfKG9MlBjeNZnM61
12eYMQdAwdEstzU6ZsIkdHh/rCAJF4rbhmyzhYrZLO+S9E5APg5sOMPVmuFdecrH0Q491bbFz91x
qzmQO4z8tyNJJy/c+SmcMljbIPtpK4+n/cyhHzxSb54mW0KzZRKli9A3O0FPsbVDlu+FyuVtCUB9
1Ce2G8eum58TaddrH4fbW1M/6MV1Qi97DrvghvKYxEYXMvQvelQX5UnhKIYU9Bb3Ta0MNp4a5BSa
qWSlGunZ1btfZQUqCc2ed+1oTw4izwr+Tobg4w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tYJdAHng/Ss1naGDjHy5zuq0X0dq3RLUx9hc4/Jn2nvtQ3UqAobFI6Mmx6VDxUg9blH1yHK2mscr
VKGO6s3XG7pqWjwzsG+zET5EaqdeQuMp4VvXTLCtdo22CWbHdSQXxvI0xbcCxBMRvrqn7XzKl0Ox
KTTfe9KulG0mdxbLXhI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
auJ54sALyeTa7QbfO6xJtHLibSFWv0rElFAWmqueNriuZ/jJAC4ESFFudhkuQ1K7tfoeyUbiiX20
HEguR89EnV2MROGR7ikIo429FYMnHcFZe6FXZNOLggU9n+orAUVEMHUl/XLSNEd3Z3bQMxyUw+SA
WFG9RD1Vjg8bLL10uhbIqxpSSnhcM64tJQiYa5ke6os1QOgrEd1bs2ZKEj83qa80DP/u0jMwcSRO
97zfhi9KQ6jUUsYls2TaDPjtnOGXBcGcj4iE6Mf0GTjoDhx26UFviujyLiqGqhWsGWik627jkxjV
uyqSmdbondCwAX3H8rBnFXUzA83yukJnvfNnaA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/fir_compiler_v7_1/hdl/cnfg_and_reload.vhd | 2 | 111911 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qS9KapL0EbCrwT0fEheWmDBk7y+mbeUklTV8FP+xaFRJmWGOa92LoDYXgkAgwc1H9gF3GW4b5hne
JVgWyrODng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NkH2KMePJdGQ9BrgvmObYcVeKHvdj0ooDcHeNzqUcMbTSoBdWWc4PzJv5uhWqyn+RojnNSxdSLHZ
VVuF+WJbOIN86ODV9XaTtn9UsQ/aL52dvIUZZAs4CAHotT2xjVp9ASdntl5LfnmQGdRdIghEcvfC
6SE/2dXxBqP1GnaAU+E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aCSnq7PMGnWdEOS8D//nPmMtbuMzm+S902qTLHTmM+cGLVsgjx/99r2zMRl8lQ5qL72Lpb4G8gnJ
kMk5wvtWiTvJ9R42m33ncueJ/hKIzDB4K+TU3g1iB2NeWkRtMGVY9QUAAamgJbSIgclOB0HWwRXt
7ZZsy5qbJLut4FX3X2HzQyM9jx+G0RnHeYpKtYsGDPM/eerFtS+/jwpyz0AHxUK9NHoqpkvvR0w3
YpdBFKcgZjc28o2cw+NFn189EewVon42qRbhY489s5oBVlxkT/GKPszWXc9lUEpduWXbv+6gRHGW
WyUqWTBjoP8D/rELV69k/4Jdh7z4IaTaKmIfAg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sqtJWmnrvRl2JqD/4KU4snhNyVYYx9/CNCxoKOtQ0nyaCY54bJ1uGQY2vVe63cwb6cRIN6yTNwmQ
tWFgVa0m09x17JqQrHIDWIMRyW+I7uCoSAGj/dJB2HOtN4zHsHGxaxo25trRJyzqKd8NU5beVwQ5
OvDE14P6/+Bvr2ZZNdk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LyFm66CfXLYukTYzKDQwzvsqzLx4liC6crvTP4leG4aym6NpViie9KZOenTt8jBHca0vq8B8bSJz
6G6FoLWHR25FYNwz6NW79ak5XQtZu6tj7gMrM0fIjbJA1p0wJvfAj5UhDU5rE3Mqev4nemPOGJIH
4vsN05MKBysz6OnwZpqM7NqxFT4Fgez8mS1Im0FpZLGF+KJ6HE8q41W53YPmgt4aODMiBo/T4OSR
wkA6xDpWsuaMJzyBPvN40LPi8xkA2cl8upTOurVnXfhxbwhU8+gE1elVKCzNSbpG0uHZLei9kaA/
h3Kci7G9AleqchikP/3mnKEhKBPysuChUk1iFg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 81104)
`protect data_block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=
`protect end_protected
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl | 1 | 1224 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.2 (win64) Build 932637 Wed Jun 11 13:33:10 MDT 2014
-- Date : Tue Sep 16 21:34:47 2014
-- Host : ECE-411-6 running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub
-- C:/Users/coltmw/Documents/GitHub/ecen4024-microphone-array/microphone-array/microphone-array.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
-- Design : clk_wiz_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk_wiz_0 is
Port (
clk_in1 : in STD_LOGIC;
clk_out1 : out STD_LOGIC;
reset : in STD_LOGIC;
locked : out STD_LOGIC
);
end clk_wiz_0;
architecture stub of clk_wiz_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk_in1,clk_out1,reset,locked";
begin
end;
| mit |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.