repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/half_band_FIR_funcsim.vhdl | 1 | 484479 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.2 (win64) Build 932637 Wed Jun 11 13:33:10 MDT 2014
-- Date : Mon Nov 03 20:57:00 2014
-- Host : ECE-411-6 running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- C:/Users/coltmw/Documents/GitHub/ecen4024-microphone-array/microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/half_band_FIR_funcsim.vhdl
-- Design : half_band_FIR
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 27152)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 1840)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 63088)
`protect data_block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==
`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 19184)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 40272)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 35264)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 4560)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 768)
`protect data_block
Y/nF0tx4MOOQIXOFJWhvdG50cI98Vmz4xOHSqDuuU9FdV+AfBu5aelFCMjf8f6r9wHYyQBWiVaOk
dCSvE5XJe6M7wthy1WW7CujaVYP0aKclB+aSevNHXwomM/whC36UzhmdPz850r1wiiZhtNwcvI8s
o8keWuQ5zgKKj5/Z2z/lOpd5Qvfl28YFI6L3LyeFPpUuzD4K2ghPWEPXSjUNvXSHU/h7u5lWkUMV
YlXF3gW7J2mRI9kPzG8NV4fsIY24Ene2TEnDiMtmuAqK1oVXtn+Vb9NSwhOw/pQBOYj35959eX2R
GhmF1xHkLJktZEKWHBH2wLz+m8ALoPY7VmTroZedWvJ0V/xYteZDLv6EqSlweC2w7fbyTorR5zsb
Lm0RRzOEA1kqGboUvehv8yiYdXJPF1nT3FkQY0qcBf0OMAnbnuAO2zSTrf0IxENZO2texUfxIh9W
4p04AsLsQz0hn5U+KefyJzbDTNqVB1aa76vH30yiY2ctS7Nm8l4ak705AJwcbC4m+WyuqrCQMGAO
y54OVHptk9/6RZtEqFON/MMLaYQ3DRAqSqfa2YMWZAImoESHC6Xid+Su0jXekFHNKJtzRe7/lK1B
Ae7KK9qWCpIq+HfTDMS0P9Akh18Rjvuc6Q0wpMLRKWvUqUR09SrI1HpvWGZ1SBxoPSzPTM2yelao
f02cAVvpqcB078H982pZtBVonHeKUiLvWql7I26ij3CuxSBXcwoQ2OXRJ6+8kGlUuBDp/ckggYr3
fGe3HjYBW8ig1AOy6KwHFgdeN/yLFqa6O+BCaFshondMH4Fhj18uqGaP5uSzuKyWOGbY4yutkAN1
x0iaaLSSjwo0SclPZNqzq3D5wuCMf+mufdLDh1sCcPfyXHTTISWULOaSinKds7JAUvOxpCR4Yw1d
0VkpC7Pzq+aBCtzg2zCsoqODQs3ACde/0CNKNLUHg+QU+VEySlxFQejojVGteyNEfqzd3vjL65Hj
fnE6xbYzgxi+s8MQ2H3INlHmlkjicH4Dg2tV
`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 1056)
`protect data_block
oA68xomKT93oQsA/ZqnfHQBSdOuS2UQvTLQo/oAxUKPZek8buX7TvbfXKzW03QyYIfQhQteWDZ4V
hyYFvuoph2iK1vsHf6JU5TVrhXk8ep/pFQc55vQNs3MkKZDhvErIehCd6tM/JU0VrLGbTikUt2cR
cuVnaJMjzYn8qARAZN1a/cxXTZUVrlWUoRPmrB6lDMW6j45R+gBkmgF7AvLDa3aPwjleC+8S+I9a
BlY5oConxn6H6TapCtx9k7Nu0GoVsbzy1oL47YqByrmT4HMN1V94sn2aCg04ujdKqmOylhOhtz4L
uKdfX5Y/yiX6MNoO6Wr9qRRTZQnzNtUpN1P701MmMnDivUtNNCEkGu+5LboBz5AzyiNzfC8b6/j/
0zn25Z+HF2tFukbiEhDUV3bG6xB/gbqmZutYZaGF8UnKK/3wGLgs51+A3Y/A6Z0Tp/Aju3ZYkBa0
ezXErKGMDnpNedpqpM+wQKqgojAUUZVFi41iGFAJK6Z7Rc5Fs+LyO980EFi5A8jkmHj7q+11bG58
WKvmoIVmrqVa09baiht71xpprp1K1ephkqA9xM3F6TiFznED5tjPa87WHQV7TbbZgXH8tiTPh+YW
zDlPswfxz+FckZ7QMhTHzGv40NnYBUK3t0V7Y34JxKHND0TlsNKB9hh6qgw1NDp/Uo14wlYw57o1
/zEmctuRbLPFixQQ9S4H7nOSIqc+xzE6M7ka2HGZz80cRf0YPgivqeiH12zZqVOnAOa8gbfUEihH
y55QGHG5NOyHYaqVEYTJo8FG1A9lLa5AVEpFL06YYMx2BSxZ9X2lQZsHBKRHidGnzyYeVyZjJtKm
3vkpOK/DBo0ykwUlTol34EQYcJ6mFQJjGC9rJg6CLSVpi92Gl+rfJcik128Ln+jCorhMf2nyf3BL
fF0Qzp7Ca5Ep5X68bPRhUj+LNC7vi7gy7YGq1AuUm8Sof1PDgIKc1Iej/ZcHma6UqrFIlOwVpVQ7
6VNcQw/Fgk5My/VEeBB5/7xpln68dfSTLSK3ev0eRyDpCk1mSJF3KEs4cc4XwmwZaJLW+lE34H5l
MaPYK7uX1k++em//KjAvP8b01o2TiQ3BBS2Dw94TgaxpUxPeL3ceZC/CynWdhJYbYZW3IvsukD2N
WhHL9BVUhTY9cRm2cAUo3CHpg6p28FPV3CaLAUMuJiIslrrkx7l0eEGVH/l7IqPqjhXhFyDPd5HH
nEqDo+LdZexgb/Oje71bVPNpFyAc3eGzr7yoPTJUdXik4+9KHZn2mvwOIb7Y65eA5/zS+IGDeTvB
/dREyiNUZRnfxpBr+UWunboTuPcKjZ6oCRiWTeaC+QVUISol+dniZH2DKo3ZPRqlmjnmn5A/m3ah
FOIdLA0iNKbWUABR7VmwF5wCaDWHRxzZSFQsKKWd
`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 10112)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 90256)
`protect data_block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`protect end_protected
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 13040)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \half_band_FIR_fir_compiler_v7_1__parameterized0\ is
port (
aresetn : in STD_LOGIC;
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
s_axis_data_tvalid : in STD_LOGIC;
s_axis_data_tready : out STD_LOGIC;
s_axis_data_tlast : in STD_LOGIC;
s_axis_data_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_data_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tlast : in STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_reload_tvalid : in STD_LOGIC;
s_axis_reload_tready : out STD_LOGIC;
s_axis_reload_tlast : in STD_LOGIC;
s_axis_reload_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 23 downto 0 );
event_s_data_tlast_missing : out STD_LOGIC;
event_s_data_tlast_unexpected : out STD_LOGIC;
event_s_data_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
event_s_reload_tlast_missing : out STD_LOGIC;
event_s_reload_tlast_unexpected : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "fir_compiler_v7_1";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "artix7";
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "./";
attribute C_COMPONENT_NAME : string;
attribute C_COMPONENT_NAME of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "half_band_FIR";
attribute C_COEF_FILE : string;
attribute C_COEF_FILE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "half_band_FIR.mif";
attribute C_COEF_FILE_LINES : integer;
attribute C_COEF_FILE_LINES of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 5;
attribute C_FILTER_TYPE : integer;
attribute C_FILTER_TYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 7;
attribute C_INTERP_RATE : integer;
attribute C_INTERP_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_DECIM_RATE : integer;
attribute C_DECIM_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2;
attribute C_ZERO_PACKING_FACTOR : integer;
attribute C_ZERO_PACKING_FACTOR of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_SYMMETRY : integer;
attribute C_SYMMETRY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_NUM_FILTS : integer;
attribute C_NUM_FILTS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_NUM_TAPS : integer;
attribute C_NUM_TAPS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 15;
attribute C_NUM_CHANNELS : integer;
attribute C_NUM_CHANNELS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_CHANNEL_PATTERN : string;
attribute C_CHANNEL_PATTERN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "fixed";
attribute C_ROUND_MODE : integer;
attribute C_ROUND_MODE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_COEF_RELOAD : integer;
attribute C_COEF_RELOAD of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_NUM_RELOAD_SLOTS : integer;
attribute C_NUM_RELOAD_SLOTS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_COL_MODE : integer;
attribute C_COL_MODE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_COL_PIPE_LEN : integer;
attribute C_COL_PIPE_LEN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 4;
attribute C_COL_CONFIG : string;
attribute C_COL_CONFIG of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "1";
attribute C_OPTIMIZATION : integer;
attribute C_OPTIMIZATION of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_DATA_PATH_WIDTHS : string;
attribute C_DATA_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22";
attribute C_DATA_IP_PATH_WIDTHS : string;
attribute C_DATA_IP_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22";
attribute C_DATA_PX_PATH_WIDTHS : string;
attribute C_DATA_PX_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22";
attribute C_DATA_WIDTH : integer;
attribute C_DATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 22;
attribute C_COEF_PATH_WIDTHS : string;
attribute C_COEF_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "15";
attribute C_COEF_WIDTH : integer;
attribute C_COEF_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 15;
attribute C_DATA_PATH_SRC : string;
attribute C_DATA_PATH_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0";
attribute C_COEF_PATH_SRC : string;
attribute C_COEF_PATH_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0";
attribute C_DATA_PATH_SIGN : string;
attribute C_DATA_PATH_SIGN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0";
attribute C_COEF_PATH_SIGN : string;
attribute C_COEF_PATH_SIGN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0";
attribute C_ACCUM_PATH_WIDTHS : string;
attribute C_ACCUM_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "38";
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 22;
attribute C_OUTPUT_PATH_WIDTHS : string;
attribute C_OUTPUT_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22";
attribute C_ACCUM_OP_PATH_WIDTHS : string;
attribute C_ACCUM_OP_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "38";
attribute C_EXT_MULT_CNFG : string;
attribute C_EXT_MULT_CNFG of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "none";
attribute C_DATA_PATH_PSAMP_SRC : string;
attribute C_DATA_PATH_PSAMP_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0";
attribute C_OP_PATH_PSAMP_SRC : string;
attribute C_OP_PATH_PSAMP_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0";
attribute C_NUM_MADDS : integer;
attribute C_NUM_MADDS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_OPT_MADDS : string;
attribute C_OPT_MADDS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "none";
attribute C_OVERSAMPLING_RATE : integer;
attribute C_OVERSAMPLING_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 5;
attribute C_INPUT_RATE : integer;
attribute C_INPUT_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 16;
attribute C_OUTPUT_RATE : integer;
attribute C_OUTPUT_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 32;
attribute C_DATA_MEMTYPE : integer;
attribute C_DATA_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_COEF_MEMTYPE : integer;
attribute C_COEF_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2;
attribute C_IPBUFF_MEMTYPE : integer;
attribute C_IPBUFF_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2;
attribute C_OPBUFF_MEMTYPE : integer;
attribute C_OPBUFF_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_DATAPATH_MEMTYPE : integer;
attribute C_DATAPATH_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2;
attribute C_MEM_ARRANGEMENT : integer;
attribute C_MEM_ARRANGEMENT of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_DATA_MEM_PACKING : integer;
attribute C_DATA_MEM_PACKING of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_COEF_MEM_PACKING : integer;
attribute C_COEF_MEM_PACKING of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_FILTS_PACKED : integer;
attribute C_FILTS_PACKED of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 42;
attribute C_HAS_ARESETn : integer;
attribute C_HAS_ARESETn of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_DATA_HAS_TLAST : integer;
attribute C_DATA_HAS_TLAST of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_S_DATA_HAS_FIFO : integer;
attribute C_S_DATA_HAS_FIFO of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_S_DATA_HAS_TUSER : integer;
attribute C_S_DATA_HAS_TUSER of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_S_DATA_TDATA_WIDTH : integer;
attribute C_S_DATA_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 24;
attribute C_S_DATA_TUSER_WIDTH : integer;
attribute C_S_DATA_TUSER_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_M_DATA_HAS_TREADY : integer;
attribute C_M_DATA_HAS_TREADY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 24;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_HAS_CONFIG_CHANNEL : integer;
attribute C_HAS_CONFIG_CHANNEL of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_CONFIG_SYNC_MODE : integer;
attribute C_CONFIG_SYNC_MODE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_CONFIG_PACKET_SIZE : integer;
attribute C_CONFIG_PACKET_SIZE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0;
attribute C_CONFIG_TDATA_WIDTH : integer;
attribute C_CONFIG_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute C_RELOAD_TDATA_WIDTH : integer;
attribute C_RELOAD_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "yes";
end \half_band_FIR_fir_compiler_v7_1__parameterized0\;
architecture STRUCTURE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ is
attribute C_ACCUM_OP_PATH_WIDTHS of i_synth : label is "38";
attribute C_ACCUM_PATH_WIDTHS of i_synth : label is "38";
attribute C_CHANNEL_PATTERN of i_synth : label is "fixed";
attribute C_COEF_FILE of i_synth : label is "half_band_FIR.mif";
attribute C_COEF_FILE_LINES of i_synth : label is 5;
attribute C_COEF_MEMTYPE of i_synth : label is 2;
attribute C_COEF_MEM_PACKING of i_synth : label is 0;
attribute C_COEF_PATH_SIGN of i_synth : label is "0";
attribute C_COEF_PATH_SRC of i_synth : label is "0";
attribute C_COEF_PATH_WIDTHS of i_synth : label is "15";
attribute C_COEF_RELOAD of i_synth : label is 0;
attribute C_COEF_WIDTH of i_synth : label is 15;
attribute C_COL_CONFIG of i_synth : label is "1";
attribute C_COL_MODE of i_synth : label is 1;
attribute C_COL_PIPE_LEN of i_synth : label is 4;
attribute C_COMPONENT_NAME of i_synth : label is "half_band_FIR";
attribute C_CONFIG_PACKET_SIZE of i_synth : label is 0;
attribute C_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_DATAPATH_MEMTYPE of i_synth : label is 2;
attribute C_DATA_HAS_TLAST of i_synth : label is 0;
attribute C_DATA_IP_PATH_WIDTHS of i_synth : label is "22";
attribute C_DATA_MEMTYPE of i_synth : label is 0;
attribute C_DATA_MEM_PACKING of i_synth : label is 0;
attribute C_DATA_PATH_PSAMP_SRC of i_synth : label is "0";
attribute C_DATA_PATH_SIGN of i_synth : label is "0";
attribute C_DATA_PATH_SRC of i_synth : label is "0";
attribute C_DATA_PATH_WIDTHS of i_synth : label is "22";
attribute C_DATA_PX_PATH_WIDTHS of i_synth : label is "22";
attribute C_DATA_WIDTH of i_synth : label is 22;
attribute C_DECIM_RATE of i_synth : label is 2;
attribute C_ELABORATION_DIR of i_synth : label is "./";
attribute C_EXT_MULT_CNFG of i_synth : label is "none";
attribute C_FILTER_TYPE of i_synth : label is 7;
attribute C_FILTS_PACKED of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETn of i_synth : label is 0;
attribute C_HAS_CONFIG_CHANNEL of i_synth : label is 0;
attribute C_INPUT_RATE of i_synth : label is 16;
attribute C_INTERP_RATE of i_synth : label is 1;
attribute C_IPBUFF_MEMTYPE of i_synth : label is 2;
attribute C_LATENCY of i_synth : label is 42;
attribute C_MEM_ARRANGEMENT of i_synth : label is 1;
attribute C_M_DATA_HAS_TREADY of i_synth : label is 0;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 24;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_NUM_CHANNELS of i_synth : label is 1;
attribute C_NUM_FILTS of i_synth : label is 1;
attribute C_NUM_MADDS of i_synth : label is 1;
attribute C_NUM_RELOAD_SLOTS of i_synth : label is 1;
attribute C_NUM_TAPS of i_synth : label is 15;
attribute C_OPBUFF_MEMTYPE of i_synth : label is 0;
attribute C_OPTIMIZATION of i_synth : label is 0;
attribute C_OPT_MADDS of i_synth : label is "none";
attribute C_OP_PATH_PSAMP_SRC of i_synth : label is "0";
attribute C_OUTPUT_PATH_WIDTHS of i_synth : label is "22";
attribute C_OUTPUT_RATE of i_synth : label is 32;
attribute C_OUTPUT_WIDTH of i_synth : label is 22;
attribute C_OVERSAMPLING_RATE of i_synth : label is 5;
attribute C_RELOAD_TDATA_WIDTH of i_synth : label is 1;
attribute C_ROUND_MODE of i_synth : label is 1;
attribute C_SYMMETRY of i_synth : label is 1;
attribute C_S_DATA_HAS_FIFO of i_synth : label is 1;
attribute C_S_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_S_DATA_TDATA_WIDTH of i_synth : label is 24;
attribute C_S_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_XDEVICEFAMILY of i_synth : label is "artix7";
attribute C_ZERO_PACKING_FACTOR of i_synth : label is 1;
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
attribute secure_extras : string;
attribute secure_extras of i_synth : label is "A";
begin
i_synth: entity work.\half_band_FIR_fir_compiler_v7_1_viv__parameterized0\
port map (
aclk => aclk,
aclken => aclken,
aresetn => aresetn,
event_s_config_tlast_missing => event_s_config_tlast_missing,
event_s_config_tlast_unexpected => event_s_config_tlast_unexpected,
event_s_data_chanid_incorrect => event_s_data_chanid_incorrect,
event_s_data_tlast_missing => event_s_data_tlast_missing,
event_s_data_tlast_unexpected => event_s_data_tlast_unexpected,
event_s_reload_tlast_missing => event_s_reload_tlast_missing,
event_s_reload_tlast_unexpected => event_s_reload_tlast_unexpected,
m_axis_data_tdata(23 downto 0) => m_axis_data_tdata(23 downto 0),
m_axis_data_tlast => m_axis_data_tlast,
m_axis_data_tready => m_axis_data_tready,
m_axis_data_tuser(0) => m_axis_data_tuser(0),
m_axis_data_tvalid => m_axis_data_tvalid,
s_axis_config_tdata(0) => s_axis_config_tdata(0),
s_axis_config_tlast => s_axis_config_tlast,
s_axis_config_tready => s_axis_config_tready,
s_axis_config_tvalid => s_axis_config_tvalid,
s_axis_data_tdata(23 downto 0) => s_axis_data_tdata(23 downto 0),
s_axis_data_tlast => s_axis_data_tlast,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tuser(0) => s_axis_data_tuser(0),
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_reload_tdata(0) => s_axis_reload_tdata(0),
s_axis_reload_tlast => s_axis_reload_tlast,
s_axis_reload_tready => s_axis_reload_tready,
s_axis_reload_tvalid => s_axis_reload_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity half_band_FIR is
port (
aclk : in STD_LOGIC;
s_axis_data_tvalid : in STD_LOGIC;
s_axis_data_tready : out STD_LOGIC;
s_axis_data_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of half_band_FIR : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of half_band_FIR : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of half_band_FIR : entity is "fir_compiler_v7_1,Vivado 2014.2";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of half_band_FIR : entity is "half_band_FIR,fir_compiler_v7_1,{}";
attribute core_generation_info : string;
attribute core_generation_info of half_band_FIR : entity is "half_band_FIR,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_COMPONENT_NAME=half_band_FIR,C_COEF_FILE=half_band_FIR.mif,C_COEF_FILE_LINES=5,C_FILTER_TYPE=7,C_INTERP_RATE=1,C_DECIM_RATE=2,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=15,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=1,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=1,C_OPTIMIZATION=0,C_DATA_PATH_WIDTHS=22,C_DATA_IP_PATH_WIDTHS=22,C_DATA_PX_PATH_WIDTHS=22,C_DATA_WIDTH=22,C_COEF_PATH_WIDTHS=15,C_COEF_WIDTH=15,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=38,C_OUTPUT_WIDTH=22,C_OUTPUT_PATH_WIDTHS=22,C_ACCUM_OP_PATH_WIDTHS=38,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=1,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=5,C_INPUT_RATE=16,C_OUTPUT_RATE=32,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=2,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=42,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=24,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=24,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}";
end half_band_FIR;
architecture STRUCTURE of half_band_FIR is
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_data_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_data_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_data_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_reload_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_reload_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_reload_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUM_OP_PATH_WIDTHS : string;
attribute C_ACCUM_OP_PATH_WIDTHS of U0 : label is "38";
attribute C_ACCUM_PATH_WIDTHS : string;
attribute C_ACCUM_PATH_WIDTHS of U0 : label is "38";
attribute C_CHANNEL_PATTERN : string;
attribute C_CHANNEL_PATTERN of U0 : label is "fixed";
attribute C_COEF_FILE : string;
attribute C_COEF_FILE of U0 : label is "half_band_FIR.mif";
attribute C_COEF_FILE_LINES : integer;
attribute C_COEF_FILE_LINES of U0 : label is 5;
attribute C_COEF_MEMTYPE : integer;
attribute C_COEF_MEMTYPE of U0 : label is 2;
attribute C_COEF_MEM_PACKING : integer;
attribute C_COEF_MEM_PACKING of U0 : label is 0;
attribute C_COEF_PATH_SIGN : string;
attribute C_COEF_PATH_SIGN of U0 : label is "0";
attribute C_COEF_PATH_SRC : string;
attribute C_COEF_PATH_SRC of U0 : label is "0";
attribute C_COEF_PATH_WIDTHS : string;
attribute C_COEF_PATH_WIDTHS of U0 : label is "15";
attribute C_COEF_RELOAD : integer;
attribute C_COEF_RELOAD of U0 : label is 0;
attribute C_COEF_WIDTH : integer;
attribute C_COEF_WIDTH of U0 : label is 15;
attribute C_COL_CONFIG : string;
attribute C_COL_CONFIG of U0 : label is "1";
attribute C_COL_MODE : integer;
attribute C_COL_MODE of U0 : label is 1;
attribute C_COL_PIPE_LEN : integer;
attribute C_COL_PIPE_LEN of U0 : label is 4;
attribute C_COMPONENT_NAME : string;
attribute C_COMPONENT_NAME of U0 : label is "half_band_FIR";
attribute C_CONFIG_PACKET_SIZE : integer;
attribute C_CONFIG_PACKET_SIZE of U0 : label is 0;
attribute C_CONFIG_SYNC_MODE : integer;
attribute C_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_CONFIG_TDATA_WIDTH : integer;
attribute C_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_DATAPATH_MEMTYPE : integer;
attribute C_DATAPATH_MEMTYPE of U0 : label is 2;
attribute C_DATA_HAS_TLAST : integer;
attribute C_DATA_HAS_TLAST of U0 : label is 0;
attribute C_DATA_IP_PATH_WIDTHS : string;
attribute C_DATA_IP_PATH_WIDTHS of U0 : label is "22";
attribute C_DATA_MEMTYPE : integer;
attribute C_DATA_MEMTYPE of U0 : label is 0;
attribute C_DATA_MEM_PACKING : integer;
attribute C_DATA_MEM_PACKING of U0 : label is 0;
attribute C_DATA_PATH_PSAMP_SRC : string;
attribute C_DATA_PATH_PSAMP_SRC of U0 : label is "0";
attribute C_DATA_PATH_SIGN : string;
attribute C_DATA_PATH_SIGN of U0 : label is "0";
attribute C_DATA_PATH_SRC : string;
attribute C_DATA_PATH_SRC of U0 : label is "0";
attribute C_DATA_PATH_WIDTHS : string;
attribute C_DATA_PATH_WIDTHS of U0 : label is "22";
attribute C_DATA_PX_PATH_WIDTHS : string;
attribute C_DATA_PX_PATH_WIDTHS of U0 : label is "22";
attribute C_DATA_WIDTH : integer;
attribute C_DATA_WIDTH of U0 : label is 22;
attribute C_DECIM_RATE : integer;
attribute C_DECIM_RATE of U0 : label is 2;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_EXT_MULT_CNFG : string;
attribute C_EXT_MULT_CNFG of U0 : label is "none";
attribute C_FILTER_TYPE : integer;
attribute C_FILTER_TYPE of U0 : label is 7;
attribute C_FILTS_PACKED : integer;
attribute C_FILTS_PACKED of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETn : integer;
attribute C_HAS_ARESETn of U0 : label is 0;
attribute C_HAS_CONFIG_CHANNEL : integer;
attribute C_HAS_CONFIG_CHANNEL of U0 : label is 0;
attribute C_INPUT_RATE : integer;
attribute C_INPUT_RATE of U0 : label is 16;
attribute C_INTERP_RATE : integer;
attribute C_INTERP_RATE of U0 : label is 1;
attribute C_IPBUFF_MEMTYPE : integer;
attribute C_IPBUFF_MEMTYPE of U0 : label is 2;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 42;
attribute C_MEM_ARRANGEMENT : integer;
attribute C_MEM_ARRANGEMENT of U0 : label is 1;
attribute C_M_DATA_HAS_TREADY : integer;
attribute C_M_DATA_HAS_TREADY of U0 : label is 0;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 24;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_NUM_CHANNELS : integer;
attribute C_NUM_CHANNELS of U0 : label is 1;
attribute C_NUM_FILTS : integer;
attribute C_NUM_FILTS of U0 : label is 1;
attribute C_NUM_MADDS : integer;
attribute C_NUM_MADDS of U0 : label is 1;
attribute C_NUM_RELOAD_SLOTS : integer;
attribute C_NUM_RELOAD_SLOTS of U0 : label is 1;
attribute C_NUM_TAPS : integer;
attribute C_NUM_TAPS of U0 : label is 15;
attribute C_OPBUFF_MEMTYPE : integer;
attribute C_OPBUFF_MEMTYPE of U0 : label is 0;
attribute C_OPTIMIZATION : integer;
attribute C_OPTIMIZATION of U0 : label is 0;
attribute C_OPT_MADDS : string;
attribute C_OPT_MADDS of U0 : label is "none";
attribute C_OP_PATH_PSAMP_SRC : string;
attribute C_OP_PATH_PSAMP_SRC of U0 : label is "0";
attribute C_OUTPUT_PATH_WIDTHS : string;
attribute C_OUTPUT_PATH_WIDTHS of U0 : label is "22";
attribute C_OUTPUT_RATE : integer;
attribute C_OUTPUT_RATE of U0 : label is 32;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 22;
attribute C_OVERSAMPLING_RATE : integer;
attribute C_OVERSAMPLING_RATE of U0 : label is 5;
attribute C_RELOAD_TDATA_WIDTH : integer;
attribute C_RELOAD_TDATA_WIDTH of U0 : label is 1;
attribute C_ROUND_MODE : integer;
attribute C_ROUND_MODE of U0 : label is 1;
attribute C_SYMMETRY : integer;
attribute C_SYMMETRY of U0 : label is 1;
attribute C_S_DATA_HAS_FIFO : integer;
attribute C_S_DATA_HAS_FIFO of U0 : label is 1;
attribute C_S_DATA_HAS_TUSER : integer;
attribute C_S_DATA_HAS_TUSER of U0 : label is 0;
attribute C_S_DATA_TDATA_WIDTH : integer;
attribute C_S_DATA_TDATA_WIDTH of U0 : label is 24;
attribute C_S_DATA_TUSER_WIDTH : integer;
attribute C_S_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute C_ZERO_PACKING_FACTOR : integer;
attribute C_ZERO_PACKING_FACTOR of U0 : label is 1;
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.\half_band_FIR_fir_compiler_v7_1__parameterized0\
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_data_chanid_incorrect => NLW_U0_event_s_data_chanid_incorrect_UNCONNECTED,
event_s_data_tlast_missing => NLW_U0_event_s_data_tlast_missing_UNCONNECTED,
event_s_data_tlast_unexpected => NLW_U0_event_s_data_tlast_unexpected_UNCONNECTED,
event_s_reload_tlast_missing => NLW_U0_event_s_reload_tlast_missing_UNCONNECTED,
event_s_reload_tlast_unexpected => NLW_U0_event_s_reload_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(23 downto 0) => m_axis_data_tdata(23 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '1',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_data_tdata(23 downto 0) => s_axis_data_tdata(23 downto 0),
s_axis_data_tlast => '0',
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tuser(0) => '0',
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_reload_tdata(0) => '0',
s_axis_reload_tlast => '0',
s_axis_reload_tready => NLW_U0_s_axis_reload_tready_UNCONNECTED,
s_axis_reload_tvalid => '0'
);
end STRUCTURE;
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/int_comb_stage_unfolded.vhd | 1 | 37452 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gP1Bu4dA0S9mdgrgtx9yJFWj9+XAaHZSccCJsWMCAXCJsAA0PUiZuxLF564RcZjdoHzyweWCcYZ4
JbTs8j7AyQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CQN6Zkth+TWvd44PPdEyAC1pnrLKeSt7I7CXykuYBxrVvZFOmcGVcS4imcXhjMq4kKHK2CwwrmZK
VdmHARVxk0JZQ62d61gXdf/EXJcLXtV7U+VVsJyXmfmY4caQDacYMmGNSmKs3Q8ByLwg5v2HcwHj
W53rqRe63Vd7WvNUNAE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QpM9umwLPZ/zaRSLkL9V5PgFe9s4uZtEkVX23GPlRyiBGwME3JN40Q5bItsLllBhG+QqAp6Dodpw
zajhlZt0O4pfCvzqDHIE0MGmnTwhSm8lyKnIv+pQJ0viWj8XQTzcn3Pl8vfnHvJRXNhMqqUM1ClM
YrnIYTNG/n1PuTmKGf5yTbUSya9RfjaMBX4AiYm1DWvj7qISAMumXztaOqCMYM+gJbM2iR+EioQk
qd4GgATgha1FZiX/SdrV0DaVaYSpxZjWAahkKm0cTZ9Rhgol5dIIjUWmTZIn5JDf9VJCz+EJHm9Z
PA2Ea7lCPeKqCtlc/HYNVt5xls5/lET9hBZvww==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tWrIIaOsvVxMUWwLlDi3uYAnKe7XS6oe0fV2SkNzNof/HLs06OpvFogWdDdUFTqCCjUj9P0Xnl+C
KqrmODJvc3d8SPt634z7/C+KsMago5tCRdEZIFhzuEl4Q17/0QCHLieDQV89sFopQ67TFaQuVELc
yINyvBRpThWviTl/bu8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
h+A63wGrXAvSpcMhf9OAdqqBj7IGkCXV/JhsOSibpMkJI5N1ldImrA+lwTUmGp+4r1O+ljDYXyws
uvOmWDoEi+K8WmPc5gvxpOi2gJjnhaHRICekBCIQviHTQ0FfqLYaZtayUoy1P6efsarqFGebD82I
KUOlgvjnF9th3JvILEyZvW+gvvuX1rln3u7+Grc5543eXtzmkunhQ5Dz/32ZgWt7OrmDLPCgqep4
sBMersq8WYPmqu4fwPzd87axItgM1x/qaP2dFVSMqqXaBQdjXD6W7y8vZiKvTW72P0iWQKUE+Ve7
XWGys6saSeNukFqeggwRFON/OrREcb05bcBEmg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25984)
`protect data_block
W+uZPmfaSC2qzKAMG8y0QcU7G6/+0x5Keu9Eo96cD4yuUmqB17W4//uc4DzSgNuKHYtBDNo7VRUz
PU94eClZ/gC07a2lCMOl2f/QG0ivHW8kU1WoYe9vbAXkkUipOka4ctY7VQnQ69pesllJMAv4iOEY
/syR+3/QHZGCaKpJeGZZDyuofcYBuYKtiVPDCa+PjpUAMUFCbxqoSyqiO733uU8jitfs914UuK7R
BH2BtpsrfFVPyyp0SWA/URbgvtxiQ8faCFRt7qj+n27KHVtrkIukNIlyDzi7y20X4qTkoCQ/A8Do
MBwqlZYjGnQaoSpNSRagZ4CPAsC0UsbsFAcM207zISbeXgT16i53XGEB0/OD5ZAmA3QSyM31IZao
i82NY2pToAO/Yh5Q7DdlBsI3GchE5kfJ0t5ahvLoMgV3DMqCfujWJH7xN7o1LceMeoLiHY/KI01V
ebV4Mkx9EAv+hdTyYPniAmVjA2vJqJuTc5VmCUtKRM6XafgEjZUUrhIuiL4mmTQP0b6v1E4E5lOo
w6CqD8XYPwj+pZr20yBx8+p3zIPsmmWYcPKQ/hkGR2ELIKgzR2DyX1QlZWy3M04kBh/oLmpo4F6N
k4u3g4aGzlrp9fDGSPEiY0vSijB0J5Lz6Vsi3ovEiLEvcmhunRXNQE4G4KZD4resFSqoQbjqQer9
bZqSpSf55cHC7ptwxVAojNZchuSdHBChx/6034yS8ztnAeVKBgwjXH9aJUMWPAY/D8fG3ONR9vC5
lqx8+gKD3y3hLzdu3LD9eiQAjBnb/tnzKfGardTxlRgIarKkx2x6XOrs3NJJ1DXUr+rXNNyTAgkT
sbX1UTS3Fqsdj/4hdMNpKPUbyY9Ax2hxOcYfwWP2zmTlT1qWZ2hVh5ZryfclbFhy4JcdMQPLambg
ncnL/xIKDGQcjR4hSSzHcyEagRwJb9W2eXFfxiPSWaOmGys2c1Lb1Txih22622QTsXpUePZDd9B0
z9GZx797wa4iE1ymOTYC5AP6vGW4gez/hPDcJW7jTtwsXEvX7fyRf5TwugRG4dMHR4oSkqB41vEj
7AoYNaA7czyw72JNIrbaUWfX/9wB+C+SXJRdr/S4XWud9rABvhy6Cg7dnwzjxUR5sj+k1AI6WcX7
INXEsWJAdHHu2itdp7BjYg3t5vLgd+nEW3q8IMeSND+wVYN2tQvYjL+CHIJD6yZ873hFozOn6iR8
TaqosO/HFzI8108XcTRk6BgS8W4/doBvguCNHc/Ryg6r2ykAB9RLaLLBuzQKbLZfXY9+PUvD4Acx
4fKt34A6eHfxoFsY+YvvTJCzPlcJXId9hZ7Df+egjFQxQ7Ygebfz9dzpGNvjQrLG6+oogmorU0cY
rJvzeJl1TMswOnuvPlGYDa5C2+sqn0OiL9qXCILZ+I7V2ihimIoUCVsPwjH3BBdupg7VIte4VffV
oJgBhiFq3x1paJHwSZ1geRM9DU6W1IuUm63Np5ynDoYAX+ujadcglSg1RboNuvwqfUGKrWkyp7RK
pxSJ3LA8gbqTkkZwTNlo9HRvNYrkvgUpmkJeWVetnjzv3ACyCqRkorpPt5J/STGtCotJQufP8dkV
M48m9nfvCP7uFaxnFZYf4WP3Or9NSFRDKxGh/h8/olcweD/Q8VnlXXWENVUaQdz325ZASBgvarta
nZvTtie9dCvHDXTGs50rJo3+AelGqWfqt5IzpOTaLtb6Sm9j8DiGbjtX4ZL5j2BERoicxHaM0iiJ
eFkxktoXCQ3CtIqszj5VieWkh9TyWe7uoIiFuFalF0GmI9fuNIR52DqUuGAECCsLSqdEUwxcSRPt
eGaE6pIkb6SdZQqvmnjWeyaVZNi9gcuTyIIWjE+nT8gAZQ875eqPvJ0Qg59fSSuSm8e6s+X4681Z
yXS2Vo+hEdxYtZQ/ynsB+f1k9ZZzoThyRAoRnrTL1AchAAAR0sIAVxa+IywLCleUJBdyR1DWuodF
J/1ypSunKi8x6tyemzeRKK8MeIga7cIyZvdwsbRAa0e9N38sjxpEEpSgqnwHAomDqOJap/pvqnJ/
D3vn/9LlFxJhlOV/hTEakKSx6Z9J6//qbtfeDp1viY7mqKjCYtN4vLArdkwKaNIOi70q0TphNNxT
6RMiRLifrMyZ2xaDpjpoRheG4IO+2JduLfRv0NSgpqvjzviOBBs8c67jKDfqDTz5NTzZdZuWh6Py
dWM7ViXLgjivvIPyRYS0L83Hmj4mmbE9RGsdsf5ACUxEaJCdAys2Pc1lv6+3l4DW6jrwJVBIESWY
pf0SY3mXCfbDpzwGMjeohiqiP6FyhXwlE1KdlzRLjQLaxGoQ7+nc77JuRUlykYR9Bsf5DqvamQS2
zzi45enmvFbMAYb7KEsLQB7qXIoh6gwyllKvgw6TwCBy93bzEhO2ioOUOBc4Az7xXx/IaXHIblHu
gpjh9ex8fB42RYnIayb/INmYTEBceAtWs9ctB6u0XhdX3wwJ/uAOI4K3hxXGb5z50vAzPm3559Po
lnCNapwu1lKPFp7enBtk/hY6s4cwUxi5FIVlkqvsAmY2UTKE1DagwqXb6e9NqvX/PprrQeH5j5e4
V8SpFOBAjFRRt5xc9pNTWlMKVVcDb0DzloyVLIgQGYTGNTD9Igv37WEUHtWk0QLMY8CTX79btHS5
MX9QlTnCUqHRj6Vzv/dzZ9EK/DCG/Enh1Or/DvO/g0MlqWY7c2gEv4Y+SSWFpMOn3RWYZLWAJ1ub
tvWavIs/xXu9EbE8p9yCdOoyVquPxKk/TLFxoFyeQnDaNL/ShC58Ldmmrc9og2b6RgIoCpPT46kw
egZQxagcmcM6rtsfhJEbODSKMKh1SrJ3pcPDEJZC5bLnFI4W5RWka5HRb83jSo/Fx05qPSj1p3b6
ywimMx1wFT05OLP02LjIUvaw08YOhodsdslUX03VvrFICAxNWDRSCjtkw24eNAkGzMF5ZDvv1qjM
SKi9akIGkyQsJpYEwguIGkuKWw/033mDbdljJziRSx5ao+0ifaBiQezZPAz+RFJwWyaTv6GO+IGd
I9BE94T0swtFyFHqMTTXvXfMridnBrF5p4rLdAuGE+i/E4WGdI/rMxPefOrpXGS3otdhFesaYUhR
EvBHM4QYiYqifaurKGpx4tLfAJdMr1BldPmcoZZhBfuHDDQ/zlNyDkNt0HH0J+MiOLvuC1R5JzKU
PMeANnb2GG+uiOlSmcD5TsI5de5fp0RmYvFQaaEkmM4Rw157lyBBqC3j0yH4ET52oFoBCAe5Q1Tj
Z0hkzLrE0xVUWoqaiTqRa+inrxJzlMSS1hZbIh9TXRjGfVgNNydRnK//6FIlQ1SdKsr5zt1P9ZJt
LSatCG8I0NR+7FmllbEb0FaWGqfo486WqGNzBm5ARZzp4F37FweCPg97UpSHEWI29WWv6EIrM54h
c6S5KvuPtxQDIlXoIYdJRo44LryiY3RmTipWE0JiTruCUrOdhakujGiFQmUzmyQiL9IJ1wT++Emg
GI0UFdBD8CQn494Fw5fUBaQnBR/xx+BKRZCeWHJYsey/6jwC0/8E9fqTYhop6GGbZPUkmWI0OKVJ
Tlbd7hoxkIOWKG/mdwQ7a+x27OlSamAkfvUa/ufrRvxc2+C0zYQatIdFKRaimwCvz0oG3HxsA2uD
C7zAX2f9MzA/WdDO1aR+3e8wFMiOAZDE62P8EyFW9wKFUIMqyV4SqssrIH5kewEfaB+3GK/WobOn
qnoFJVMKV4VyoRYJFtlBCTewkUDrOV9A9A1xrOyvDA7uNosNH2CZ5CXR1/AOKcSqZinoCMT0XfEX
CwVauFEtyM/ALj2zYe/+LpcWEO3J7ANWm6EUlUQwQHaRgxkX1FDq6XE0t5LcLgR7I9aYt4CtSDs9
v/bbCWowtF1QHp0NuyR0rWcRkeVk6iZdKTSvjKvwHmoFH+dNJQ5q+hf2mcWzfGvLB9qL/0Y6pj1z
5jdTuC5MP3QOrpB/oJ6TR7xulpUMKuO4oMNY5xxKiLFYA3pEyimnqRMHloK8H32lkGsaPkiBV8Yl
PTzsU2iHsJk3884Bf8Vcu3pZOllVkfZKqhBgCR+C+xQvImRr/VHR+DZNgiXXFQM9juG/+PqJN9+C
8BkX0ZKgz2dWLZGDrRJIOIg0QqlTmrKustZ54k4t0gifZmruG1xhfRvMsY3WNQIisqjgkvV63XzV
8lfhnPl/9TbFuDox21DJNUg/qKzjtQvkIJ2FjTkbkXcV9MZtzuTfMeO5fHZpYy5kAE5OjWOYWark
PpTDHAwJivLA/LUjsAj3RU0ul3rNzaDR+icyMsFj2SrTA8t/9fnRY7u317qz7d+k/118gRQEOIgL
U+j1G8PNqmMxwzjHYjhlznypBcsYP+QuvEqmP0b4lg3NoLNI9XiBSO+aD6rOUYsYPhRpgzEnVqiZ
8y/8Dk9drgl0E5u4VIAy+5NgGvbGFyxOUvYusimgPNHY2vwseAJumkoDv4Oop9ty6p7z7/M0oXAz
bA/d4zvrHgnu9chDgmoKqtjAej5kWGNMvfu4lfDvYx5vYLb8tDFyRQJ1d8mSNS7N4QIAc/QC1Iea
qPjNHwa1mucbkhCRx+PRvPfyh+GvUJcCR4dgb4f9B5Ag7/neNQPSbafDiSUZfPyNrS/lh98dw8Im
xFrT7rmSVwB8jpCjsnoRjeHj6EHQk0NE4fNzj58JiMtB4rIPLn+qHpvieZNlAe/4sqkhykMgq37k
opfbwYX9Z9Yy9bwHNTY5frNng1oW20tmmuI+kFrtQwnMivTuNQfsmHufjMeTxWjHnd4yoO/Tpcr1
kC5IqAZVJ8Z03wj/S11aiyqLA/Ddevgd28s0ObNK+LCGB9sFaM3mvB8/M6mQ+/oB9DolpIUdGozm
qnFm9wxyryu0AMjxuMVJvt2wl/uj1ITWBiTu7/QP4r28jTsPfIt2MrPhkM0Zng1RtQuB7iKbcURt
RGP82wUSimbp2EsYqFqnxo9JdJoYF8sfJaCEQlda5Jaa9BRPy25+pXdIvmBbbt1HrYcepoSVzI4P
pgnJDs/pKNi33aC9vee6+sAV1/InYjTZ26y51drpx7CB2S9eRXSO9DnLq/UCyTQPDxw/D1Ya4OXV
Mfi5qpL7jeI6xKpYX+mm3VAJoLTToZyf5TTlw7tr2a8sgv7uMfeRK4h6acR9djyQrGA+54F6FIUm
hNyW25Rlg+VUilOWloGWS563lxjtyJcCW3gAwhgd/W22FLX1RK/tcneRu9DgODLe49DeecYOxXjG
k8Sq9YK7alWF5i/pI9rA4G0KPOxCEEDRf/RcZlxChLZa+js4RfaxuAjZvOBJFiaXZnmZ3r+d9zcu
4LnOvj222CugiwWXKBW6O+e1V2t/5rCMQOzsuPR1JFf9ok5TuaTS0JNe8zSpj41fd2WN/ZNPrWN9
dpPxdQb6ROW6cDC8xMQZomOf4en25nY1ZUod2eZbAjj7HDjSdQD4ck5cS+xNCxxLBwIEnBSYd/8v
yzvsV3A4Kp8geCLLbOpf403yMCgvBolcKVzT6Fu20E71OQZw8H4/BhwecDxA4YPFYSwxyPi3Mu4l
lp8+62XTK572Y92aua6vAdL4Gd9jbftw6DYmKa+6MuOdB7yRINtN+GMAsFwGHLAR8S+fKOFnw/4t
BBzDWahwIRP+weXJj1WxMtRTSEDYMw+qZT7jMvpX+d+Fa43h+muZszhegYEauUe5OEly0K3n6v/R
EPzxxmEOYPqyZVc+huQ1zjj+Io50dkTijaW4y2mZS2eoPJ5GZ7AFN4YOcC2ggqAEyFlHp2M6o7tL
gFViF/na4XVLqEbBhnHtk/D34n2Lohgzy0ZF7iGGm8sAZMBW7QST5dKCk7J2eluUm9Jrgr92x+b1
kJhp5dlEPRxgmHP2YZYotVN3d51GEgTFpzOTg35at1++1O1VzoYxKfgnlso5HXkjh7DwAX79GqV8
YXl6tw4x0kxICLqLkB6Hs5Q7vNwF0bIMnFqE9kQfo/+WOTrd8l+UuXgE8U571F3mN6sLIVZHSniO
NokYa67FDYx7c4fZarpb1v4qsBhLQdDYk2bRdRwnTa004SoE1Po7rbn6YxvBYp4Beeaa4N1m2ffU
QDVfSCZ4WFHL2RgB9TcxILnEKknufNI8jrdcBGG8hj9Ty+zWf8Hvmz8rMYhHyCQI8vzGZYiJlq2m
qMWsYOoCkyDj39kDlHMaCRPAL21sCFCs288N2aycBWt8Y2ZGaJeclPaVCC4ZzGkUbNOMHwq91zsm
mcw/zCs2YR7L04cukMHtCh+qvrzLlQyFQsBNr0DfAKcvB09B/7CuoRsTUo1F0tza110/TZRuiHFv
0WBDY0aZvb4B1VBZvq0hkzEHupYgveV5KlYglWXP6CSwzxjmbwFfJgntcxdmNr3o4kNsM64bqJjt
FN8MxVxdFBigOi5dMEIck0v/dA0gSwLBlR/IEizcgOzF5BhOMK0W7sWZHoPHc0ltHOMmNhbHGLXl
pkrGPXTsk8NVRvC9ZfKDVtwmHpemPBc4URmG9m/ML3EYnQl63w/gSKAJ51wl8Mke/sQ5aBzLrMDp
9KQacCKaOWQuw3/XDSQncNREuxofgXKg4FCEFvxX0NJ+zu3UXq95d31hfGGX2ybfvVHrHA/TFQdx
ejtRBppm4lDHjaMi0PEGXh9E4xemEvustE7lzyjjUvCQFeQYHvUfitDfaFmd8mDYiAvmEXEO/LMs
LR2tjJPyyeIwamxTGKtUBOEQO3CbU2Re/Gq44Z1bpGQBrfYAoHPNZ0Qs0nDJoXgP8peCIWSX8KsK
XPriJFiURT1wah9xdff765V8OQ8av3zwzltGB6TowO+hHOgg40s2ERrI9PYwx3gTJqqNVXR1AFhk
fiMY6vkLZePd2RliVTI0kKAaDWYuEvdDHmAbDVXEIlGGWA9R2sCccZvzqbW+l4Y3RFWMjWZgS5Kl
WUJ323eIk6Gyv7YXTDpdYzk9PRHgeS1y1Z5+hjRgIgeTozmw2ubnA8h2CCzmanlyGsQGdsN7yIa+
S2IUmS+okrjnlshlU5XiEhQ4+fwWEKLGxo/EVxuZrLC4NYfCwHjcKbGbgEbHDoxUmUzrzaxEPeES
Fk8fz6Nc/RGdSYaBuYeadAwYG8Q9ZY6iIWVDGPHmfGdEAaLkGs1whEqKpeS6VgoiXeElEbBvPPfr
rJMMHAvYPefSr8ZOTb2H2lqBvBUUX3QlYvibrZ37HS0N2bE8vlkqbWKZbG42/2CzD/mVNtn26bgS
QVUJ/+42BPq6vpDhcYYrpX0InQxKUD1Qhhx2iQEJxCOxIQms1/02GXV0n3VYT5Ir1VTir38hnef8
RSi/L+v2OOg3te6izUTRfYf3OVP4xDSw11YjXbFXCOXsx13Kc/rZBpl5sFIgqGZFkV3P0ApO/rz2
hgAx+kpTel34nqZAYyTL+gYniQbT7nilgaxQCPw/mG5PeGByK6qVpVy8pprLMfHn9qhjdsmNqayu
zKZK6Ubs9fJoolK0ypANOB8mGQRVMLexgsjFhE5jSmbj5iYAR/f/9uFJbcmqPSRMiB5hgKH4yHiu
4duM2goWKZ/kJoqaFH0qj1+DJU2ngO1uUxUgrPE8pu5BnGuCjz8m1Fzdnwsb0JyrFEmkg9B2gunh
jwPUn+AJsEFbW1ui68KJE1ppCIoBImMzvk5HKZ0H9kLIY2HwvyMSON8JlPDrLxkJ01FENkfALO7c
ZCvrtSzUJeO46/1ytlfs9H/ivdz9oEEvnLjnhxBrqJ8igAOSyp1n99yBIBl4HjnQe6aKm3tGgBbd
GLxdtHK2EQahdkgjAXJYciyOWe7HILO4S11uaP36Gib9F1gfCwuSfImCV+gF3LKsJlUVdBoq+unu
5Ptv23rgLxtv14guswfbAL1QKINfCtNRYiXTauISMkJVpCR458yZnf03xJvCibVzDz6kgyCFYDqN
p9ST/h6iaXwEGxeJVaBH+tIuuIj8Not3ElhSMwWlN+IcPh6DLfwbosaQvetimBVkvLGd0uup1Ris
Ua89RKadRJn5mYacMuyRI8JhgnN5Gn0ia6oUZaddx4Cu8u+2id3LMrFlDaLnJZV321mh34z4wvYL
PcpiLVmePgTQMStpxmBM0PnmjkHiJDanXtVLT27pMDY8uwAu3LoHz3xKtfbUhWQIRfTgf1ADe56O
Uf47EJaM52MHWh5AnEZCDhYE1FR0uq4Z7H+nUVn3aJI6bS4Ew8SWD8Wh5vXCtvGvsPC4XDAwQ0j+
AZoUzSLy1toamHXtuewGMKsK+aBh8MOe6JOffbYql79QHVFqjak85rlqdlv6s1v3aoS/N2QNf/oU
U2khTrhkZOLb1QvOuWCLUb4eCU2sStGv3j6xs2OGmSLRc+tamfWd8kcWfOZnYiJRqNBHgbTvMVsf
OfB25Q9K7nVUK3bjgxaT1lDTl5PuRFxFP6wKlMEheeTohexXPOpGG0Gerug+eDj3v+NNUBgXcv9Z
kjwsi/2BuByVW6IVKJGgpmpWVYBlq3LPzJFLekOzlNcf6AqrnsvKSdQXCBpsagZ/hXj/+nm8dQV3
l/KRQLqZORRkieLKMwjN9dmiMa7LFrr1wQTuGq3/UHhBjlOLgx7Q7NJz0pFIsJyNi0ii329mptCw
sSC5Zs/eHXyVOPZyQH1ry5EBG8GaLbv+/dzIAANgzs/hzvtejXL2s1qz8/aV+kOuzhlkispWc/T3
wUFFx7wpVTVJuSFRJ6kL37biw5L/hHM71+xohGaO5ooZ9EzJq0aGkI3s37J9Kgq2BYaWYpel/jVn
NqPlRs+a9JmcabDVslf/5GpaA7Vw/4e3iYKlocgEPpVVHOuivl7YXrPF9hgJJnoSW8cAUQzQJHsb
ZhzhvPAOu8pZ1uaRv8GI8NvNh1mmHgyx1weDyP/YxDmPTYMrZm84oC4HlgHdWfdaiG7ocBCy6IwI
OCfWJrrI5JrpUH5qwFkl+Utr4HVlgf/+yRwHWOXvN77aNMYOo+BxAt7/Pl1asaLiU6yBdHKeGzGT
3LVcZBC6wWYkkCbXC+bXtfXQL2rRK+uNu9mH8QQkZlK0FD5VJmotlOaw4FWnTdkq4jPKZilMb87n
Uib/r/0cd5ydm8Wx3n+5krCB13YNgyu7RueUgXapLT1Xv1ixOG8i8sSpPSnVLOzGsgbfIgNMZGBS
jKKBC8gYy6F11wLdOij7hvN8xgcaWeh4mJVk42AF+B5TVfp/ipGf54ZFPEvynayjQSLesVY78wim
m50SqQf7TUWIZj4Qrdi8jSqEiZTQAtCl72+x7TrE9ppk8jziDtMX5M68fEI9BKOgWZ+PzDnRLNLW
V1Q4oxryDLsNmGepdDHOzod0Jnf457gyfJM2sfY+TUHe8eZ4/GjPtMW4VwOMvsXNUYhlqNz2m0A/
ZTxhP+V+4yQiDpdXs0xGXhEZ56WCWjx0+BxUc37XmZiU73G4oAlmhF5WSo/ObQw73PWz/5kh74re
03tFBTC6e5COrcNilRbmPNMKS5+ctVahDGNTzuedWCVqppQDpbNnbWcf4n+vtkxD96qaOYoSc913
uxbA6nlwDanOzuYf2B5VNCgVvoxUZzM5RLyjMNuQ2UgtHT6DLWk5EuVGgRp6NGsE0Gz34DACd1q5
kbhM/oh4cujVD4v8sIhV7cvn5m2JpQV1xG9MAZNfO4jerRcI1Zvrv8t/lh5oUqqKFA2QautB1XJO
JCkgofdx307gDAr9ujunE+hh0MbLsw4cfpfBGFJVUFRGSJZq6KRNczoDLVJc+bnwFmiBBTRygYVg
pQaJCxWTszNXM7aeJFw/cChFlwOHshXZn0sA6tYkFFiJ9RJ3wJvtXX0lYhcEvVgSovwmjiwVoB4t
K6XKkQaLPpp8XI3uksXeP7htj4Kg69SN3W+j2nhU9rBg68dWjR0LfEr1Y9yilt6SVq7EYGWweA3l
99l7OaEgr8tT1h3S47lIqG38thk0bLdFiJiyj1Z+C8b8zTT7i6wvLHtNDk8WBuxNpRy/OQk7ba5j
7YJ5R7aZm2ZOEhbRAVRBV55nFbSWVzz2gyDlgcZzWoeHMaEHPufGcoQgjM4cLSpbMk3WEXU0N1jn
R2EXTJfhHTlrjvZE2GU2J0dtw61N+tg7gCGiZsl3SYSwU5Cxj+5w2tVht9eyDNNbxMkP32nGu/97
6fQyj4+/TwYTk0H67V9urRMEyICetxdpShOB18Xz27iEHIxQUCgYwlr+jzYGe6t6PGOiSYCqV7sH
NoGL11tC4xPeMUigiH2YB22Wq116ghgaXGTq0Sz+yFaft9YhNtKjS4ZISsmD/V/WulrL3UT8zNQ6
DXk1AXNAkxIE/Mh/dtBpeujOoI2Bnf0W3MmI7QJI0awB4wuEYBCjWrdhx1jpnPfYjF8f90mBGFwO
Pug3MNhy2N0dcS6z0kQqZv5Dbb3twr3f838A4c+Nb6oRdHkUF+TQ3nG1xbj+/RKZctnOwL3nX9yl
zZIIWwsm3E9okb5xkGtNn41v+5dy7OED2dsqu/uMKaIhhtfbwP0jrZsop3Q5ObH3mKE5O4vgLYkM
x3ZoH+ddrLAQKkcHFZDqUzuknTmqn0CpbjZqq2Q8M+kl96n+MB3s1DvUH14f3Ryiyam8iBU1xlqh
7JphcakSqeNhxtY3Pe57dN8eRWe09PO8IebMfrBHGu4kupXqXJz1GoQMBHmlve9Pija7gs9H85r9
Xxww4TVZIfGK0nMSadrQ7Zt2rSvNdpUV0RCA1B/Zb1AUfLW/HPuJYFdH2iy14hX8izbRVNxwIw1P
eNripL+AKaR3nkdvH5CRFCDdSwz2+hm0l4RT9RFOOF6jorT+3tjrLog/937ACcoSzeOtJaKg9oEm
76Pn01NXBvt4YYoJLjoBH9tdf4blX1OSeTJxzzWoXlp3331TFMVsW+VbjhH4q3LjwprE/Q69zooB
FW96s/qmd3adzh5XO2UnkfKsl47R0gUZPn8AzOxTt73NGNkf2kiShjANmPMMD/zIqcqDAtVm6MP2
7DirYknEwDscyzUb0Ups7lpV9gfoDHuN+eOgGZIzMUE82P614UoIrimlP/9J9MuA5wUzhXoTAPa3
MedsXfbN6Sm6rNoPpFW0NeIFFfmjDm8tNDdvV9awLbev8ySLhieGKI0eJuEqaxXcX8fLbwUXvfTN
rCbdvPl9M7BxoHui/7bOd2JRLNoX/3wrEY0NKdKjjo9wPNtLK0H4nIA2hyOcLWAUzRm7Ji6VTV23
bn/wmetvP/0zn+7AHuLQxikAKtYwGvDVbLQ3EBmpOiMfbRUleZ4I0M7KheF0FYDsuAgjxRxnFrj8
wYcy0U7HI3awHu9xueGybMQLM3AHHF7hky3DtoEDwXxWbBjwwPjiv5PYwGmK7o3PLYkjw2JQPYrm
9C6mg+clOnTC3c1iGO0qx7Ql7qtaxUk5DNET6igrB0v2ru66wiYMlPo4DZDzVB1D6EUyvwEQ/u6o
GepkgmvKcGydQcGM2NiEwzUslXbdTp8p48b4poCY12rtueokfx45izjq+2Bsh+YbmH21QrRaMmip
5lFDjxk7ooJS28R2plopTH46KCBbFLY+c1lS9anK9tPoqf/+NX1zC4dNAsFDfljkSb8LfMtUbK9r
quEHzgQcQF4lLQnJ0ZxVCFvaQpnaqi/TEXoFEOCCUCNeu0XLRbIJ7X6VlvssdawixFOOZRULVSFM
wIsvyM9lt7wlHnS4UOynA0yJ1NHsNqzrF7AJMYVbJshkf0sKyNxFxPjVDaP7O23123i23+BiDSNV
UuUGUPPmKGK20FGtjaD0pkEeTKTbSSx5FT2hwiKz7I1H7xzP9Ps7Jrlwv9x49SWmG8fEZkvkNz34
BeKhTG0jlir8qYhCb2iwW9ZV7Q1ITmulb7gPxve0PoB56PylVRwGOrEXLHFE4QFdNs0KfNKAYWHE
U379fSLbeKn7bWC/6QaoxA3R1aReE0ZnFrR64WDy+Et6ErIR3L1Fl1Mt1rVR7VyuhnAsWxLCwObt
wDzUaQkKWkNh0w46sst3LJ8sAura+i70CEbllP7eYBIcS3hx5bpC2+ADCWLKSOe4Z00X3gfp2CB7
tOUySSkMJ+RGiT2ek/z6SzgBGSyNIs9LiGKJtUi8PWqSLvOVnLAmI2paXbvlhY1IAwfjbwKVx8op
U4jBta8NWdj+rW3MecdMwR3HJ8HvvbBPR7LrbhEF1vlODYcZjdkHhky8+73D6kJDKFA35ZIlyXE0
30083441nRmwfVKnzFUsyCqN/UCQxSBnXZNRR8agU5JlxDcT6JEBkyj563DPPcqfENeO1ns67SLm
iWIDCahq2DfJZ5qXoeHjyse1eJSUzryKfX7R1aksgDTxVqkeb1x8q0QJD5vDShLR6vhmsvdA6pVC
q4A5O0WufhpCv340/QSNd9OFuiT5o3OSWDZbvBurFTTyNGzKQTYFxHcN5oAdj7ydQricxc+PEWA5
+ANxelspI5xnTJU4GdnshCJIvf0Ifz0Cya4BoII6+HGaprvywS2uzgdA9HbEvpyk4unep9n2aGqM
bSGpm/45ynvGGW34AK4VGYHSC/dmJuJ2WCrFwBOAi/ApCzmz5s1BZN6PiaMWZFzCYTeYNZwuqHx8
DyW56T+tFu0suMXeixXjlEkRQhd2HRl1fTkUmdDx7aefnH0D55Lmq44u5GlEidMg6ajXwCzecmmn
my4Ne4yRk5Om704vS/cbLsuVw9b5Rhdvhb1ozZXZz7jqivXwkXZwEhF3K28KJeBM6F61dL9Vj9EB
7ubRGOqMkj9qfaVQjQzPpy6SdJDu0fqYIvQf0ZFNLuRtH8+T7dpJD5XVNVaeTTyEBC6cHM79RhgC
ZJaP5Xeu8UuBjRJAphx5AZ5R8nCyJf3ewljz1okTwwX/n6FT2iVyWqn1JJ5XlkvvAA9uPjiU7feG
quT83GsMucXeE5z87xH+mPpLdNaQ681KJSKcAWODl5J8AnoHrt9vz6Y4FuKk/CbxHyh6f9a03WAy
ylWCHSEH55AWz440tj8+Iv0puOuKGmydg1d999nDlGi2+NZKzZ8lnG1sF043rifKrnSMeChke552
RUgHwebbdzcxkPtDqvPCol/OgZ9ZrA+vzl2DBTvmXO/l+fwx/XcZVv9eSLDrkMbY2ox3Z35kydNW
jYdihsH8bQ5J8yQMYky/zirh+MZP8JK4dxD30aS5UYRViR7aDVdnAB7gMQ7fe0OsR6zCclh4KASy
4+tQmOjJ/ckQ9gbeOJ/5refRE7fqTnVdUTgvgMOZniu6gdHs8OrnX3nxw2If+7vt2klr7ov7adQD
ru3l+kaEF5rwVRp69DJo4AlU0oul/wUQnDUwp7dbppPCgHZgoY13pFN3YdhjP+PS2TIYUwZQm2y7
ZiGk7+4qF1p7MqjPU1JDIHuRZqRUQsN6g0ZjPs1/JPNxsaT+9lNRrLch73AOOiX/zVqbcH9NNafw
NkkaF5Bn4ROx/f1bQBwaTLr20ZOKHOgHvwCiKti8CsstwsDmbxpJQcJ0rAPWbLlq/azfJ//+A/LZ
INeAQ3IgWjMQ13SMOtyjwvKC9df8No499qQPOcjtevNew0knooGrTdpVl4HBtPrq6ApbC4M7LuEM
k1X7FH+ITLMCWyz/mgwEWLRLxLu3FNJPNDojqLrGWj5e6OTGC/FqQn/B+fXrt24RZs5EDJDrV46b
v/3pg2ZRdPWr/4eRsVJC6j6cZLxZW/zsD0C6wwzK3BsYW3ShI7w1SKReYJ6ey+df1sFF+1W6DSMa
t2Uz/2ysov7MP5JaHyHgkv2o0FDAVNpOpuT7t8jmCGv057K1caCtlO5ZzztC3EN0NBrDFy03Oae1
3XC+HIV23BC2m60h2RxGBVCKtU2QPAW3zwFn+6cSuFNB0Sra9x4yGWwHsq3DDYz+jOMISgRBMMPS
9OdyoqZtOaY/tEStnt+MQ+zxRS6YC2uFWm9pva6K8hxcBa2KPlk2wI0f3FdgklGMD6hXmpy42KzG
lCQ3lK0AcFxo1UMvZSWKb6UfK41LMrOysUlFSRrfZXPiDjcHpq+r2hPAANIM5wiUOhqpirTT70/u
gWDU87lMpIGApoSrm44T07vqzQqzOEBsHPOzNdvtXwNBY/fPHuD/QvT3EIKwbtuEZxhcLDoIhEIg
dtL4r6BD5gCc/dUi1ZqNGvOioIkvgYj0yXIqSbP7ZaN9lB0mePlym9WMcxfxLoTkFQzYv814cBoW
Q+lVR2SUjk517PRLyuMQp9ujXPqwYkQu7NafY8p00upDqYC9WtITUwG14Z2TDN91BTBvo7jIbWAH
vQdANj3Qymh+/astli6b0ZLyvmlJAYyfHNX/V99wevxEqHvzcNHl/m2w+5w4aKGy9C47cM6BoVaY
lFhtjbgxJ/g1ipUg4snpjT1LdJJ+2sd9y/4af21FRU4uZL3XZQXb9ZowgMMOv9rO9Hoa1USe7WTV
chx5qpNesoOht7O9Ia9AakasUiWrtzA9BryWAv0arVKKWZvGkZwlVoWKscWtWQT5JMSpH+oeS2wC
zdhwtTAnkL3nMHZt/C03JIHIcoof3m2JcwlIGyf7M1AZiE+jXnBw8vCvnsXkMvTC4TzqUfwJOuRC
qFYEW6icd0+YVmnrLo7nZGLx5hoMRFkOW44dWFr0Vb8iRlwTt+mywajZ2I9PVhSMp16O/7GX2wIG
IH511hIixoBhW+UJbZM46I4CZ6aryJ7XXSYIeEQFknG/719l3ac4FlxM0AYVn+0MmiYpQUVC6Eyw
PPR5kVBNKNX2dmCkqS9bovz2FzOPVNMOxi8nS1x8RPpJb4+WGQMtAShdSgNhOG8gGMORFvBGAFZP
3sc0LJeyCZpKrcLqQQkX3egu6Y4wLeHMsp+SyGHK7jyrBss1+31gM8BmZfRjJt/n8NodBpsZQI+v
6JOlWabA8RvQnSSjsjO+Yb7DyaXsemDEDZx68TUER5wG0DYLc2FpSPcM1QW+S9G79gNKh0LTl60C
GbZu9u3YBGEilb+M8P8x+YMsS/trNxCCyTW7AD3fRkKHHoYGkS6goZQSXYWUMAmZH9nONCv62yOW
eDVGgQgB/PrDOcIpKwkAQjAz9oR+KeSaD2Cljkv5wRa2EDwLBCxCgbOY2lG/LJ+RbqFAXmwFFw2r
5qz28WAGJa0l6w6S9tqCs/aqYCtjWW7mdZz+LmJSvhiOqx2tOqa2ZsasoWCBZChbIgC5F8X8137/
4SVnuKHk+Zz65gbBlQyCb84gLzTMf2/KcXFUPm7Zvaq4+EdZkSw7+poq2UCnaURRzyNML8KsJOpk
AG3RLoL/We8RfYdj8Fd5gycepPpC2Hi4ZzZgHN+Tn5IDujRkn06PkeQVCGWmWLabCZsxWdGJqGf2
4wTe3HyljGzwA0/OBAMoFQp9R0Ni24ygbD0Mp7vAzJ66El1QWsaO9WCTRqMdjxCIQtOGQsRj+w39
kZr3bkRlaxlihnQ/GBmmVN84CdCpAX41Ka/978qDgURPlxY6SZE0pIb9mO/BOIiZvkh6DDiXtwVI
BUZO5ojQ158QOd33fzL3MuhM0QlqfALcRjpWjaDJFYMxkt33NZrhEazZp8WfBW6LSu/ZdXS7sYLk
H47paFJ1doSoG0zO/u67lboWCJyQyOatzBBGjbNZ1JwDZTceZP3VCCWGihrzhTxwrALyb8UZ93hZ
BedZ5J/45exyXeXWxM8bS263lbbTrAYc3lA37tCq1K36DaleJAaN4S7X4BaYFuo0j8XQrlBaIzjY
fcz1l40NffFJzM50uWg+BA095Kiy2d0C6xKxAc7sGEIVW010AV7PGFJ8ugoes3Xvk+ZhO/pl5L2x
ACjYw08ZXNF3JhRor/sGOFOSiq0EcMAQ3vMoGeO79Tfow4j6kPvbFVtdmFgiOQXd+wNjIRbd8U6d
Hfd1qvBp8fUHgNaxE9OxWExXUrkoYyvjJlUGgSjmAFsu+/rj+Ql/CJjnS6CJbbAvDRYrdaNBu4Cz
1wgMf+JDQuVMomu1qNjDwGZkSRkP6Riom90hB6uI4B8U1iW9/XGnWi3a7eejnjE2kWCSh+fyzTK+
teUnHzl41AYyhqmQgC/wVuIdLkm/7J7guzY9uwx1ljtuOdepk/BY9/RmM/X5HsFP+kGzsABMc3+p
dd40lx7KZw8uH2/WWH27QIab0IEhdZ9iQq0GP2ARQk694ry+E1ugga7EoV6RRWVH5usqIqfdzML+
qf0as3X/ffHZknhx1tAqSKJON1xjmnDmASu9xI296U2qVFHHmt+JpzDi8YA32kFXk3181avxZs8b
NwLMWSHeyw0nNwgj0D5efwDBZw9QxOKOCUGO7+IeXhI3uDPU9BGwfWLqQ8x1akRVjTuligSDvrcv
wvUcZJtPD+xv0wrbyLyEjx6qcAxlTXymvZ43s2fI7YiYfXqi4NMFo/4Kv+NydQVNi4bLECNNW2wV
ybeRnWmTp/z2k+WCiyhvY5wS7rXVARarPqJ21zNRT9CMMYxqokDRxuoZEeYB3rtnbi38GPNiKxQc
IRNIcJlzpXXfpfCo87ziGPMWHI1cuKE++iE7BdSZFKJY2agIWBaIf45vbYoLmAPGqvXUw3DTL3Fk
eriw9ReF42pnBMC39dVh8EYOlb6nNXpc6sZnZcdomVozH3UxEsI3m2R/qyLDQhZnjNuWSIUVGoBf
UGI0qN0bnOIwOrrPDN4rk6oyuNGfSJFgHuTRUi1nR0ofrC+b3TD57vSDOjY5oXi2mGMZfQaaAPK3
0MAbc0qMAmNqkXzXwTf10JZxTg53AjGYweKxHxsqWXLyuRUJCoJJ4j9agWVPBL/byZLarHm3VUAI
Pt2s2aGDFH2C7HGoONSSp5tDYjRwaNwXoNsVYmahdC1gUpOoNUL6W5crefDRYGn1qjRTbDMrRVph
hiur9RVixcNL63Lu2hrcSxHW9AMRMIIVw4JY1RvPWVA9u9e7EKSsT37WuZRQEcq+DdC0xCqz6jUO
yW94e2gB8KVKSX0WgPLLpzl2U271k0xP/KbtyxSUx/oW3TNbmxXOV5o17YCEO5chs210MZUuGJXU
1LfFOiZOPmgEsnYGq/N79wQmA3BpkGokp1sS2T4LMpRKust7tIuZRtnpDNIhR+DVcV8o3WsKa2FO
UNGQQDVLKNvINff3iN7TmAYBBD1gNuQm1mS95/2U9Lqvm2GZl36n3E0FJfdopuwRUyKqbt68Udk6
8+elQB8HW38ejwK2O8Y4vr4HntHbV6Qy3vl0bv30fRPbTntdgcjdBU1DqTt6OKs+oZlihBSbEdqw
M6yhjJTjj7G0ZkUDNsZUekdHLyf9kP6Tsx51ruFpg/sNvXF7oPBZ+p+XasHY5k60mUOgUsB9XiqU
2S8TK4QFqWANR9lAkLSDYRopEkSNiUQRtBTJhQGaoTkedXxxlMwbfMH6hl6ztfqIXSWK7X1YJlYg
qT0S6zXRqSqjuflAF/eJxhX89j6/ZYCLJ287WbRPc4IEMCQKBqtfllwGG7GSQguWOQZNcrF1VfJD
G8W2/dtGp2gz3Au3OFfFPodMQKn+48ytRFfeBUm5uKqEI8sqE0+XqPytHHxYoTHHQhrMJAnDqFsS
0CdTCxsy9zfF/8UXhJ+tnnTTLGOA7vIS2c7rWF93Dx6dgMUpPlWXgbI5A7afrWCABf5GqzEDRcgL
pAMJ0RL3pR1nUStE2gGEJ1sL+k9B5FKklAGYPYyMmy5qT6z4fqybAOoRU2sYBioAsy+PYNG1EYyU
UW9vzFSjjhF0sUHiXIgngK97q1qMQxCGiQfZxVhBSIthJqrqNjFcqyOZ4Jmatn/IgXkL+lQPe0Qu
PYhiJwZBhHp1N1jV6qNQSTa6ZWuWqDCfWTP/dA4N/Pzrjj2i6ljcIr7bif9OpVBq4398WQ9+F0/8
lh8GQdE6jxkt+NAUOfKI3nKn79BHWnyrzcjq+yXGTtThTwgtMk7171xxuwtw9vd6abUjPQIx0Pos
AoLQzlDBkpmlxUiwMwzGtkd24uaWjDvcmbaEds/s6O/7gwONZAQfmHslcGHRFz38v+AHpcyyv9Zs
qT+YvWvdXrARkoBCpLMoOSjp6B0VarD2Zw4Q+HpEuNOJiRsIVomw9qbVQPzbAGDLDULzkyabffp0
74zICQpC2KIjFArelJ4MK2P85Bj1mV1ikpmW7P2WBxmvtg/vNOdG8kJF+4N32gubITBxD+YwpzQr
bKDx1/yJhp135dgEJAEu/+tg4HLG4ydteFcwhetU0CA2JVfHdnyOaPvUr9gvhEw8/fiUSc2BppQ2
9gBmag2O6fyjNcBjq1e0N+TXi7uNaTMzDm1lxJpqiOKHFpsvPbhUSKVOmn08rJUcqQ8E0buCz0tY
QVB/EZUGtgWj9YteYDrv3/lxNkcIzANE/k6wO9gTbr4ODiJtOMwQow1ZfrxWG/bEfqIXa7qSdinz
FbpbQAiAJjYVHznE0XsJLWvK6UrEsQPOCWu2rUsjzd1zhlA9gUlzp5QvbXgqkbc3EKemQXixjpoR
2k4jMXsEQHq6plClxWbO9UoKDeha1mGqnye7wAOL25vvpS3uUw1Z/DrbbjbjuWtp8eNy9S7tI5/M
H/uCksCSkfUTFSk41r6Z6BP4zdYIb3Q+koFI6KQMHFgnWlh8C9NETLeWkESU3Uc1zHdN9zutd7fE
zauVizWO+oz+yCuGOPnaP3eVzctJ4F/TCwp0HE68wIhOuS98SRA6ImO1ECjwgeU+Qc9b3DypX0C/
mB5Uk1SbUa8ZLtFAImJ8vEyfwIxRV9oP0TrKoNYGgsCIN07zeZtGqoez8MXjYJDaRjafw987v0le
RbozJ4/FeFz4HriOeqb6E1E3ZXw18mT0Hrwc1lOCvwkEo7yXLmNnmlYaCuhNqNm93w9zCKPV66to
BoUX2gg5hlS28M53PX8YapBecYDPzcyOpPrO5hIRE/cLq4Eh4UjzV9DrOl3GZoDf/KnOOYFRAshv
bQRIF/HDRzvNfuFkm+HkriAwSXHN+3EnKYcmfud4o7JL3pIWzY2nOlzYjXgQJy5i3y83o7e8VEVg
sD/588MZ14J2ov2G0Oa3QnX11BYFaWb4r+ritAdzOjgNFwskXkEWewWceU5eJTlhauazMe/EF+XS
mL5Y01kqTMZJ7tNEgu6mqDJzTxSim2gga8SV+R3gbGSuGodWnmAgurN+BNK2mUI5LpRMVorMOgDM
SfNDMldZHxwlJ1B6c0bLmxldLGSwbr1VYo1RBn3furxEW8AYq0OIMGtsIF63uUPuYBJw4/5wuuba
E7Q+XrCOtWkbnGa8ow6XSgXaTutRXfaWMzZtq3SOOhc0US05gg7B1oUQdM7s5/QUsRKow1THIEJA
OcpgDODp1f9OHXFM3dAoHrWQzyBVfsJKPTJj7D/Zcgm5asn9zmm2KF9zzti1271W2lmBfVEux6iq
tLEnniscuQFrIqn8jttKNRoUHdkLnsp1FMI/rLcES9fFuZlevxsjehKUR3nOElqAXJcNaGfTtY8X
9h2yFYKFsKGt7eTs+FHA9ZEmJQtZ+rSjiDrYr6W+QhLkUeXUiFGCP4E5y+PDHfE5J1KPsD6tPpj4
Bj0wCH6apb0w6iYMQwTFLqv/jeYCdZk1D6OuPrn11QulRYp1GgRN/kyKxvoei4dG72twsfNGZYKY
+01ypPIat10EwcPVo6GpWUo/l1ScXHEJS/7CUauOxC3PZknNXJWKqTSedeAS6wfEIWoyZpP+DBx3
Eg6KwVTqns1Ctg4HfQ1Q55HX48aLDcX99+kXuEgWRwyKJ53y69q/xnfhNjgMJbIcRQxI2ZrGVPgs
dpRijZETO/HABlqoIWusm7BS93CI9k3K7sfUgTZf49Ng54fxkj+pp11bzADWHvqfbzKip/1LmLKu
vChFwg57n33L4IxBzt4CvqIRP24L5Ba6szp8SktCWANiDlKfCWkWLgRorAnR5MaF97Yk8+J7VWHL
fEhu+r3q8gkhJVLLCrNcn8+ZyvwKJUKo5CxYMHfZYRzsNMZxFx4kugVEJr35ELRqZ+hkrJQrtULX
XlkZkIV55lZMmgMdkRxj1k5PiUm0Cdcnx4+kFJUK/iXD3mQNkG5URZTllHc4hsjorGMwEpxW7dIB
yu6hm5plzoIOnZ3ixjEFsSc5ArO+GaSVe2fXb8ziKJysukUkJ5OuIWrIHAh0yfneK3sEd1fGxg+o
67BYtbb1mxFziOEov1uak7frhDCoQYc71WcFf0cguxYzu1ne7Zz1Tfh+eJ4YcFj65+BROgIfHiuX
XmvZ1KAVsxoRFsz10BR17rcn8mA0vZWetelUf1qINl0rjMOY0VEIwOCbtSuOWmMcXeY3FiT8aUCI
ACwKSsQfZ/5mfJeF5LGE9iTfNYKrcbaTYPAKS40pbSJINCpjXd5xbUHu7uln4zaYhaTxqbyElCkk
uDzArwEatXF8gn1PCEwlpT+9myhlTP166fAOPxWeNzP/+XP9YpTGH8OfgGMi71zd1wJmmZV6jFYr
syUc7/ZLd3azXmhwn0RUMxEr6jeOQMn7b2fJuyhLJ7lbMnZyij/2GPO2K6CPb9NtM0CFn42qSdmx
gwNrum72gPvswjLw2o9yh7kjHQaaJ2xhNSRwIZQ4jcLKbTC9WtCe2m+xAV4NLThUQDZPSVAPICQC
v0kJDobidqUAaHtPbQ6gRB0nVBlG9jvCcDTPTJnUfglrFTjhcTG5dgHZudOW6p1L42URqMNdy/1k
cD9SUJX0USGD8z4NIqdyWqOt74TV0gbnbj/QXzfgkCbd5a+Xx1gt0Fd/kCE3oNzERw1sMIOpGiih
xVs2mQXh5q0FrP8u5EFjmfBCCp12bpx8nF8YaiFH7NMGtZmd0fkJCsHtNSfX8oEqfTMlLLt0X2Mb
bMKdfba8AnZQxsZpWNud6f4aDrPciA/ITOHBj7TAB0fw82A/BEKDjDm0sk7RZU3oXtepRfjIZM7r
CCm2M2CA0deinCT2G7tBU8rLI6CULihZX7brXHj2hH/1OHQBNuE9TWsYHn6mgrnYNocz0XDisoGB
cznGgnhbS1/IbYBRf7OVrsOSgji6dNCAG/ywvESW8Jm/aghb9L0YTVryuO01egzljZyzaEJaCXfT
Nvki4hZmnvNiAz8kxNXziCNbde5LqzAJQMP4rtX75rUeCiGbONqnvzMNX3UzCPppGqUAwb5qOR5n
uWOjqy8lbjq/IrOI9pwJvQWZIe2YRsVkTgQO1yPcPOt2ivoqdUNoaV9HAxXyOrMDxp4qvwzL5TUl
nH9Gf1qijiOybE54pwSNvtg8o9n9p7Atp/eqOUCUPFWQJxLRG6r2AMVFDaPCUuynvLsvr27nWS75
mFWh8PYfBSjSzDe07IXL0ql3GUGGwCBDfqQNs+EucWKuvAa9Pz8JYax/avFhykKVIQvTpMy+Z31G
/puwzpG3c40hOPpRh2OyKPbCLUUfPm40d/MBQW8/THxl2FgFdYOQWQTcz/c/0Nyy+C5TAS+Vyml3
avEyCf76JT3eKKywq6SaE5be1lOj5ft544hDfxuEFRNfPtc0ZougMR9t06/LbHVhtNAdYv5ICLhv
M9pKx8o2I5HuMSd1IordbVq5hMQ5IKXForb0llCZIsIA93EPKgbTBdewGeJR59jnHcUNUfr71S2d
bEBItdNS7gpGzWIrJcAZAiD3bEub6iuRUPui3m+/Y1W1PmGmJZz5pFSy88nSJklzyY6ZtMoFdX5T
caNPL/AdoPOqGwys4Hbs0TqtxXZEajruLu9HEaVcLqjGdCooRUGqp66U09RyJCgKk7vwLSpbt2ci
7DuKX5LBNlyssVtCWHPbS+HR7UUkxim/7aVCp2f5+L4YhFscTirMPHuTsk751xwcZVG+tQ0t4SD1
XBqHmAzZRcn1BeP2BmC752PCG0mtzEFELo0vswkivBOHzjUKERLF3S9ZALdaHfYXAmlYWHAoTvdY
RtAMS9U0QxmU6lvp4/icNsQ4QazEiVbP0DGsBs82X78SWA5pQ50CvYffIOdVE8Ga48ozS1qnmQKJ
nFC1xMfvFVQKnMV0sECy8dIEWZJfCfTN1mWXYYbYJqOLPuGN3nQWv/uJTszUo0zHYJJizGe6MkVO
hA1YOw3otmnQcDO/QIHflHsxd/SI6/2lMhByp1ByktBvfItIqeWHL7V9bS/6y0Qm/5HqhGIT9WuB
oWlZ2BMeqv+5ykIwbLzaXDjjrNs0mD1rzYpCHqZ74eHB0HpHuhSDCgca0o4xICUtaLk17PTemHpk
BT/cCDT2Y21RhE9aCb7G7M50wrdFdZkbdB/2CpAd42e+58v7lWKwdds7D7j8itLApATgmwtN5cEa
YJcRAwstY/eiT6EYKqJzihWPPGpo6hEYH70CvcGHgTYQi5/axzXukWUu4jXkP2qt9mRaGn5rUwlX
DbprEaTx34wAbB8aT5g5Ujz9J6OhWftztm9hoen2r8bclxw9APo/8D832nYyZSJUtxKqfHYtuQsK
sBs2FkpqTNe9XapDiG8qba7DBdVvFk96nqUM/n07AYU7tphUxF6OfeATSJ+bv2bnA437AOZ5wNrg
3LkffdxRdeu3nrdJG9antc8s52NMqzATRGaWP1hTxRWKI+QvarZbtR0kUqrt3kMtHgm8HaP4MX+s
fXggQoIngs2hLQ4ArgUrjL4q5wuLxjsvKOkh4tUYgx6QI7iNoVN3lTb3Z2artN2eYWIHL8SwXgTF
NojKGvWdmxHg5S5P1s+VtAovni/MVe68SBWT9Q2hx28KycvaASb8vuM0iYCshktm0LgMgO9xnFfb
0m1noFBQdIOd9hOcj+SxmvgKIgF5YqyS3FVCb0NUJ1yNdGT29ygXc853Glp17zeq7dC3msYyr5rQ
UVR7WIY4MpNS2MAPph7jI1eUS4QozQn5GuOyO5CVoIciDBnbUOntNmNPECkSIT4+1nJQr17pZdM1
6Wqxnqae5ErpH2Vv6x51yMSeWwa3MD8YxHcxp5JpnimcU/UJIxzPX5xqSSoufmtSlW7XEpi6Ulz7
VfHeOKPLgZ+fDJCVIAfEGsHwMKu+uFwkJzrU3yeUM8EgUoz/PqDRjaWRwcvC4T3ZRhkMq7/iiPLY
xZqUHJx4OsjzsoEZGz19g2AKiEsZS6m5hqxypaONcCb6+YwaP3ZgysjFgI9ZxQvlngRinnCpQTY0
BoYzyH3/82zqLxU/7kdbt4pQ8f4obfX0PriFy88S27yIskzW1my7ik5/gn+DhYzQSYj/c94/WePV
Eod8GDvDefS2TrqilqU3zdl9HQIesQyZc7kFsIzFmpfmSxwRu+6GS8PRFs2+brEcDfxyb9TKSsnT
ZbdLQlsKJBwWsjqNMbN16ne/5YX1ky/CPghzboggWrxzAvHhXi8R7BceFRGV6Jc7QsD1ijWGJF6y
hr+GzT2vulZNEU8nQO9xmRX0CD+0DL6gCXW9Vb5sWbk3a/G/DnAbZsfT4gO2TsRI13uff6hLi2Pa
/6bO+EkmBhjouPUeYeHQg0HJnu8YnXZx0cUvSM3YvP/l32TV0oah0qNFFo501MQiIi80v7FepEoF
++F4PCy2dWnTHQafVaaV6IyazBvqqMeouB3FYZog/V73kFLjTBhLrXbbGSuiBhGTxUU5my0WMXDI
klpchIEZGGmyw/KiONohfG/u7zNuls+S8XLxJ+4YbVzsFM2s9hn2IV2Zu1soQjtN2YR7Dz/4bydO
BZc/dOzqKNjj6DyFRAQ7KcQKO+UXz0GqNMtCijwKb0F9hGfSJujnGASEyjwJzNTNW6QI/32PcyhS
nI5fGFDdGU9QmW4rnoPy3NmXpGVYj4vTg4+u0p/gfSiFORc/TvSuPvJZIK0fe6RTxkkizdEJGUQ0
iLRS7OIfEdfHmIPjCWTxWNTNQnDsbSgZ96mFsjpHvOX/6hcVxtTKnflk/Rgb+KC/jtwkPvwr1SVM
I28SxHdOVlU4SxEgXcSO5MlAeoeIUaC2HKWEHmo0kvYQVPdXAWk2XcLVTXnN9uy7aedsQdxWviw+
4/Nd4GWra2SOZ9brd/Z+BmZQrxXQQLNCmJ+VgwCy3NZ/VKvLDDfZ1WDcWrHvt4xaCzaZPP6IJnIy
y53GGtVyVS9w26shWoH4rnM0AtVkpR9AthCQdiamBq2wlOGdhqRRlen73b4X6WLXN9QiIFpJ1h3h
WKItXRM2hbTGa0WaVduTBImWroOGxix5hq0Bhz0TOdNaXDjL+9/zJGv2PpPIIN9K2nTpR0BFuDyP
DjKPF2KW0dqUXePT1sXLEdejZyzetwJT2MYM+pBm7NA1c6mAepHhhaJav1D54Fk7IGCSoknnkGm0
ZBRJTTxdrHAEstIK0iRuGnVR3D46nFjQwugDYzJVXkYozj/jL3Nq38MFy6hbS1FlkZ/J1fonCS/3
pcz7BEera+Ygf66sPIZ0Iu62zx5XhalPGmEYcAyXJvUC1jxoPIcMCi/uygePgO6vG2tOpi8+O7tl
mBYiUHiufvSf7ObCirkvR6Tpnqgs1wLWrmKeBF2TGXjcjvkturzY3+mioXrmcSzU9EEPhHuZxQsB
SjbzPBHnhUxnpejELMZX7BRpkoKAvvdjl/q3geulx6mjpd10Ja8YAgY5EFNtrj1RbMsK5IJyAK4w
+VDG+WIlctWHawSh5T4qgh1jpEAmynGKfhaE/qhscpoZU/Nbgmw3QIg/gcIkbAfPEh7AoYq3WQvS
hJqqwbK7MnpNtMdG+mcSSR7t938jSWeSY3VZ+6ohZfVs7Tsxo2D8Poj+yaD3JkN0WrEADiwiYfHs
LZPKBHIUL2ax/IcDXdmwCWIp14uge+2QHo/ceIoobuTxt26Oze/WJaIKsAnhzMSkoDlKJoURR6LP
oOI1zG667GaIO0wGpxiicCXEzTdupZs6Rg1HVBqXY07iRd6WtYD0prZNSF0LPv5ABr4MeQFc/Ktw
mGZBE3TT8c/bvpNq8wf1tdu+vXxpwsEBKyKCGxOAcktYuFPDSA9tEDpXqbnoCcgLbgT7VIIpTwYp
zy8B5Z8b6mGDmy1AeHy5sADWJWpkZsyPbuuDb4qP5+9FL/nkXZqJtJKYmab77yJzW2V+A9a7LMH2
5dnkan3UrSHc55YUI5T/0TMfE7kBxxb08ewIKIsm9gyK/9U5gTcn2kw5+6sJq+oDZ7CXduIheGrX
OWAjP0sYjY5ZLeAiejf2X7kZnpZtNbhkv2NOgxmBmiVQfgHklwC0S3phukH8efaXqyrTnGQxnawJ
Za/mbB0sLoOYcjBVF8hkoUUIpF8rxCqOIvhbOHqVbuaKY8LmXd9U9Cy8CbN96vEYWMPRcM6jTBrk
QT6NqUh6XOZZ13WlRtR7sDSE+4gXhb662G4l56klErQtmnJzhHPmwfQ4u64l8iR4apliUvGAQBbD
WJrk8Mjq28NIjuaK0WIyiGwdstEqAFGwl99ZvCWmmMeDHuS0fkEsxROhaaUqgK9IXLVA1MJnpyNi
29Qm2ymtGzcRGGNMHd+oIrlHY6zy+zcV+M3HuQTTPbkbiBZRIcWx9PMf3iKmTRduKFvnZegZhPdK
aCAPPGiW+0Ioa6GImyfO+xtsVhUOfrDsfPp5k25d+EMfkxGZRi33DLk5bfECf8STptwZcUltCjtc
IeWPPxq5lPyimAbkc11pM6Y3s1vaWpKZm2DqKsUsqvuyaOu+wYuZsEb7W0lp7ZfKYtrAfznechX5
hP10CP6ekXCjH4cxRe5B4fFuY0VhVmQwtDE9D+0shIW63tRjcvwTl0NhqHSpGbP4tk4I6hHyrTBg
cjV1nQmVoUcFHXffU9dkLrqL7WA2WK0VjmTUXoHK2MIkHifcgCa3Y2t0OB3JQIIe2TSIV0G2j4Jg
kQLug2jIY44KRvx3fEuKa981V3QZxA3kculVORe3JRrmTpEWIQWYpWhxgDejNL3j4H6gdcPX20EN
Gzh8Jmc3MlolXOlLyRTlR+OzQ+Bv1TB7CiF35uYFPp1RkJXrV9hstI1zvT4gPpSetEWUv4dendmC
UFluP1L93YIIyaOLxC3QRIz07rlX3BDVf2xU2ehhE3TZFr7JCybaddFcPngK+2b3IQ6tFQKPO/it
WUBep5R2EdTHec6fTd1VX6MQm7r82kjxgrBZmOKHww82rxwPMnsDaXEcEVw4rpLk+/U9SallGJka
bvMsspYr8bzP9zcdQgFxnQmPq+i3TpEG4Hvy0+CqocuFFj7V6G3Hb3twbuAr8oPghqN9LFo503sS
mUkzQkrAahK/AdVgJE7AWG0CG985B6mIdAzxOQ1FzcG64qXNTRwKrzX6f5OhadJCLGSuRjCZWDfO
x9vPb1Mru12GN7UVaSrkvqSs6J3JhdRjubgWNpmzxrrLZWKGGDp7aAF6I1+UQD3owxlGzEnrXBzo
1/TppEUejfw6Ls2L9tOKloS79SXx16F8Iah6zv5jgN5rqGASEFolHq7AHFl+3MvQB8/k5MpHQV/a
c/X6aXpLr67RWDAsKvdogaLi1142vmefd16ebjJqbixJGdEnKItJlDnNLeTLCd8JDc1o/yevxwmO
lDTLIoI/BUg6ytCbsrt9aYvBp8lCo8tZwpWMh7qXy5DCcCLAwrHS94BzOUZ7KNXchJsQlYF33bFC
ZLTzG53Bl8rcMiwXAq91oEG5NZgBSzhYniDM/3gQgu2oJ4gRHZx/SHp6d5i06w4hRWlzWVzzaifk
A8yhzuNHKFDEemTqeuZxnvmeTpx8++AjKTHAmHZDO/uBvMgBh6sP9NsEuTIM3H6wSCVEisW/XR+U
3c2n+0r9nJ5u0//Ngv8SqsH6ctC/JxqAp/elNxiq3EGJS162eowL83mxQBoa5UUFqpgI8/flVB1a
oTFYeSl2+9Thf0jUinS5zDaoyCIMJTlTmwRUO1hu9pKEEjy3QfuO43BaVxoZ1F9dWq57z4nkO3ku
wglQ8/jeGJ5IF+u+l5fL8uOht9MLCnh8zRm0XYuJ7PxK8GjkeboGrItvx2zQn31AuIFV1cTyWmdt
KavW3ChfBeVx9qJ3n8mKMIlpOAAxwo4C9KLoAqIDKQJRvqYc0QOifLgUXiHMeZ2Qg6F0XefQRTCl
CdzHx7PDh+FXYga4YqDX09DZawCjlIiJZFoZZEtPTw3xew8gIGMaVq1B+zHf2Nkp4MBZdRpcNInZ
K4T5wK1QcVjRJHnLlQKaLgh1SUPc+HTraFs3Xp5v/SmwnF1YzgIvl3Nqr4ckE0mh8xpIvOsK5uVL
Eu5MLAWiGDh9gKSWNIL0/srFw7IsbJogDkcXBYLV9QEb+wYp7og/U/PNuqs2uQsjfkVcJaGFnq23
mQ1Wv2cGIRKrepm2UYcuJ8iKTEGTKjSDSvhuDMfcdratRUOMwqVo1d5y3IlPV6UOp+WqTgINubJ1
ECU0vGZIO/iCcD7VndBkAxsB+KqzPMM7G7hGH7dQPpzPKIv8mxelrI1x1sIIyAQLjrEb1hRoP+Pb
7wg1zJ+zk4xj3l1QIkgjOCPWS0WOIObrFr6taBs+OG5WDmenOOBxXyOwZD8kM5ZFWCgtyAfpcKo1
yxX0AWxJhAWa3enuBoJZmOzpb+R7vHbg1AxT4NLFtOfNPUQUPob/4Ise6V3YAvdO6PGx2Ep4unf2
nExaNqGWY3g6NdTKTZpCjCjSd+H6HK70l50Qy+UpUqLJD6MEC9QTLdv7yPd7aggIydb+zv1OLLyk
dBAWueyhXWVFx3/5XeOpAi/EsSnzSEN6tzpOyQcu1TMxfarwiXQABNWkO8kxiCWl8sD46A9OTzJG
sNGQ+bFOZydphyvoglWHmHo+wy56tzMqQ0olEE38vUJkzWydHSiYASjQZGi9fRr8b61E0FHmCXu3
iMa7rFoRJSkjPsO8n/ReAHHdMs4Rxe0hB46EaKJ319rA6765Fqt43jHIFEREavxfnBi9t0sWm8Fd
RCaX7kefh9rtUwD97kNlF8ZnAVkfbqNjpg46fOOuGuyW2FCnjRZAEK1aU1ypRxuxliqmOsXOgAKm
CFXM8OxPP1UoU9nhGTZVTVzBBpK9ou7viq0fvHx13hl+iqmUP78adjQ9pf2jVI6dipcnU0w+X4+W
5GqKZJnvzvY4OaVl8HLhmKDZxhEScmo9i3n8i3WZDwBpd76BzLUENHS8dm1uAxlFWgwAEYD1xUC+
v6195I+01Cw94Q9i4W68t/hjbuUTltCbT6BAzdEIPqO7LQNYAVdju9vE4hfpRmyDkJ1YJd2RwW2j
mn9d8RFb23fXfsmoXM4jd23nUHnQdhRcAkjK1Fummr9Ei3pFu4/UE853LgfqK3GLI0jLNhpeGmRJ
wGlHcpalkVytpjcGY052FtWQkxvYt23/GSa1978ESiLGpPJY+sfquPOxhlAErsLhGu056oJB9JVS
7igH2MAeJJFJWtx5jErpJrHojwcl5D9/Zk3XF/gQnJYRV9Qh1Uy+y7zr0ZnJhigoyqsvHafB1vJF
s+O8pMyq8jp9mJwTGwleoC8G2mTVigapOZbpapDZFvIE0TKsskJnY5r4fX9bqX8dmIfP3VbXwYWg
lRqLH5RkTS6fLX7Je+HC3pF/nnYtYT+ihMfjYXfwsu1DILsZ6OG9U1sR82fXEjR3aiEfnwXe92bg
MnEPRzJMZHSgtoUGSQlOA2GKMXFpyr18finMCUQBnr4ALqxdL9KlsJaLT1ob6wOUspiM3hB1Qc1p
Q0AaR3uvWgJm/XUuEcbhC/hVGrEkYHndeKwLBgYAhGTo2GlZrJM7zAzw0cJ6i5xa8zNezfTaRokL
cikr3T/CwNt8Ve06XvRrYCFytqqBVu+/bFPnKHJ4gQoo2plDdO0mz5vUo+1lvV2TYOoIOhdSxhaU
bVpXzuDY1PoRZ3vYzIpCdYHZ1vQ1vu9xkiNGtJ1kQ62S6fYWwH+UnPzS2UZETMdFDb9GmsnC/y3i
SSPADSBoPTOK57Y/yf8q8nimWWa2fVSoxhfRshvoXrtU+vyTDArZf4hfuFtfXwk9pWTYoce89IcI
Iq0iA1aJNqbO86O81tu4LAmiAqNPH1tK+iqIlgrWiMeLUnqtsnQ5Nxpl7RYLpDS9huH35JapgySe
zvRUpX9yEaR8Kk3gSGQEKWk2hd3M8S01t1vV4MjR0A6IAmd5ykAGD35mZkRpio3c9blZQet/w+i5
rmOPZgjyHNqQ8DhwDwhnuRNOI0EA/Vh1mh0VyxrGCPIszGCbvP6/+Ytx/32sV4nJsyEglQJHYiC0
Ia9OaUgAuM8dfOxPyhOxdY96ihlmJsAL1cMUpJkA3onc3F6P9/yqYh5mMxYXIodRf/OfgNXIDYJ9
BaLybnqoi7xGAmgDiyF/38Emdw6JP3yrLbcx6iaSkXnZHSysKhZuyVsxrccegvh85Ktf+6JsrrDy
0dYxmshr5/Q1IC1oiMATdftgUbds7hRoR8+4ViB8Yb5yWAHksVsxLypKwMf4NF3iGxqLlljr3a4R
JiyVCTMSE6m3tnpQ7iYgcFD6aI1hRzhfi37pG3/w6xy3PHMyNnxflof7Fuo5GfyJr1jt8RKATJM5
09H7bD9Hjsj9AmIDKeRrl2DlwPSiMCkf24JjFrQLE3YWVgmFNIPK/Ra4NXqgq96crBfZd5TBrg6r
G0krTxKjWnB9l+I3925bSFjPICFj1PlcS0OIydUK7q6hpm+tYjptPYhOkFHMd5weyyBALHGygBxD
OdRi9OzL4d4UnVerEXwwlpQIx1cYWw523hW5I4vp2C+7BLsJ4wkxrcZNMJLcdFPVp8UnHygFPcGi
+U7BPXDtegH8StFu9SZs77KFkfuKjzDbognSg5e/BOT3ewxeO1R0711UaPwXjmxe4B7l68TJ9IHe
rjaCJAVZ3d3+nuEd7APYH7nv7Cuj+MILOKUm5R08vsGP78s/GuZk1IWGdd2rAz3hOnPASkqHc1ZJ
bhZ3Ji4PqcR2DAL+AlBkEiapegiGi2VyA0UFAM9o6TTqzA/wS3VKCkoyCrGYF9/UY3DSYTMEWLTd
wFitHEcYseuaWcfR0B7skh6qSRQ3zTUyLbSfCiwYBgll+e5Epg9twcXSGNGIGMBzrHWfBPbGsbKr
A7Wtuxq0GbOom6aVV8slbCglNWnoMiLza5O8UhJ1xZKCQDobE3/9Q2TFiUjoz/JWM/WRyAcitdzj
keHm7zBmWX2x3Ftg62s1IZtAyx+cu77Ld8+3D7eIuS+PXX/GXOwovPRGJ1BG4MBETEYdcoLUavHd
Wj1f0XXn+GiNqK9LdZFWL1yUCS5PjqU+IMYn5J//xS2Y90MOkw8LLL9yfA2UUJQXxO5MQfJZRUxp
t7WH0Iwmny/unqArNguDWEoWErR/q21FcWh5JOJnG5nNvra33eQUb8dWE/iH9XwrwrxqbALecIen
hatHAOZLDkD5j71F3rFG+IRXJDD0yUhChKy8NxiKVc07JXWkDzw3R0J+ctwRH3Kg5TpEwSaRue7Y
ylVDI6BPX65kUB71FThPU03YXVXGZQGpyZatp+5uc044iiDlKpQBiupFvEYJySLL1VsKciaMIKTA
epmwEMcEqA9JUJUvD16jCAUYoFf5znwhrmMCy8wcu7/gZ14cAiIkPlBD1XllCEGbj1r+c97njFqn
JCEW6dzgaAP3aVCnReagBSt299GA0hMsvo+QRODqvUOdFWIEgru487x8cxgk9AtsF/VQx3DFDlZ2
nRiSIEHIDcAWxzg060Tt35aAnm6F+Yq6BBiSJ9IL5R7kTYrnEUrLtOJEUzgeUhityiYuGZpp8sX3
7NvCeSYgzVJDg1PkXWg8FikSgcGtZf5mJ38MDMV0ahhBHsQSJVSHXo/rwdrdWciNYebfLuo8doOe
jEqcnvGkgWcLgkmL+OdG+MXDlqwek9q2chuakmZxDpLeWL3JzbEGJ/aEppgy17lBj/9+KdE97t9w
ABYOSnXuN2tvdCCzrlkdurSdMe3IzPU0LHNbtDkIUc0p9ocRMketvN5gKJw2CBnwSeM12i/V03w0
mTKy8gXjdUJKL37ShzJ+6SrVRtIyTdexiYxS2Md96evCfD8LKD9rDTZF92UW0iSyLARB1B7AXKM9
z9mN8tf+LTY1Hn6rda10c8h+cYC0FG3FRbw3QMhbZyBAVJvgLDTMfjjwYdY2oMfVx/Iu1aD0j/hM
7I57csV8e+Er17NwX6jRK/oK6rgr3LtbOPwmo11VYWCxEKptMvo0RzvWrifjlMLLKP+on0f1jt9f
9uinb31rdA+Db91CFhhY4XWsbEDnkV9/huSu266c/m+LuZEnK4Ri5MyXFWNsIpRYPjezopsaJeTs
/aU7WIrRC1eUtPQKFzKSQ8aXZctaD75rCbGVram3bFgGoA2TsILiUN/EScYhVUfb7Es3P/GJvEla
8w0RkGfsi/sMkv9JjtaPL6JC9q4TnUOBvSmmdcNwBskK8v3P1GV9NxV7JybgroaL0DAytZdKYAll
yljMIZTiYBOwNhF4ccW8UuJqPuNhGGho+6DleIBNtNqA9M99dg929l3z+Cz3x5da4RDTMKK9wOa4
TyenCFAbvf6EtqKXXpVQz9j67uKxdzfC97yklxeST3pOxVP4QthrvdJnv71NsBF/d/SIVickA7bB
4SQiiFFLcJsE/GqWQi4eQRzj5ixobe7eFs+1F4FeooxlSvB62MzP+IPu8xrNQjoR8xLaqB1l2Ls7
sdw0g2akrdr/FGsjov97rHWongJXd97pORSmlBgKRB+2stGQMj1/bWydYnWnEQZcKWGuW62VZr0R
2BiUkIdupI6LoxNgFRE6xz+txWBkA0wRVUTIVg8gwEnZZmWK5AQgj8y18lHf5nVI266a61rFnLVP
kCEKMw4g44lNzFsorr33acq9Xk0BW3hlEmKbudI9vmMJUdM9xssS8XXcvle3HLUwf1U+/oSeJxbP
NNAaRF7rgzow+nCrAL6LT2ByHRVxBCKbDrRtzDYB8Shj2q7ruCokII9okzAkZgW7PLU2nNMO7rdM
t0/cdRyAMzxS6Q2xVn+GLLR0h4UXIhSCei/Zxkki+zXXnF6D/Z4qQDJmSkGe8+tVn6uWR4lcaRAW
/sxTW7k5CXM8HcNC3DJQoCHucoW5yR7drjg6oT7L7ev62dY6XWMBGlwUwPhznyOBoeZOPP6nxczt
MHaFvZ5Plopjsl9Qzlhz579362beZi0s/jqnv2uUToSV+a5nj3x8+G4KeJcCr6ddITl3yHN5hbuC
KZ5QdtHEkFWN9SfRv7P0anuyFpJoJvtPjHHZ/5uWMTbkGEtjXwYgPYTV31ZQXBMbEGFNC/MMXmfV
43YOTLbeWLALEodY/tlPaXxGmaOnLt/dpGeJeRQV3naeqkcds2LCqSuTkJhmQfDNh5VBrJuFTM3v
rzCFiqlhVHlX/DWyCGMP/p6bxdGe6vM5WKoLxfW/hRSNmN+ehgPpTi1fjKCOjRlpgphP8Dbe2AGr
vydtwTM7k0gobsPVLC77Ahb3iLOVtvsy9ofBucBZJohtmKRcSWojqTkgqBEXddEAje0FFuYrhcHy
J50RR3WZGIsIe4jY9RLZsgEgRMCLgZsCgbkcFGU4Al7iuMKezoBd6yLOVCZ4dnv/lK0nKqiTJT1/
zhcHZgsVHAM7JbFUeZF/6/mBR8fkl5u+B7+dQBSnCZ/q1+s/3v6+HoENG6aMDfOU9MxuWvYZIu5n
zUn68wX8cPa6hKvIkG1rKlksBJUloEeBP2abonZk4U458PlbFZi7SMrMfr5RBb5o31mG+bzviTLc
2qkbHgPEe9I27yOTCU95ev5AaTZyzPnmavsvwaFFbf4wE0pFkZA/28D6X37eWZIdFyqQxRE6Fy5b
AxbSLUO9mnNJxushQHTXl1yuO3I7jHS82Q1vaSDm1WLYuP1GVY5xzq9SzfaE4gZW/y8yivTz7klk
4kg09gdCttYe+09tc3GXJTJ6lOF1bWZOpjf+oQOOX9neuNlvIA9TinFPG0aIDSMFO4IE+RgUBbCf
NTveOYSDYXdvKgyQ424kXcIGrtcJLRlkLxpwKjFgz01QXCo+RCp5gPlhdvbgkag6K8T/ghIYC1c+
jFHXmk6TqpB6TVkQBDGHf1/T8z34b8+c5JqQFEhe4WQtVfH9yHZXqjBr1IclPWBUhx3eGcRNJHrT
PFMp8qWxtve0D5Qh0imAPGB/p9IQXNLAx/aAQnphrnpeWNS0zEeaHmNBBA1DGVBXTZ4h89bPQBJh
/duSNoh2UJWqCyfIQFRr/Ylv7HJT8sEQmQvxUwVmOBF173x0xOIqtaremGz/HEmiKafmQY/pDMZR
1ED4v1VQmMbzH+QTOzpbLSRVyjS06hBdsFHaxM6RvINR3cUo0juhCTEkmS9znBiifQsZRTk6rgYy
XZ9G6k13Kn/OJ4iTfFclLdrCCX2gu7IIkY5a/keTr8efrQAYyzHhLJ6fjHZyAjQoZweXXjIIO+gY
JRmw7/JzSy6EUkVe0OrKfcvEiVXuHc+WPveFVHenBMMAuLRHdZ07irxY5H5cMxBUS7EmV/X/bJ9Z
L8OL0JtCHnYGW16BL+BvxbflxIUomsYcNG59GI85ZuCGRknLD7uGaN6xPKve4lAMzkUPooS7hakb
YFwiX0iMIYHy+iOrc9xlxkAstGq9NNXS6WQWIPDa4W9y6KQDPa8mo3D5gwL8wAWwFhF7tw3pFQSW
i0RKSMit3j0kWyuN6XoI2mzaHpQFb3uyJ6eO3rS72p1MTZgRdFveVDrR9t/R5BTv+16Pj1YRKSlK
f6ZELWf02o+eu+sIsi9o7SOIzEcE8LcX9WIqFqE28+kIC92lisIJQx1newPXrBaY/u4GzUWfD9mu
Nmo+679zIW0/1eLXHLyKKH3Fxl0tfl5PI1/83UaWCbkrZWLJ/iRb8ebt49Ev5E3dtvctFk3L7Fvf
0IBsptGZc0E15/Dm28KZFK6Aj+lH84O7NyutStItkuZOkq44NIOjkblVpbrvrP/euUPiRO9yiViB
kpcY25ZmEWq1Pkq0h/9AYhai1URdxWxTxsgZ5/ybPs5jIYnfvf0IB9tSSngGmULVVJaPa0OrHQTa
afGjTOd22InrPc2AdFLZipYSMFxh77VrGz/3hBVfL37jpAG9RmgwZfjHULBED8iP3Jf2pQP//MTj
0woM0WWWCEvT3/QRW+kOA5gVVMB+R15TCESkl08cfWg82W+42e0Sr2TY2CwkNWReOLCO7aMV7/AR
kGsACvT0L5754v3siVYZG0wwpUYXbQDoxcexM+u7xsOdLLIOIP5G9aDJgOw9g0ecD7ak21TyTrMB
lQB+awiaOUJcbCmjNFAVU+W/0Of+t5LqcayKoleJolN1M4jvoiHhTO5h5HIeo55AWpW/7rPGQ9L7
XRh+iGP+1n8sJc4+owEiXFKtqXlu1ezzfsTZ4IC/Lap7xmFF6iu6jXfr6fuPB+DkA7SHb1ulB7Wp
8twi4Anp3S1CyzIdAFoIus0y1W6vpKbfjtb5jVoQoYkk4fcy8MBPatHxGs8rhUbeZcR6MS6LYJRf
VZFaXyf8yi0y4W/kSwVwWJLoXCy7zM+9FhKI10FL296ybrStxSWF1g4zE1dpyAhsjXotRxLpfXOh
/CkmjykxrNKslr/CyGquYKiQ3HKHDEKKVnVDvjp42NIGGj72+gpQb5FmV4iD34Xfb2HTnXlc6bOh
giBLhUV68LM7Z9SXRiEMye6vvxNXuV/byOoux8dPcmPOoklvKk1HLuuN2nKLqbcb7M0wf1dn9IDR
xLx3GnshcQLAnecyg9VSm9cL0JRy2tiU6uslNNZT9DFCiPb/CfRjpPgbgmbBQcMkb+zi1sNzszqm
Vbmr3rReTf2zFYHNRDZsRlb4TODWFUNYGGILyRzEsu7Y4Z3YJvnyh/yYWD248B+Hgw==
`protect end_protected
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/delay.vhd | 1 | 24808 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iGfOVOiwjaBo5/GUN6JhRch8Mtvg0DblOhBA9OwtSwgfNxdZvytHYbPwDSmBlbxEoV3Ia3BDQR4a
G0MbRer94Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
U3CiB0FknAXgOJE6MWwqA7wXrGg05lWT7gMrzSH+nXLwXfRajGocZy/I28xF6RI5OLpqfX877Du2
AC8HKGW9BSNiR3U2sD6kWwxgmw295C37waoK5fDc0Ybao/ZSZYitB8iwZDM0ZcCBBhBk+Ths0A1o
VrLi7nEIa+qd/0ylBZ0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XFl/B3daEvT3j8TqOHUTljPcHf1TRrD9EwQ3zWOsVUBtwham2htE2d7sGCIhCKIFDQr2h19Tb4el
7T0ZF9QF4il/gqR952BZEaKcw+48mzRnqCqsZqfdqo1LgZzRhT/PkGBOk9AnxTCDGOOJ7OB+X1h0
2azNr4BNkkFy4PPRu2Vh6DZdc5zGaQJqMr5ln56mxgd9bBmtyE6Gtz4VFHn2tdiTmb2iytXUim4F
gvd6B2K2wQgZMp1VBYTO1vA17xEyhRurW3s+hDPgd3udGiCnXVDmLzXjY0cb6DLfWZLXvEXLFXn8
vBNtOytZIrt4iWyDcO5Cb+hHrLvPpLxRyGUwvg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lumkIzw1NwqFeF95Wyop4wxZtDcD24Flwz0UOID0jYkKtN0mpRiQGxt+EkPl5dTiverDL6MkKN4a
VRGk3iZOeVw2+SKKUafhFf3eLAcWlc34zHzgPoJS0PSTdhlUbJeu4/latnBLF6Yf11cfVJ8nyihK
yrY5/RGQd6Vw02QwX0k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lF4TJKO2ubyL3g5+Y1Q6Q1JMtyztOIopHzdPWRW0BtGUlFAG71GtP1BddPDBc6YJWrybSwaewyIZ
+I/3FLkl3m5lBpUOEqRlA0f5728J4hh8AQpfi5a+Op76MXPrEXZGIOUAfEFcKbL5SlXC+kjnaM62
jxWT4hcAZAV1Vj9AuhydZgOsaByZeJD9NFaZZyLFRfvKChTpUMTQjxxbmB7hpJxZrRmtWQOfpbUQ
UjnZkOil4OHQx/nreAMdzZd7dJtrM33+oJvYCd+J5PFa/zs8Zki8kDvCwvRbc/LzJwQwh8wI/1mf
ZGpjvg7SSfoOYDxj4z8iJyECP2KNNTp6Bf97jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16624)
`protect data_block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`protect end_protected
| mit |
zcold/fft.vhdl | lib/fixed_pkg_c.vhdl | 1 | 304037 | -- --------------------------------------------------------------------
-- "fixed_pkg_c.vhdl" package contains functions for fixed point math.
-- Please see the documentation for the fixed point package.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee.numeric_std.all;
-- use ieee_proposed.fixed_float_types.all;
-- use ieee_proposed.fixed_pkg.all;
--
-- This verison is designed to work with the VHDL-93 compilers
-- synthesis tools. Please note the "%%%" comments. These are where we
-- diverge from the VHDL-200X LRM.
-- --------------------------------------------------------------------
-- Version : $Revision: 2.0 $
-- Date : $Date: 2011/01/26 15:55:27 $
-- --------------------------------------------------------------------
use STD.TEXTIO.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library IEEE_PROPOSED;
use IEEE_PROPOSED.fixed_float_types.all;
package fixed_pkg is
-- generic (
-- Rounding routine to use in fixed point, fixed_round or fixed_truncate
constant fixed_round_style : fixed_round_style_type := fixed_round;
-- Overflow routine to use in fixed point, fixed_saturate or fixed_wrap
constant fixed_overflow_style : fixed_overflow_style_type := fixed_saturate;
-- Extra bits used in divide routines
constant fixed_guard_bits : NATURAL := 3;
-- If TRUE, then turn off warnings on "X" propagation
constant no_warning : BOOLEAN := (false
);
-- Author David Bishop ([email protected])
-- base Unsigned fixed point type, downto direction assumed
type UNRESOLVED_ufixed is array (INTEGER range <>) of STD_ULOGIC;
-- base Signed fixed point type, downto direction assumed
type UNRESOLVED_sfixed is array (INTEGER range <>) of STD_ULOGIC;
subtype U_ufixed is UNRESOLVED_ufixed;
subtype U_sfixed is UNRESOLVED_sfixed;
subtype ufixed is UNRESOLVED_ufixed;
subtype sfixed is UNRESOLVED_sfixed;
--===========================================================================
-- Arithmetic Operators:
--===========================================================================
-- Absolute value, 2's complement
-- abs sfixed(a downto b) = sfixed(a+1 downto b)
function "abs" (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Negation, 2's complement
-- - sfixed(a downto b) = sfixed(a+1 downto b)
function "-" (arg : UNRESOLVED_sfixed)return UNRESOLVED_sfixed;
-- Addition
-- ufixed(a downto b) + ufixed(c downto d)
-- = ufixed(maximum(a,c)+1 downto minimum(b,d))
function "+" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) + sfixed(c downto d)
-- = sfixed(maximum(a,c)+1 downto minimum(b,d))
function "+" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Subtraction
-- ufixed(a downto b) - ufixed(c downto d)
-- = ufixed(maximum(a,c)+1 downto minimum(b,d))
function "-" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) - sfixed(c downto d)
-- = sfixed(maximum(a,c)+1 downto minimum(b,d))
function "-" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Multiplication
-- ufixed(a downto b) * ufixed(c downto d) = ufixed(a+c+1 downto b+d)
function "*" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) * sfixed(c downto d) = sfixed(a+c+1 downto b+d)
function "*" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Division
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function "/" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function "/" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Remainder
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b,d))
function "rem" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (minimum(a,c) downto minimum(b,d))
function "rem" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b, d))
function "mod" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto minimum(b, d))
function "mod" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- In these routines the "real" or "natural" (integer)
-- are converted into a fixed point number and then the operation is
-- performed. It is assumed that the array will be large enough.
-- If the input is "real" then the real number is converted into a fixed of
-- the same size as the fixed point input. If the number is an "integer"
-- then it is converted into fixed with the range (l'high downto 0).
----------------------------------------------------------------------------
-- ufixed(a downto b) + ufixed(a downto b) = ufixed(a+1 downto b)
function "+" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(c downto d) + ufixed(c downto d) = ufixed(c+1 downto d)
function "+" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) + ufixed(a downto 0) = ufixed(a+1 downto minimum(0,b))
function "+" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto minimum(0,d))
function "+" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) - ufixed(a downto b) = ufixed(a+1 downto b)
function "-" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(c downto d) - ufixed(c downto d) = ufixed(c+1 downto d)
function "-" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) - ufixed(a downto 0) = ufixed(a+1 downto minimum(0,b))
function "-" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto minimum(0,d))
function "-" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) * ufixed(a downto b) = ufixed(2a+1 downto 2b)
function "*" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(c downto d) * ufixed(c downto d) = ufixed(2c+1 downto 2d)
function "*" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) / ufixed(a downto 0) = ufixed(a downto b-a-1)
function "/" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed(c downto 0) / ufixed(c downto d) = ufixed(c-d downto -c-1)
function "/" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) rem ufixed (a downto b) = ufixed (a downto b)
function "rem" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed (c downto d) rem ufixed (c downto d) = ufixed (c downto d)
function "rem" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) rem ufixed (a downto 0) = ufixed (a downto minimum(b,0))
function "rem" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed (c downto 0) rem ufixed (c downto d) = ufixed (c downto minimum(d,0))
function "rem" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) mod ufixed (a downto b) = ufixed (a downto b)
function "mod" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed (c downto d) mod ufixed (c downto d) = ufixed (c downto d)
function "mod" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) mod ufixed (a downto 0) = ufixed (a downto minimum(b,0))
function "mod" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed (c downto 0) mod ufixed (c downto d) = ufixed (c downto minimum(d,0))
function "mod" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) + sfixed(a downto b) = sfixed(a+1 downto b)
function "+" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) + sfixed(c downto d) = sfixed(c+1 downto d)
function "+" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) + sfixed(a downto 0) = sfixed(a+1 downto minimum(0,b))
function "+" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) + sfixed(c downto d) = sfixed(c+1 downto minimum(0,d))
function "+" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) - sfixed(a downto b) = sfixed(a+1 downto b)
function "-" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) - sfixed(c downto d) = sfixed(c+1 downto d)
function "-" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) - sfixed(a downto 0) = sfixed(a+1 downto minimum(0,b))
function "-" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) - sfixed(c downto d) = sfixed(c+1 downto minimum(0,d))
function "-" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) * sfixed(a downto b) = sfixed(2a+1 downto 2b)
function "*" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) * sfixed(c downto d) = sfixed(2c+1 downto 2d)
function "*" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) * sfixed(a downto 0) = sfixed(2a+1 downto b)
function "*" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) * sfixed(c downto d) = sfixed(2c+1 downto d)
function "*" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) / sfixed(a downto b) = sfixed(a-b+1 downto b-a)
function "/" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) / sfixed(c downto d) = sfixed(c-d+1 downto d-c)
function "/" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) / sfixed(a downto 0) = sfixed(a+1 downto b-a)
function "/" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) / sfixed(c downto d) = sfixed(c-d+1 downto -c)
function "/" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) rem sfixed (a downto b) = sfixed (a downto b)
function "rem" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed (c downto d) rem sfixed (c downto d) = sfixed (c downto d)
function "rem" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) rem sfixed (a downto 0) = sfixed (a downto minimum(b,0))
function "rem" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed (c downto 0) rem sfixed (c downto d) = sfixed (c downto minimum(d,0))
function "rem" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) mod sfixed (a downto b) = sfixed (a downto b)
function "mod" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed (c downto d) mod sfixed (c downto d) = sfixed (c downto d)
function "mod" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) mod sfixed (a downto 0) = sfixed (a downto minimum(b,0))
function "mod" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed (c downto 0) mod sfixed (c downto d) = sfixed (c downto minimum(d,0))
function "mod" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : UNRESOLVED_ufixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- This version of divide gives the user more control
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : UNRESOLVED_sfixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- These functions return 1/X
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : UNRESOLVED_ufixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : UNRESOLVED_sfixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- REM function
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b,d))
function remainder (
l, r : UNRESOLVED_ufixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (minimum(a,c) downto minimum(b,d))
function remainder (
l, r : UNRESOLVED_sfixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- mod function
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b, d))
function modulo (
l, r : UNRESOLVED_ufixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto minimum(b, d))
function modulo (
l, r : UNRESOLVED_sfixed;
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- Procedure for those who need an "accumulator" function.
-- add_carry (ufixed(a downto b), ufixed (c downto d))
-- = ufixed (maximum(a,c) downto minimum(b,d))
procedure add_carry (
L, R : in UNRESOLVED_ufixed;
c_in : in STD_ULOGIC;
result : out UNRESOLVED_ufixed;
c_out : out STD_ULOGIC);
-- add_carry (sfixed(a downto b), sfixed (c downto d))
-- = sfixed (maximum(a,c) downto minimum(b,d))
procedure add_carry (
L, R : in UNRESOLVED_sfixed;
c_in : in STD_ULOGIC;
result : out UNRESOLVED_sfixed;
c_out : out STD_ULOGIC);
-- Scales the result by a power of 2. Width of input = width of output with
-- the binary point moved.
function scalb (y : UNRESOLVED_ufixed; N : INTEGER) return UNRESOLVED_ufixed;
function scalb (y : UNRESOLVED_ufixed; N : SIGNED) return UNRESOLVED_ufixed;
function scalb (y : UNRESOLVED_sfixed; N : INTEGER) return UNRESOLVED_sfixed;
function scalb (y : UNRESOLVED_sfixed; N : SIGNED) return UNRESOLVED_sfixed;
function Is_Negative (arg : UNRESOLVED_sfixed) return BOOLEAN;
--===========================================================================
-- Comparison Operators
--===========================================================================
function ">" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function ">" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "<" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "<" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "<=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "<=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function ">=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function ">=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "/=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "/=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function \?=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?/=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?>\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?>=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?<\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?<=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?/=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?>\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?>=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?<\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?<=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function std_match (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function std_match (l, r : UNRESOLVED_sfixed) return BOOLEAN;
-- Overloads the default "maximum" and "minimum" function
function maximum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function minimum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function maximum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function minimum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- In these compare functions a natural is converted into a
-- fixed point number of the bounds "maximum(l'high,0) downto 0"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "/=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function ">=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "<=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function ">" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "<" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "/=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function \?=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function \?/=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function \?>=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function \?<=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function \?>\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function \?<\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function \?=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?/=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?>=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?<=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?>\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?<\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_ufixed; r : NATURAL)
return UNRESOLVED_ufixed;
function minimum (l : UNRESOLVED_ufixed; r : NATURAL)
return UNRESOLVED_ufixed;
function maximum (l : NATURAL; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function minimum (l : NATURAL; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "/=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function ">=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "<=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function ">" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "<" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "/=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function \?=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function \?/=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function \?>=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function \?<=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function \?>\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function \?<\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function \?=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?/=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?>=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?<=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?>\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function \?<\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
function maximum (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function minimum (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
function minimum (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
----------------------------------------------------------------------------
-- In these compare functions an integer is converted into a
-- fixed point number of the bounds "maximum(l'high,1) downto 0"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "/=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function ">=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "<=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function ">" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "<" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function "/=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function \?=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function \?/=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function \?>=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function \?<=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function \?>\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function \?<\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function \?=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?/=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?>=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?<=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?>\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?<\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_sfixed; r : INTEGER)
return UNRESOLVED_sfixed;
function maximum (l : INTEGER; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function minimum (l : UNRESOLVED_sfixed; r : INTEGER)
return UNRESOLVED_sfixed;
function minimum (l : INTEGER; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "/=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function ">=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "<=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function ">" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "<" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function "/=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function \?=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function \?/=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function \?>=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function \?<=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function \?>\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function \?<\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function \?=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?/=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?>=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?<=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?>\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function \?<\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
function maximum (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function minimum (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
function minimum (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
--===========================================================================
-- Shift and Rotate Functions.
-- Note that sra and sla are not the same as the BIT_VECTOR version
--===========================================================================
function "sll" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "srl" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "rol" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "ror" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "sla" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "sra" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "sll" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "srl" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "rol" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "ror" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "sla" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "sra" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function SHIFT_LEFT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL)
return UNRESOLVED_ufixed;
function SHIFT_RIGHT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL)
return UNRESOLVED_ufixed;
function SHIFT_LEFT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL)
return UNRESOLVED_sfixed;
function SHIFT_RIGHT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL)
return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (l : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "and" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "or" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "nand" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "nor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "xor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "xnor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "not" (l : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "and" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "or" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "nand" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "nor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "xor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "xnor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "and" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "or" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "or" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "nand" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "nand" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "nor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "nor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "xor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "xor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "xnor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "and" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "and" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "or" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "or" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "nand" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "nand" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "nor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "nor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "xor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "xor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "xnor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
-- Reduction operators, same as numeric_std functions
function and_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function nand_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function or_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function nor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function xor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function xnor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function and_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function nand_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function or_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function nor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function xor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function xnor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC;
-- returns arg'low-1 if not found
function find_leftmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC)
return INTEGER;
function find_leftmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC)
return INTEGER;
-- returns arg'high+1 if not found
function find_rightmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC)
return INTEGER;
function find_rightmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC)
return INTEGER;
--===========================================================================
-- RESIZE Functions
--===========================================================================
-- resizes the number (larger or smaller)
-- The returned result will be ufixed (left_index downto right_index)
-- If "round_style" is fixed_round, then the result will be rounded.
-- If the MSB of the remainder is a "1" AND the LSB of the unrounded result
-- is a '1' or the lower bits of the remainder include a '1' then the result
-- will be increased by the smallest representable number for that type.
-- "overflow_style" can be fixed_saturate or fixed_wrap.
-- In saturate mode, if the number overflows then the largest possible
-- representable number is returned. If wrap mode, then the upper bits
-- of the number are truncated.
function resize (
arg : UNRESOLVED_ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- "size_res" functions create the size of the output from the indices
-- of the "size_res" input. The actual value of "size_res" is not used.
function resize (
arg : UNRESOLVED_ufixed; -- input
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- Note that in "wrap" mode the sign bit is not replicated. Thus the
-- resize of a negative number can have a positive result in wrap mode.
function resize (
arg : UNRESOLVED_sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
function resize (
arg : UNRESOLVED_sfixed; -- input
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
--===========================================================================
-- Conversion Functions
--===========================================================================
-- integer (natural) to unsigned fixed point.
-- arguments are the upper and lower bounds of the number, thus
-- ufixed (7 downto -3) <= to_ufixed (int, 7, -3);
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- real to unsigned fixed point
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : REAL; -- real
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- unsigned to unsigned fixed point
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- Performs a conversion. ufixed (arg'range) is returned
function to_ufixed (
arg : UNSIGNED) -- unsigned
return UNRESOLVED_ufixed;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : UNRESOLVED_ufixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNSIGNED;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : UNRESOLVED_ufixed; -- fixed point input
size_res : UNSIGNED; -- used for length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNSIGNED;
-- unsigned fixed point to real
function to_real (
arg : UNRESOLVED_ufixed) -- fixed point input
return REAL;
-- unsigned fixed point to integer
function to_integer (
arg : UNRESOLVED_ufixed; -- fixed point input
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return NATURAL;
-- Integer to UNRESOLVED_sfixed
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
-- Real to sfixed
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : REAL; -- real
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- signed to sfixed
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
-- signed to sfixed (output assumed to be size of signed input)
function to_sfixed (
arg : SIGNED) -- signed
return UNRESOLVED_sfixed;
-- Conversion from ufixed to sfixed
function to_sfixed (
arg : UNRESOLVED_ufixed)
return UNRESOLVED_sfixed;
-- signed fixed point to signed
function to_signed (
arg : UNRESOLVED_sfixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return SIGNED;
-- signed fixed point to signed
function to_signed (
arg : UNRESOLVED_sfixed; -- fixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return SIGNED;
-- signed fixed point to real
function to_real (
arg : UNRESOLVED_sfixed) -- fixed point input
return REAL;
-- signed fixed point to integer
function to_integer (
arg : UNRESOLVED_sfixed; -- fixed point input
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return INTEGER;
-- Because of the fairly complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod),
-- '1' (reciprocal), 'a' or 'A' (abs), 'n' or 'N' (unary -)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
--
function ufixed_high (size_res : UNRESOLVED_ufixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_ufixed)
return INTEGER;
function ufixed_low (size_res : UNRESOLVED_ufixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_ufixed)
return INTEGER;
function sfixed_high (size_res : UNRESOLVED_sfixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_sfixed)
return INTEGER;
function sfixed_low (size_res : UNRESOLVED_sfixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_sfixed)
return INTEGER;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
function saturate (
size_res : UNRESOLVED_ufixed) -- only the size of this is used
return UNRESOLVED_ufixed;
function saturate (
size_res : UNRESOLVED_sfixed) -- only the size of this is used
return UNRESOLVED_sfixed;
--===========================================================================
-- Translation Functions
--===========================================================================
-- maps meta-logical values
function to_01 (
s : UNRESOLVED_ufixed; -- fixed point input
constant XMAP : STD_ULOGIC := '0') -- Map x to
return UNRESOLVED_ufixed;
-- maps meta-logical values
function to_01 (
s : UNRESOLVED_sfixed; -- fixed point input
constant XMAP : STD_ULOGIC := '0') -- Map x to
return UNRESOLVED_sfixed;
function Is_X (arg : UNRESOLVED_ufixed) return BOOLEAN;
function Is_X (arg : UNRESOLVED_sfixed) return BOOLEAN;
function to_X01 (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function to_X01 (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function to_X01Z (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function to_X01Z (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function to_UX01 (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function to_UX01 (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- straight vector conversion routines, needed for synthesis.
-- These functions are here so that a std_logic_vector can be
-- converted to and from sfixed and ufixed. Note that you can
-- not convert these vectors because of their negative index.
function to_slv (
arg : UNRESOLVED_ufixed) -- fixed point vector
return STD_LOGIC_VECTOR;
alias to_StdLogicVector is to_slv [UNRESOLVED_ufixed
return STD_LOGIC_VECTOR];
alias to_Std_Logic_Vector is to_slv [UNRESOLVED_ufixed
return STD_LOGIC_VECTOR];
function to_slv (
arg : UNRESOLVED_sfixed) -- fixed point vector
return STD_LOGIC_VECTOR;
alias to_StdLogicVector is to_slv [UNRESOLVED_sfixed
return STD_LOGIC_VECTOR];
alias to_Std_Logic_Vector is to_slv [UNRESOLVED_sfixed
return STD_LOGIC_VECTOR];
function to_sulv (
arg : UNRESOLVED_ufixed) -- fixed point vector
return STD_ULOGIC_VECTOR;
alias to_StdULogicVector is to_sulv [UNRESOLVED_ufixed
return STD_ULOGIC_VECTOR];
alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_ufixed
return STD_ULOGIC_VECTOR];
function to_sulv (
arg : UNRESOLVED_sfixed) -- fixed point vector
return STD_ULOGIC_VECTOR;
alias to_StdULogicVector is to_sulv [UNRESOLVED_sfixed
return STD_ULOGIC_VECTOR];
alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_sfixed
return STD_ULOGIC_VECTOR];
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_ufixed) -- for size only
return UNRESOLVED_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_sfixed) -- for size only
return UNRESOLVED_sfixed;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- unsigned fixed point
function to_UFix (
arg : STD_ULOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_ufixed;
-- signed fixed point
function to_SFix (
arg : STD_ULOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_sfixed;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function UFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function UFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
-- Same as above but for signed fixed point. Note that the width
-- of a signed fixed point number ignores the sign bit, thus
-- width = sxxx'length-1
function SFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function SFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
-- rtl_synthesis off
-- pragma synthesis_off
--===========================================================================
-- string and textio Functions
--===========================================================================
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_ufixed);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_sfixed);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN);
alias bwrite is WRITE [LINE, UNRESOLVED_ufixed, SIDE, width];
alias bwrite is WRITE [LINE, UNRESOLVED_sfixed, SIDE, width];
alias bread is READ [LINE, UNRESOLVED_ufixed];
alias bread is READ [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias bread is READ [LINE, UNRESOLVED_sfixed];
alias bread is READ [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_ufixed, SIDE, width];
alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_sfixed, SIDE, width];
alias BINARY_READ is READ [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias BINARY_READ is READ [LINE, UNRESOLVED_ufixed];
alias BINARY_READ is READ [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias BINARY_READ is READ [LINE, UNRESOLVED_sfixed];
-- octal read and write
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN);
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_ufixed];
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_sfixed];
alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_ufixed, SIDE, WIDTH];
alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_sfixed, SIDE, WIDTH];
-- hex read and write
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN);
alias HEX_READ is HREAD [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias HEX_READ is HREAD [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias HEX_READ is HREAD [LINE, UNRESOLVED_ufixed];
alias HEX_READ is HREAD [LINE, UNRESOLVED_sfixed];
alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_ufixed, SIDE, WIDTH];
alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_sfixed, SIDE, WIDTH];
-- returns a string, useful for:
-- assert (x = y) report "error found " & to_string(x) severity error;
function to_string (value : UNRESOLVED_ufixed) return STRING;
alias to_bstring is to_string [UNRESOLVED_ufixed return STRING];
alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_ufixed return STRING];
function to_ostring (value : UNRESOLVED_ufixed) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_ufixed return STRING];
function to_hstring (value : UNRESOLVED_ufixed) return STRING;
alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_ufixed return STRING];
function to_string (value : UNRESOLVED_sfixed) return STRING;
alias to_bstring is to_string [UNRESOLVED_sfixed return STRING];
alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_sfixed return STRING];
function to_ostring (value : UNRESOLVED_sfixed) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_sfixed return STRING];
function to_hstring (value : UNRESOLVED_sfixed) return STRING;
alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_sfixed return STRING];
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
alias from_binary_string is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
alias from_octal_string is from_ostring [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
alias from_hex_string is from_hstring [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
alias from_binary_string is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
alias from_octal_string is from_ostring [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
alias from_hex_string is from_hstring [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
alias from_bstring is from_string [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
alias from_binary_string is from_string [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
alias from_octal_string is from_ostring [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
alias from_hex_string is from_hstring [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
alias from_bstring is from_string [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
alias from_binary_string is from_string [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
alias from_octal_string is from_ostring [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
alias from_hex_string is from_hstring [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
-- Direct conversion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return UNRESOLVED_ufixed;
alias from_bstring is from_string [STRING return UNRESOLVED_ufixed];
alias from_binary_string is from_string [STRING return UNRESOLVED_ufixed];
-- Direct octal and hex conversion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return UNRESOLVED_ufixed;
alias from_octal_string is from_ostring [STRING return UNRESOLVED_ufixed];
function from_hstring (
hstring : STRING) -- hex string
return UNRESOLVED_ufixed;
alias from_hex_string is from_hstring [STRING return UNRESOLVED_ufixed];
function from_string (
bstring : STRING) -- binary string
return UNRESOLVED_sfixed;
alias from_bstring is from_string [STRING return UNRESOLVED_sfixed];
alias from_binary_string is from_string [STRING return UNRESOLVED_sfixed];
function from_ostring (
ostring : STRING) -- Octal string
return UNRESOLVED_sfixed;
alias from_octal_string is from_ostring [STRING return UNRESOLVED_sfixed];
function from_hstring (
hstring : STRING) -- hex string
return UNRESOLVED_sfixed;
alias from_hex_string is from_hstring [STRING return UNRESOLVED_sfixed];
-- rtl_synthesis on
-- pragma synthesis_on
-- IN VHDL-2006 std_logic_vector is a subtype of std_ulogic_vector, so these
-- extra functions are needed for compatability.
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_ufixed) -- for size only
return UNRESOLVED_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_sfixed) -- for size only
return UNRESOLVED_sfixed;
-- unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_ufixed;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_sfixed;
end package fixed_pkg;
-------------------------------------------------------------------------------
-- Proposed package body for the VHDL-200x-FT fixed_pkg package
-- (Fixed point math package)
-- This package body supplies a recommended implementation of these functions
-- Version : $Revision: 2.0 $
-- Date : $Date: 2011/01/26 15:55:27 $
--
-- Created for VHDL-200X-ft, David Bishop ([email protected])
-------------------------------------------------------------------------------
library IEEE;
use IEEE.MATH_REAL.all;
package body fixed_pkg is
-- Author David Bishop ([email protected])
-- Other contributers: Jim Lewis, Yannick Grugni, Ryan W. Hilton
-- null array constants
constant NAUF : UNRESOLVED_ufixed (0 downto 1) := (others => '0');
constant NASF : UNRESOLVED_sfixed (0 downto 1) := (others => '0');
constant NSLV : STD_ULOGIC_VECTOR (0 downto 1) := (others => '0');
-- This differed constant will tell you if the package body is synthesizable
-- or implemented as real numbers, set to "true" if synthesizable.
constant fixedsynth_or_real : BOOLEAN := true;
-- %%% Replicated functions
function maximum (
l, r : integer) -- inputs
return integer is
begin -- function max
if l > r then return l;
else return r;
end if;
end function maximum;
function minimum (
l, r : integer) -- inputs
return integer is
begin -- function min
if l > r then return r;
else return l;
end if;
end function minimum;
function "sra" (arg : SIGNED; count : INTEGER)
return SIGNED is
begin
if (COUNT >= 0) then
return SHIFT_RIGHT(arg, count);
else
return SHIFT_LEFT(arg, -count);
end if;
end function "sra";
function or_reduce (arg : STD_ULOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '0';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := or_reduce (BUS_int (BUS_int'left downto Half));
Lower := or_reduce (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper or Lower;
end if;
end if;
return Result;
end function or_reduce;
-- purpose: AND all of the bits in a vector together
-- This is a copy of the proposed "and_reduce" from 1076.3
function and_reduce (arg : STD_ULOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '1';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := and_reduce (BUS_int (BUS_int'left downto Half));
Lower := and_reduce (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper and Lower;
end if;
end if;
return Result;
end function and_reduce;
function xor_reduce (arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range
begin
if (arg'length >= 1) then
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := xor_reduce (BUS_int (BUS_int'left downto Half));
Lower := xor_reduce (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper xor Lower;
end if;
end if;
return Result;
end function xor_reduce;
function nand_reduce(arg : std_ulogic_vector) return STD_ULOGIC is
begin
return not and_reduce (arg);
end function nand_reduce;
function nor_reduce(arg : std_ulogic_vector) return STD_ULOGIC is
begin
return not or_reduce (arg);
end function nor_reduce;
function xnor_reduce(arg : std_ulogic_vector) return STD_ULOGIC is
begin
return not xor_reduce (arg);
end function xnor_reduce;
-- Match table, copied form new std_logic_1164
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-- constant match_logic_table : stdlogic_table := (
-- -----------------------------------------------------
-- -- U X 0 1 Z W L H - | |
-- -----------------------------------------------------
-- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
-- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
-- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
-- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
-- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
-- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
-- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
-- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
-- ('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
-- );
-- purpose: Syntheis verison of the match_logic_table
function match_logic_table (
l, r : std_ulogic)
return std_ulogic is
variable lx, rx : STD_ULOGIC;
begin -- match_logic_table
lx := to_x01(l);
rx := to_x01(r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx = rx then
return '1';
else
return '0';
end if;
end match_logic_table;
-- constant no_match_logic_table : stdlogic_table := (
-- -----------------------------------------------------
-- -- U X 0 1 Z W L H - | |
-- -----------------------------------------------------
-- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
-- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
-- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
-- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
-- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
-- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
-- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
-- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
-- ('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
-- );
function no_match_logic_table (
l, r : std_ulogic)
return std_ulogic is
begin -- no_match_logic_table
return not match_logic_table (l, r);
end no_match_logic_table;
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- "?=" operator is similar to "std_match", but returns a std_ulogic..
-- Id: M.2B
function \?=\ (L, R: UNSIGNED) return STD_ULOGIC is
constant L_LEFT : INTEGER := L'LENGTH-1;
constant R_LEFT : INTEGER := R'LENGTH-1;
alias XL : UNSIGNED(L_LEFT downto 0) is L;
alias XR : UNSIGNED(R_LEFT downto 0) is R;
constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH);
variable LX : UNSIGNED(SIZE-1 downto 0);
variable RX : UNSIGNED(SIZE-1 downto 0);
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?="": null detected, returning X"
severity warning;
return 'X';
else
LX := RESIZE(XL, SIZE);
RX := RESIZE(XR, SIZE);
result := '1';
for i in LX'low to LX'high loop
result1 := \?=\(LX(i), RX(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- Id: M.3B
function \?=\ (L, R: SIGNED) return std_ulogic is
constant L_LEFT : INTEGER := L'LENGTH-1;
constant R_LEFT : INTEGER := R'LENGTH-1;
alias XL : SIGNED(L_LEFT downto 0) is L;
alias XR : SIGNED(R_LEFT downto 0) is R;
constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH);
variable LX : SIGNED(SIZE-1 downto 0);
variable RX : SIGNED(SIZE-1 downto 0);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?="": null detected, returning X"
severity warning;
return 'X';
else
LX := RESIZE(XL, SIZE);
RX := RESIZE(XR, SIZE);
result := '1';
for i in LX'low to LX'high loop
result1 := \?=\ (LX(i), RX(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
function \?/=\ (L, R : UNSIGNED) return std_ulogic is
constant L_LEFT : INTEGER := L'LENGTH-1;
constant R_LEFT : INTEGER := R'LENGTH-1;
alias XL : UNSIGNED(L_LEFT downto 0) is L;
alias XR : UNSIGNED(R_LEFT downto 0) is R;
constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH);
variable LX : UNSIGNED(SIZE-1 downto 0);
variable RX : UNSIGNED(SIZE-1 downto 0);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?/="": null detected, returning X"
severity warning;
return 'X';
else
LX := RESIZE(XL, SIZE);
RX := RESIZE(XR, SIZE);
result := '0';
for i in LX'low to LX'high loop
result1 := \?/=\ (LX(i), RX(i));
if result1 = 'U' then
result := 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
function \?/=\ (L, R : SIGNED) return std_ulogic is
constant L_LEFT : INTEGER := L'LENGTH-1;
constant R_LEFT : INTEGER := R'LENGTH-1;
alias XL : SIGNED(L_LEFT downto 0) is L;
alias XR : SIGNED(R_LEFT downto 0) is R;
constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH);
variable LX : SIGNED(SIZE-1 downto 0);
variable RX : SIGNED(SIZE-1 downto 0);
variable result, result1 : STD_ULOGIC; -- result
begin -- ?=
if ((L'LENGTH < 1) or (R'LENGTH < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?/="": null detected, returning X"
severity warning;
return 'X';
else
LX := RESIZE(XL, SIZE);
RX := RESIZE(XR, SIZE);
result := '0';
for i in LX'low to LX'high loop
result1 := \?/=\ (LX(i), RX(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
function Is_X ( s : UNSIGNED ) return BOOLEAN is
begin
return Is_X (STD_LOGIC_VECTOR (s));
end function Is_X;
function Is_X ( s : SIGNED ) return BOOLEAN is
begin
return Is_X (STD_LOGIC_VECTOR (s));
end function Is_X;
function \?>\ (L, R : UNSIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?>"": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?>"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?>"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% function "?>" (L, R : UNSIGNED) return std_ulogic is
-- %%% end function "?>"\;
function \?>\ (L, R : SIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?>"": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?>"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?>"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
function \?>=\ (L, R : UNSIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?>="": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?>="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?>="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% function "?>=" (L, R : UNSIGNED) return std_ulogic is
-- %%% end function "?>=";
function \?>=\ (L, R : SIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?>="": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?>="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?>="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
function \?<\ (L, R : UNSIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?<"": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?<"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?<"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% function "?<" (L, R : UNSIGNED) return std_ulogic is
-- %%% end function "?<";
function \?<\ (L, R : SIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?<"": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?<"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?<"": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
function \?<=\ (L, R : UNSIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?<="": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?<="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?<="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% function "?<=" (L, R : UNSIGNED) return std_ulogic is
-- %%% end function "?<=";
function \?<=\ (L, R : SIGNED) return STD_ULOGIC is
begin
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report "NUMERIC_STD.""?<="": null detected, returning X"
severity warning;
return 'X';
else
for i in L'range loop
if L(i) = '-' then
report "NUMERIC_STD.""?<="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
report "NUMERIC_STD.""?<="": '-' found in compare string"
severity error;
return 'X';
end if;
end loop;
if is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END replicated functions
-- Special version of "minimum" to do some boundary checking without errors
function mins (l, r : INTEGER)
return INTEGER is
begin -- function mins
if (L = INTEGER'low or R = INTEGER'low) then
return 0; -- error condition, silent
end if;
return minimum (L, R);
end function mins;
-- Special version of "minimum" to do some boundary checking with errors
function mine (l, r : INTEGER)
return INTEGER is
begin -- function mine
if (L = INTEGER'low or R = INTEGER'low) then
report fixed_pkg'instance_name
& " Unbounded number passed, was a literal used?"
severity error;
return 0;
end if;
return minimum (L, R);
end function mine;
-- The following functions are used only internally. Every function
-- calls "cleanvec" either directly or indirectly.
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : UNRESOLVED_sfixed) -- input
return UNRESOLVED_sfixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : UNRESOLVED_sfixed (arg'range);
begin -- function cleanvec
assert not (arg'ascending and (arg'low /= INTEGER'low))
report fixed_pkg'instance_name
& " Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- purpose: Fixes "downto" problem and resolves meta states
function cleanvec (
arg : UNRESOLVED_ufixed) -- input
return UNRESOLVED_ufixed is
constant left_index : INTEGER := maximum(arg'left, arg'right);
constant right_index : INTEGER := mins(arg'left, arg'right);
variable result : UNRESOLVED_ufixed (arg'range);
begin -- function cleanvec
assert not (arg'ascending and (arg'low /= INTEGER'low))
report fixed_pkg'instance_name
& " Vector passed using a ""to"" range, expected is ""downto"""
severity error;
return arg;
end function cleanvec;
-- Type convert a "unsigned" into a "ufixed", used internally
function to_fixed (
arg : UNSIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (left_index downto right_index);
begin -- function to_fixed
result := UNRESOLVED_ufixed(arg);
return result;
end function to_fixed;
-- Type convert a "signed" into an "sfixed", used internally
function to_fixed (
arg : SIGNED; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (left_index downto right_index);
begin -- function to_fixed
result := UNRESOLVED_sfixed(arg);
return result;
end function to_fixed;
-- Type convert a "ufixed" into an "unsigned", used internally
function to_uns (
arg : UNRESOLVED_ufixed) -- fp vector
return UNSIGNED is
subtype t is UNSIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_uns
slv := t(arg);
return slv;
end function to_uns;
-- Type convert an "sfixed" into a "signed", used internally
function to_s (
arg : UNRESOLVED_sfixed) -- fp vector
return SIGNED is
subtype t is SIGNED(arg'high - arg'low downto 0);
variable slv : t;
begin -- function to_s
slv := t(arg);
return slv;
end function to_s;
-- adds 1 to the LSB of the number
procedure round_up (arg : in UNRESOLVED_ufixed;
result : out UNRESOLVED_ufixed;
overflowx : out BOOLEAN) is
variable arguns, resuns : UNSIGNED (arg'high-arg'low+1 downto 0)
:= (others => '0');
begin -- round_up
arguns (arguns'high-1 downto 0) := to_uns (arg);
resuns := arguns + 1;
result := to_fixed(resuns(arg'high-arg'low
downto 0), arg'high, arg'low);
overflowx := (resuns(resuns'high) = '1');
end procedure round_up;
-- adds 1 to the LSB of the number
procedure round_up (arg : in UNRESOLVED_sfixed;
result : out UNRESOLVED_sfixed;
overflowx : out BOOLEAN) is
variable args, ress : SIGNED (arg'high-arg'low+1 downto 0);
begin -- round_up
args (args'high-1 downto 0) := to_s (arg);
args(args'high) := arg(arg'high); -- sign extend
ress := args + 1;
result := to_fixed(ress (ress'high-1
downto 0), arg'high, arg'low);
overflowx := ((arg(arg'high) /= ress(ress'high-1))
and (or_reduce (STD_ULOGIC_VECTOR(ress)) /= '0'));
end procedure round_up;
-- Rounding - Performs a "round_nearest" (IEEE 754) which rounds up
-- when the remainder is > 0.5. If the remainder IS 0.5 then if the
-- bottom bit is a "1" it is rounded, otherwise it remains the same.
function round_fixed (arg : UNRESOLVED_ufixed;
remainder : UNRESOLVED_ufixed;
overflow_style : fixed_overflow_style_type := fixed_overflow_style)
return UNRESOLVED_ufixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : UNRESOLVED_ufixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reduce (to_sulv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if (overflow_style = fixed_saturate) and round_overflow then
result := saturate (result'high, result'low);
end if;
return result;
end function round_fixed;
-- Rounding case statement
function round_fixed (arg : UNRESOLVED_sfixed;
remainder : UNRESOLVED_sfixed;
overflow_style : fixed_overflow_style_type := fixed_overflow_style)
return UNRESOLVED_sfixed is
variable rounds : BOOLEAN;
variable round_overflow : BOOLEAN;
variable result : UNRESOLVED_sfixed (arg'range);
begin
rounds := false;
if (remainder'length > 1) then
if (remainder (remainder'high) = '1') then
rounds := (arg(arg'low) = '1')
or (or_reduce (to_sulv(remainder(remainder'high-1 downto
remainder'low))) = '1');
end if;
else
rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1');
end if;
if rounds then
round_up(arg => arg,
result => result,
overflowx => round_overflow);
else
result := arg;
end if;
if round_overflow then
if (overflow_style = fixed_saturate) then
if arg(arg'high) = '0' then
result := saturate (result'high, result'low);
else
result := not saturate (result'high, result'low);
end if;
-- Sign bit not fixed when wrapping
end if;
end if;
return result;
end function round_fixed;
-- converts an sfixed into a ufixed. The output is the same length as the
-- input, because abs("1000") = "1000" = 8.
function to_ufixed (
arg : UNRESOLVED_sfixed)
return UNRESOLVED_ufixed
is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable xarg : UNRESOLVED_sfixed(left_index+1 downto right_index);
variable result : UNRESOLVED_ufixed(left_index downto right_index);
begin
if arg'length < 1 then
return NAUF;
end if;
xarg := abs(arg);
result := UNRESOLVED_ufixed (xarg (left_index downto right_index));
return result;
end function to_ufixed;
-----------------------------------------------------------------------------
-- Visible functions
-----------------------------------------------------------------------------
-- Conversion functions. These are needed for synthesis where typically
-- the only input and output type is a std_logic_vector.
function to_sulv (
arg : UNRESOLVED_ufixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
variable result : STD_ULOGIC_VECTOR (arg'length-1 downto 0);
begin
if arg'length < 1 then
return NSLV;
end if;
result := STD_ULOGIC_VECTOR (arg);
return result;
end function to_sulv;
function to_sulv (
arg : UNRESOLVED_sfixed) -- fixed point vector
return STD_ULOGIC_VECTOR is
variable result : STD_ULOGIC_VECTOR (arg'length-1 downto 0);
begin
if arg'length < 1 then
return NSLV;
end if;
result := STD_ULOGIC_VECTOR (arg);
return result;
end function to_sulv;
function to_slv (
arg : UNRESOLVED_ufixed) -- fixed point vector
return STD_LOGIC_VECTOR is
begin
return to_stdlogicvector(to_sulv(arg));
end function to_slv;
function to_slv (
arg : UNRESOLVED_sfixed) -- fixed point vector
return STD_LOGIC_VECTOR is
begin
return to_stdlogicvector(to_sulv(arg));
end function to_slv;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return unresolved_ufixed is
variable result : UNRESOLVED_ufixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NAUF;
end if;
if (arg'length /= result'length) then
report fixed_pkg'instance_name & "TO_UFIXED(SLV) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_fixed (arg => UNSIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return unresolved_sfixed is
variable result : UNRESOLVED_sfixed (left_index downto right_index);
begin
if (arg'length < 1 or right_index > left_index) then
return NASF;
end if;
if (arg'length /= result'length) then
report fixed_pkg'instance_name & "TO_SFIXED(SLV) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_fixed (arg => SIGNED(arg),
left_index => left_index,
right_index => right_index);
return result;
end if;
end function to_sfixed;
-- Two's complement number, Grows the vector by 1 bit.
-- because "abs (1000.000) = 01000.000" or abs(-16) = 16.
function "abs" (
arg : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : UNRESOLVED_sfixed (left_index+1 downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec (arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (abs(ressns), left_index+1, right_index);
return result;
end function "abs";
-- also grows the vector by 1 bit.
function "-" (
arg : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
constant left_index : INTEGER := arg'high+1;
constant right_index : INTEGER := mine(arg'low, arg'low);
variable ressns : SIGNED (arg'length downto 0);
variable result : UNRESOLVED_sfixed (left_index downto right_index);
begin
if (arg'length < 1 or result'length < 1) then
return NASF;
end if;
ressns (arg'length-1 downto 0) := to_s (cleanvec(arg));
ressns (arg'length) := ressns (arg'length-1); -- expand sign bit
result := to_fixed (-ressns, left_index, right_index);
return result;
end function "-";
-- Addition
function "+" (
l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) + ufixed(c downto d) =
return UNRESOLVED_ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mine(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable result : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1 or result'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
function "+" (
l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) + sfixed(c downto d) =
return UNRESOLVED_sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mine(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable result : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1 or result'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "+";
-- Subtraction
function "-" (
l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) - ufixed(c downto d) =
return UNRESOLVED_ufixed is -- ufixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mine(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable result : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
begin
if (l'length < 1 or r'length < 1 or result'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "-" (
l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) - sfixed(c downto d) =
return UNRESOLVED_sfixed is -- sfixed(max(a,c)+1 downto min(b,d))
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mine(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable result : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index downto 0);
variable result_slv : SIGNED (left_index-right_index downto 0);
begin
if (l'length < 1 or r'length < 1 or result'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv - rslv;
result := to_fixed(result_slv, left_index, right_index);
return result;
end function "-";
function "*" (
l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) * ufixed(c downto d) =
return UNRESOLVED_ufixed is -- ufixed(a+c+1 downto b+d)
variable lslv : UNSIGNED (l'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (r'length+l'length-1 downto 0);
variable result : UNRESOLVED_ufixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NAUF;
end if;
lslv := to_uns (cleanvec(l));
rslv := to_uns (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "*" (
l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) * sfixed(c downto d) =
return UNRESOLVED_sfixed is -- sfixed(a+c+1 downto b+d)
variable lslv : SIGNED (l'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (r'length+l'length-1 downto 0);
variable result : UNRESOLVED_sfixed (l'high + r'high+1 downto
mine(l'low, l'low) + mine(r'low, r'low));
begin
if (l'length < 1 or r'length < 1 or
result'length /= result_slv'length) then
return NASF;
end if;
lslv := to_s (cleanvec(l));
rslv := to_s (cleanvec(r));
result_slv := lslv * rslv;
result := to_fixed (result_slv, result'high, result'low);
return result;
end function "*";
function "/" (
l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) / ufixed(c downto d) =
return UNRESOLVED_ufixed is -- ufixed(a-d downto b-c-1)
begin
return divide (l, r);
end function "/";
function "/" (
l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) / sfixed(c downto d) =
return UNRESOLVED_sfixed is -- sfixed(a-d+1 downto b-c)
begin
return divide (l, r);
end function "/";
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : UNRESOLVED_ufixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (l'high - mine(r'low, r'low) downto
mine (l'low, l'low) - r'high -1);
variable dresult : UNRESOLVED_ufixed (result'high downto result'low -guard_bits);
variable lresize : UNRESOLVED_ufixed (l'high downto l'high - dresult'length+1);
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (r'length-1 downto 0);
variable result_slv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (arg => l,
left_index => lresize'high,
right_index => lresize'low,
overflow_style => fixed_wrap, -- vector only grows
round_style => fixed_truncate);
lslv := to_uns (cleanvec (lresize));
rslv := to_uns (cleanvec (r));
if (rslv = 0) then
report fixed_pkg'instance_name
& "DIVIDE(ufixed) Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => fixed_wrap, -- overflow impossible
round_style => round_style);
end if;
return result;
end function divide;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : UNRESOLVED_sfixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (l'high - mine(r'low, r'low) + 1 downto
mine (l'low, l'low) - r'high);
variable dresult : UNRESOLVED_sfixed (result'high downto result'low-guard_bits);
variable lresize : UNRESOLVED_sfixed (l'high+1 downto l'high+1 -dresult'length+1);
variable lslv : SIGNED (lresize'length-1 downto 0);
variable rslv : SIGNED (r'length-1 downto 0);
variable result_slv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
lresize := resize (arg => l,
left_index => lresize'high,
right_index => lresize'low,
overflow_style => fixed_wrap, -- vector only grows
round_style => fixed_truncate);
lslv := to_s (cleanvec (lresize));
rslv := to_s (cleanvec (r));
if (rslv = 0) then
report fixed_pkg'instance_name
& "DIVIDE(sfixed) Division by zero" severity error;
result := saturate (result'high, result'low);
else
result_slv := lslv / rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => fixed_wrap, -- overflow impossible
round_style => round_style);
end if;
return result;
end function divide;
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : UNRESOLVED_ufixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed is
constant one : UNRESOLVED_ufixed (0 downto 0) := "1";
begin
return divide (l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
end function reciprocal;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : UNRESOLVED_sfixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed is
constant one : UNRESOLVED_sfixed (1 downto 0) := "01"; -- extra bit.
variable resultx : UNRESOLVED_sfixed (-mine(arg'low, arg'low)+2 downto -arg'high);
begin
if (arg'length < 1 or resultx'length < 1) then
return NASF;
else
resultx := divide (l => one,
r => arg,
round_style => round_style,
guard_bits => guard_bits);
return resultx (resultx'high-1 downto resultx'low); -- remove extra bit
end if;
end function reciprocal;
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function "rem" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return remainder (l, r);
end function "rem";
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function "rem" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return remainder (l, r);
end function "rem";
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b,d))
function remainder (
l, r : UNRESOLVED_ufixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (minimum(l'high, r'high) downto
mine(l'low, r'low));
variable lresize : UNRESOLVED_ufixed (maximum(l'high, r'low) downto
mins(r'low, r'low)-guard_bits);
variable rresize : UNRESOLVED_ufixed (r'high downto r'low-guard_bits);
variable dresult : UNRESOLVED_ufixed (rresize'range);
variable lslv : UNSIGNED (lresize'length-1 downto 0);
variable rslv : UNSIGNED (rresize'length-1 downto 0);
variable result_slv : UNSIGNED (rslv'range);
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NAUF;
end if;
lresize := resize (arg => l,
left_index => lresize'high,
right_index => lresize'low,
overflow_style => fixed_wrap, -- vector only grows
round_style => fixed_truncate);
lslv := to_uns (lresize);
rresize := resize (arg => r,
left_index => rresize'high,
right_index => rresize'low,
overflow_style => fixed_wrap, -- vector only grows
round_style => fixed_truncate);
rslv := to_uns (rresize);
if (rslv = 0) then
report fixed_pkg'instance_name
& "remainder(ufixed) Division by zero" severity error;
result := saturate (result'high, result'low); -- saturate
else
if (r'low <= l'high) then
result_slv := lslv rem rslv;
dresult := to_fixed (result_slv, dresult'high, dresult'low);
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => fixed_wrap, -- can't overflow
round_style => round_style);
end if;
if l'low < r'low then
result(mins(r'low-1, l'high) downto l'low) :=
cleanvec(l(mins(r'low-1, l'high) downto l'low));
end if;
end if;
return result;
end function remainder;
-- remainder
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (min(a,c) downto min(b,d))
function remainder (
l, r : UNRESOLVED_sfixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed is
variable l_abs : UNRESOLVED_ufixed (l'range);
variable r_abs : UNRESOLVED_ufixed (r'range);
variable result : UNRESOLVED_sfixed (minimum(r'high, l'high) downto
mine(r'low, l'low));
variable neg_result : UNRESOLVED_sfixed (minimum(r'high, l'high)+1 downto
mins(r'low, l'low));
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := to_ufixed (l);
r_abs := to_ufixed (r);
result := UNRESOLVED_sfixed (remainder (
l => l_abs,
r => r_abs,
round_style => round_style));
neg_result := -result;
if l(l'high) = '1' then
result := neg_result(result'range);
end if;
return result;
end function remainder;
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function "mod" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return modulo (l, r);
end function "mod";
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function "mod" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return modulo(l, r);
end function "mod";
-- modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (min(a,c) downto min(b, d))
function modulo (
l, r : UNRESOLVED_ufixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed is
begin
return remainder(l => l,
r => r,
round_style => round_style,
guard_bits => guard_bits);
end function modulo;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto min(b, d))
function modulo (
l, r : UNRESOLVED_sfixed; -- fixed point input
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed is
variable l_abs : UNRESOLVED_ufixed (l'range);
variable r_abs : UNRESOLVED_ufixed (r'range);
variable result : UNRESOLVED_sfixed (r'high downto
mine(r'low, l'low));
variable dresult : UNRESOLVED_sfixed (minimum(r'high, l'high)+1 downto
mins(r'low, l'low));
variable dresult_not_zero : BOOLEAN;
begin
if (l'length < 1 or r'length < 1 or
mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then
return NASF;
end if;
l_abs := to_ufixed (l);
r_abs := to_ufixed (r);
dresult := "0" & UNRESOLVED_sfixed(remainder (l => l_abs,
r => r_abs,
round_style => round_style));
if (to_s(dresult) = 0) then
dresult_not_zero := false;
else
dresult_not_zero := true;
end if;
if to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '0'
and dresult_not_zero then
result := resize (arg => r - dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '1' then
result := resize (arg => -dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
elsif to_x01(l(l'high)) = '0' and to_x01(r(r'high)) = '1'
and dresult_not_zero then
result := resize (arg => dresult + r,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
else
result := resize (arg => dresult,
left_index => result'high,
right_index => result'low,
overflow_style => overflow_style,
round_style => round_style);
end if;
return result;
end function modulo;
-- Procedure for those who need an "accumulator" function
procedure add_carry (
L, R : in UNRESOLVED_ufixed;
c_in : in STD_ULOGIC;
result : out UNRESOLVED_ufixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (left_index-right_index
downto 0);
variable result_slv : UNSIGNED (left_index-right_index
downto 0);
variable cx : UNSIGNED (0 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NAUF;
c_out := '0';
else
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
procedure add_carry (
L, R : in UNRESOLVED_sfixed;
c_in : in STD_ULOGIC;
result : out UNRESOLVED_sfixed;
c_out : out STD_ULOGIC) is
constant left_index : INTEGER := maximum(l'high, r'high)+1;
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (left_index-right_index
downto 0);
variable result_slv : SIGNED (left_index-right_index
downto 0);
variable cx : SIGNED (1 downto 0); -- Carry in
begin
if (l'length < 1 or r'length < 1) then
result := NASF;
c_out := '0';
else
cx (1) := '0';
cx (0) := c_in;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
result_slv := lslv + rslv + cx;
c_out := result_slv(left_index);
result := to_fixed(result_slv (left_index-right_index-1 downto 0),
left_index-1, right_index);
end if;
end procedure add_carry;
-- Scales the result by a power of 2. Width of input = width of output with
-- the decimal point moved.
function scalb (y : UNRESOLVED_ufixed; N : INTEGER)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NAUF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : UNRESOLVED_ufixed; N : SIGNED)
return UNRESOLVED_ufixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function scalb (y : UNRESOLVED_sfixed; N : INTEGER)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (y'high+N downto y'low+N);
begin
if y'length < 1 then
return NASF;
else
result := y;
return result;
end if;
end function scalb;
function scalb (y : UNRESOLVED_sfixed; N : SIGNED)
return UNRESOLVED_sfixed is
begin
return scalb (y => y,
N => to_integer(N));
end function scalb;
function Is_Negative (arg : UNRESOLVED_sfixed) return BOOLEAN is
begin
if to_X01(arg(arg'high)) = '1' then
return true;
else
return false;
end if;
end function Is_Negative;
function find_rightmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC)
return INTEGER is
begin
for_loop : for i in arg'reverse_range loop
if \?=\ (arg(i), y) = '1' then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_rightmost;
function find_leftmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC)
return INTEGER is
begin
for_loop : for i in arg'range loop
if \?=\ (arg(i), y) = '1' then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_leftmost;
function find_rightmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC)
return INTEGER is
begin
for_loop : for i in arg'reverse_range loop
if \?=\ (arg(i), y) = '1' then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_rightmost;
function find_leftmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC)
return INTEGER is
begin
for_loop : for i in arg'range loop
if \?=\ (arg(i), y) = '1' then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_leftmost;
function "sll" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_ufixed (arg'range);
begin
argslv := to_uns (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed is
variable argslv : UNSIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_ufixed (arg'range);
begin
argslv := to_uns (arg);
-- Arithmetic shift on an unsigned is a logical shift
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
function "sll" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv sll COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sll";
function "srl" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv srl COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "srl";
function "rol" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv rol COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "rol";
function "ror" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_sfixed (arg'range);
begin
argslv := to_s (arg);
argslv := argslv ror COUNT;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "ror";
function "sla" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll COUNT;
else
argslv := argslv sra -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sla";
function "sra" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed is
variable argslv : SIGNED (arg'length-1 downto 0);
variable result : UNRESOLVED_sfixed (arg'range);
begin
argslv := to_s (arg);
if COUNT > 0 then
argslv := argslv sra COUNT;
else
-- Arithmetic shift left on a 2's complement number is a logic shift
argslv := argslv sll -COUNT;
end if;
result := to_fixed (argslv, result'high, result'low);
return result;
end function "sra";
-- Because some people want the older functions.
function SHIFT_LEFT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL)
return UNRESOLVED_ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL)
return UNRESOLVED_ufixed is
begin
if (ARG'length < 1) then
return NAUF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
function SHIFT_LEFT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL)
return UNRESOLVED_sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sla COUNT;
end function SHIFT_LEFT;
function SHIFT_RIGHT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL)
return UNRESOLVED_sfixed is
begin
if (ARG'length < 1) then
return NASF;
end if;
return ARG sra COUNT;
end function SHIFT_RIGHT;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_sulv(L);
return to_ufixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) and to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) or to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) nand to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) nor to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) xor to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) xnor to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_ufixed(RESULT, L'high, L'low);
end function "xnor";
function "not" (L : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_sulv(L);
return to_sfixed(RESULT, L'high, L'low);
end function "not";
function "and" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) and to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "and";
function "or" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) or to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "or";
function "nand" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) nand to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nand";
function "nor" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) nor to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "nor";
function "xor" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) xor to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xor";
function "xnor" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) xnor to_sulv(R);
else
assert NO_WARNING
report fixed_pkg'instance_name
& """xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_sfixed(RESULT, L'high, L'low);
end function "xnor";
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : UNRESOLVED_ufixed; R : STD_ULOGIC)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : UNRESOLVED_ufixed; R : STD_ULOGIC)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : UNRESOLVED_ufixed; R : STD_ULOGIC)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : UNRESOLVED_ufixed; R : STD_ULOGIC)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : UNRESOLVED_ufixed; R : STD_ULOGIC)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : UNRESOLVED_ufixed; R : STD_ULOGIC)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
function "and" (L : STD_ULOGIC; R : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : UNRESOLVED_sfixed; R : STD_ULOGIC)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : UNRESOLVED_sfixed; R : STD_ULOGIC)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : UNRESOLVED_sfixed; R : STD_ULOGIC)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : UNRESOLVED_sfixed; R : STD_ULOGIC)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : UNRESOLVED_sfixed; R : STD_ULOGIC)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : UNRESOLVED_sfixed; R : STD_ULOGIC)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
-- Reduction operator_reduces
function and_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is
begin
return and_reduce (to_sulv(l));
end function and_reduce;
function nand_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is
begin
return nand_reduce (to_sulv(l));
end function nand_reduce;
function or_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is
begin
return or_reduce (to_sulv(l));
end function or_reduce;
function nor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is
begin
return nor_reduce (to_sulv(l));
end function nor_reduce;
function xor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is
begin
return xor_reduce (to_sulv(l));
end function xor_reduce;
function xnor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is
begin
return xnor_reduce (to_sulv(l));
end function xnor_reduce;
function and_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is
begin
return and_reduce (to_sulv(l));
end function and_reduce;
function nand_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is
begin
return nand_reduce (to_sulv(l));
end function nand_reduce;
function or_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is
begin
return or_reduce (to_sulv(l));
end function or_reduce;
function nor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is
begin
return nor_reduce (to_sulv(l));
end function nor_reduce;
function xor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is
begin
return xor_reduce (to_sulv(l));
end function xor_reduce;
function xnor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is
begin
return xnor_reduce (to_sulv(l));
end function xnor_reduce;
-- End reduction operator_reduces
function \?=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin -- ?=
if ((L'length < 1) or (R'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return \?=\ (lslv, rslv);
end if;
end function \?=\;
function \?/=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin -- ?/=
if ((L'length < 1) or (R'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return \?/=\ (lslv, rslv);
end if;
end function \?/=\;
function \?>\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?>"": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return \?>\ (lslv, rslv);
end if;
end function \?>\;
function \?>=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?>="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return \?>=\ (lslv, rslv);
end if;
end function \?>=\;
function \?<\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?<"": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return \?<\ (lslv, rslv);
end if;
end function \?<\;
function \?<=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?<="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return \?<=\ (lslv, rslv);
end if;
end function \?<=\;
function \?=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin -- ?=
if ((L'length < 1) or (R'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return \?=\ (lslv, rslv);
end if;
end function \?=\;
function \?/=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin -- ?/=
if ((L'length < 1) or (R'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?/="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return \?/=\ (lslv, rslv);
end if;
end function \?/=\;
function \?>\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin -- ?>
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?>"": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return \?>\ (lslv, rslv);
end if;
end function \?>\;
function \?>=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin -- ?>=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?>="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return \?>=\ (lslv, rslv);
end if;
end function \?>=\;
function \?<\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin -- ?<
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?<"": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return \?<\ (lslv, rslv);
end if;
end function \?<\;
function \?<=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin -- ?<=
if ((l'length < 1) or (r'length < 1)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """?<="": null detected, returning X"
severity warning;
return 'X';
else
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return \?<=\ (lslv, rslv);
end if;
end function \?<=\;
-- Match function, similar to "std_match" from numeric_std
function std_match (L, R : UNRESOLVED_ufixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_sulv(L), to_sulv(R));
else
assert NO_WARNING
report fixed_pkg'instance_name
& "STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
function std_match (L, R : UNRESOLVED_sfixed) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_sulv(L), to_sulv(R));
else
assert NO_WARNING
report fixed_pkg'instance_name
& "STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
-- compare functions
function "=" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv = rslv;
end function "=";
function "=" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv = rslv;
end function "=";
function "/=" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv /= rslv;
end function "/=";
function "/=" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """/="": null argument detected, returning TRUE"
severity warning;
return true;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """/="": metavalue detected, returning TRUE"
severity warning;
return true;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv /= rslv;
end function "/=";
function ">" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv > rslv;
end function ">";
function ">" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv > rslv;
end function ">";
function "<" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv < rslv;
end function "<";
function "<" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<"": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<"": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv < rslv;
end function "<";
function ">=" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv >= rslv;
end function ">=";
function ">=" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """>="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv >= rslv;
end function ">=";
function "<=" (
l, r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_uns (lresize);
rslv := to_uns (rresize);
return lslv <= rslv;
end function "<=";
function "<=" (
l, r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
variable lslv, rslv : SIGNED (lresize'length-1 downto 0);
begin
if (l'length < 1 or r'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<="": null argument detected, returning FALSE"
severity warning;
return false;
elsif (Is_X(l) or Is_X(r)) then
assert NO_WARNING
report fixed_pkg'instance_name
& """<="": metavalue detected, returning FALSE"
severity warning;
return false;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
lslv := to_s (lresize);
rslv := to_s (rresize);
return lslv <= rslv;
end function "<=";
-- overloads of the default maximum and minimum functions
function maximum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
if lresize > rresize then return lresize;
else return rresize;
end if;
end function maximum;
function maximum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
if lresize > rresize then return lresize;
else return rresize;
end if;
end function maximum;
function minimum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index);
begin
if (l'length < 1 or r'length < 1) then
return NAUF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
if lresize > rresize then return rresize;
else return lresize;
end if;
end function minimum;
function minimum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is
constant left_index : INTEGER := maximum(l'high, r'high);
constant right_index : INTEGER := mins(l'low, r'low);
variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index);
begin
if (l'length < 1 or r'length < 1) then
return NASF;
end if;
lresize := resize (l, left_index, right_index);
rresize := resize (r, left_index, right_index);
if lresize > rresize then return rresize;
else return lresize;
end if;
end function minimum;
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed is
constant fw : INTEGER := mins (right_index, right_index); -- catch literals
variable result : UNRESOLVED_ufixed (left_index downto fw);
variable sresult : UNRESOLVED_ufixed (left_index downto 0) :=
(others => '0'); -- integer portion
variable argx : NATURAL; -- internal version of arg
begin
if (result'length < 1) then
return NAUF;
end if;
if arg /= 0 then
argx := arg;
for I in 0 to sresult'left loop
if (argx mod 2) = 0 then
sresult(I) := '0';
else
sresult(I) := '1';
end if;
argx := argx/2;
end loop;
if argx /= 0 then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_UFIXED(NATURAL): vector truncated"
severity warning;
if overflow_style = fixed_saturate then
return saturate (left_index, right_index);
end if;
end if;
result := resize (arg => sresult,
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
else
result := (others => '0');
end if;
return result;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed is
constant fw : INTEGER := mins (right_index, right_index); -- catch literals
variable result : UNRESOLVED_sfixed (left_index downto fw);
variable sresult : UNRESOLVED_sfixed (left_index downto 0) :=
(others => '0'); -- integer portion
variable argx : INTEGER; -- internal version of arg
variable sign : STD_ULOGIC; -- sign of input
begin
if (result'length < 1) then -- null range
return NASF;
end if;
if arg /= 0 then
if (arg < 0) then
sign := '1';
argx := -(arg + 1);
else
sign := '0';
argx := arg;
end if;
for I in 0 to sresult'left loop
if (argx mod 2) = 0 then
sresult(I) := sign;
else
sresult(I) := not sign;
end if;
argx := argx/2;
end loop;
if argx /= 0 or left_index < 0 or sign /= sresult(sresult'left) then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_SFIXED(INTEGER): vector truncated"
severity warning;
if overflow_style = fixed_saturate then -- saturate
if arg < 0 then
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
end if;
end if;
result := resize (arg => sresult,
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
else
result := (others => '0');
end if;
return result;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return UNRESOLVED_ufixed is
constant fw : INTEGER := mins (right_index, right_index); -- catch literals
variable result : UNRESOLVED_ufixed (left_index downto fw) :=
(others => '0');
variable Xresult : UNRESOLVED_ufixed (left_index downto
fw-guard_bits) :=
(others => '0');
variable presult : REAL;
-- variable overflow_needed : BOOLEAN;
begin
-- If negative or null range, return.
if (left_index < fw) then
return NAUF;
end if;
if (arg < 0.0) then
report fixed_pkg'instance_name
& "TO_UFIXED: Negative argument passed "
& REAL'image(arg) severity error;
return result;
end if;
presult := arg;
if presult >= (2.0**(left_index+1)) then
assert NO_WARNING report fixed_pkg'instance_name
& "TO_UFIXED(REAL): vector truncated"
severity warning;
if overflow_style = fixed_wrap then
presult := presult mod (2.0**(left_index+1)); -- wrap
else
return saturate (result'high, result'low);
end if;
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if guard_bits > 0 and round_style = fixed_round then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return UNRESOLVED_sfixed is
constant fw : INTEGER := mins (right_index, right_index); -- catch literals
variable result : UNRESOLVED_sfixed (left_index downto fw) :=
(others => '0');
variable Xresult : UNRESOLVED_sfixed (left_index+1 downto fw-guard_bits) :=
(others => '0');
variable presult : REAL;
begin
if (left_index < fw) then -- null range
return NASF;
end if;
if (arg >= (2.0**left_index) or arg < -(2.0**left_index)) then
assert NO_WARNING report fixed_pkg'instance_name
& "TO_SFIXED(REAL): vector truncated"
severity warning;
if overflow_style = fixed_saturate then
if arg < 0.0 then -- saturate
result := not saturate (result'high, result'low); -- underflow
else
result := saturate (result'high, result'low); -- overflow
end if;
return result;
else
presult := abs(arg) mod (2.0**(left_index+1)); -- wrap
end if;
else
presult := abs(arg);
end if;
for i in Xresult'range loop
if presult >= 2.0**i then
Xresult(i) := '1';
presult := presult - 2.0**i;
else
Xresult(i) := '0';
end if;
end loop;
if arg < 0.0 then
Xresult := to_fixed(-to_s(Xresult), Xresult'high, Xresult'low);
end if;
if guard_bits > 0 and round_style = fixed_round then
result := round_fixed (arg => Xresult (left_index
downto right_index),
remainder => Xresult (right_index-1 downto
right_index-guard_bits),
overflow_style => overflow_style);
else
result := Xresult (result'range);
end if;
return result;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
variable result : UNRESOLVED_ufixed (left_index downto right_index);
begin
if arg'length < 1 or (left_index < right_index) then
return NAUF;
end if;
result := resize (arg => UNRESOLVED_ufixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
-- converted version
function to_ufixed (
arg : UNSIGNED) -- unsigned
return UNRESOLVED_ufixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NAUF;
end if;
return UNRESOLVED_ufixed(xarg);
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
variable result : UNRESOLVED_sfixed (left_index downto right_index);
begin
if arg'length < 1 or (left_index < right_index) then
return NASF;
end if;
result := resize (arg => UNRESOLVED_sfixed (XARG),
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_sfixed;
-- converted version
function to_sfixed (
arg : SIGNED) -- signed
return UNRESOLVED_sfixed is
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
begin
if arg'length < 1 then
return NASF;
end if;
return UNRESOLVED_sfixed(xarg);
end function to_sfixed;
function to_sfixed (arg : UNRESOLVED_ufixed) return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (arg'high+1 downto arg'low);
begin
if arg'length < 1 then
return NASF;
end if;
result (arg'high downto arg'low) := UNRESOLVED_sfixed(cleanvec(arg));
result (arg'high+1) := '0';
return result;
end function to_sfixed;
-- Because of the fairly complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod),
-- '1' (reciprocal), 'A', 'a' (abs), 'N', 'n' (-sfixed)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2;
when '1' => return -right_index; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return mins (left_index, left_index2); -- "mod"
when others => return left_index; -- For abs and default
end case;
end function ufixed_high;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2 - 1;
when '1' => return -left_index - 1; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- for abs and default
end case;
end function ufixed_low;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return maximum (left_index, left_index2) + 1;
when '*' => return left_index + left_index2 + 1;
when '/' => return left_index - right_index2 + 1;
when '1' => return -right_index + 1; -- reciprocal
when 'R'|'r' => return mins (left_index, left_index2); -- "rem"
when 'M'|'m' => return left_index2; -- "mod"
when 'A'|'a' => return left_index + 1; -- "abs"
when 'N'|'n' => return left_index + 1; -- -sfixed
when others => return left_index;
end case;
end function sfixed_high;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER is
begin
case operation is
when '+'| '-' => return mins (right_index, right_index2);
when '*' => return right_index + right_index2;
when '/' => return right_index - left_index2;
when '1' => return -left_index; -- reciprocal
when 'R'|'r' => return mins (right_index, right_index2); -- "rem"
when 'M'|'m' => return mins (right_index, right_index2); -- "mod"
when others => return right_index; -- default for abs, neg and default
end case;
end function sfixed_low;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
function ufixed_high (size_res : UNRESOLVED_ufixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_ufixed)
return INTEGER is
begin
return ufixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_high;
function ufixed_low (size_res : UNRESOLVED_ufixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_ufixed)
return INTEGER is
begin
return ufixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function ufixed_low;
function sfixed_high (size_res : UNRESOLVED_sfixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_sfixed)
return INTEGER is
begin
return sfixed_high (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_high;
function sfixed_low (size_res : UNRESOLVED_sfixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_sfixed)
return INTEGER is
begin
return sfixed_low (left_index => size_res'high,
right_index => size_res'low,
operation => operation,
left_index2 => size_res2'high,
right_index2 => size_res2'low);
end function sfixed_low;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed is
constant sat : UNRESOLVED_ufixed (left_index downto right_index) :=
(others => '1');
begin
return sat;
end function saturate;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed is
variable sat : UNRESOLVED_sfixed (left_index downto right_index) :=
(others => '1');
begin
-- saturate positive, to saturate negative, just do "not saturate()"
sat (left_index) := '0';
return sat;
end function saturate;
function saturate (
size_res : UNRESOLVED_ufixed) -- only the size of this is used
return UNRESOLVED_ufixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
function saturate (
size_res : UNRESOLVED_sfixed) -- only the size of this is used
return UNRESOLVED_sfixed is
begin
return saturate (size_res'high, size_res'low);
end function saturate;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- Unsigned fixed point
function to_UFix (
arg : STD_ULOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report fixed_pkg'instance_name
& "TO_UFIX (STD_ULOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NAUF;
else
result := to_ufixed (arg, result'high, result'low);
return result;
end if;
end function to_UFix;
-- signed fixed point
function to_SFix (
arg : STD_ULOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (width-fraction-1 downto -fraction);
begin
if (arg'length /= result'length) then
report fixed_pkg'instance_name
& "TO_SFIX (STD_ULOGIC_VECTOR) "
& "Vector lengths do not match. Input length is "
& INTEGER'image(arg'length) & " and output will be "
& INTEGER'image(result'length) & " wide."
severity error;
return NASF;
else
result := to_sfixed (arg, result'high, result'low);
return result;
end if;
end function to_SFix;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function ufix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_high (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_high;
function ufix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return ufixed_low (left_index => width - 1 - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - 1 - fraction2,
right_index2 => -fraction2);
end function ufix_low;
function sfix_high (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_high (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_high;
function sfix_low (
width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER is
begin
return sfixed_low (left_index => width - fraction,
right_index => -fraction,
operation => operation,
left_index2 => width2 - fraction2,
right_index2 => -fraction2);
end function sfix_low;
function to_unsigned (
arg : UNRESOLVED_ufixed; -- ufixed point input
constant size : NATURAL; -- length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNSIGNED is
begin
return to_uns(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_unsigned;
function to_unsigned (
arg : UNRESOLVED_ufixed; -- ufixed point input
size_res : UNSIGNED; -- length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNSIGNED is
begin
return to_unsigned (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_unsigned;
function to_signed (
arg : UNRESOLVED_sfixed; -- sfixed point input
constant size : NATURAL; -- length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return SIGNED is
begin
return to_s(resize (arg => arg,
left_index => size-1,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
end function to_signed;
function to_signed (
arg : UNRESOLVED_sfixed; -- sfixed point input
size_res : SIGNED; -- used for length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return SIGNED is
begin
return to_signed (arg => arg,
size => size_res'length,
round_style => round_style,
overflow_style => overflow_style);
end function to_signed;
function to_real (
arg : UNRESOLVED_ufixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : UNRESOLVED_ufixed (left_index downto right_index);
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := to_x01(cleanvec(arg));
if (Is_X(arg_int)) then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_REAL (ufixed): metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
result := 0.0;
for i in arg_int'range loop
if (arg_int(i) = '1') then
result := result + (2.0**i);
end if;
end loop;
return result;
end function to_real;
function to_real (
arg : UNRESOLVED_sfixed) -- ufixed point input
return REAL is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable result : REAL; -- result
variable arg_int : UNRESOLVED_sfixed (left_index downto right_index);
-- unsigned version of argument
variable arg_uns : UNRESOLVED_ufixed (left_index downto right_index);
-- absolute of argument
begin
if (arg'length < 1) then
return 0.0;
end if;
arg_int := to_x01(cleanvec(arg));
if (Is_X(arg_int)) then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_REAL (sfixed): metavalue detected, returning 0.0"
severity warning;
return 0.0;
end if;
arg_uns := to_ufixed (arg_int);
result := to_real (arg_uns);
if (arg_int(arg_int'high) = '1') then
result := -result;
end if;
return result;
end function to_real;
function to_integer (
arg : UNRESOLVED_ufixed; -- fixed point input
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return NATURAL is
constant left_index : INTEGER := arg'high;
variable arg_uns : UNSIGNED (left_index+1 downto 0)
:= (others => '0');
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_INTEGER (ufixed): metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_uns := to_uns(resize (arg => arg,
left_index => arg_uns'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_uns);
end function to_integer;
function to_integer (
arg : UNRESOLVED_sfixed; -- fixed point input
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return INTEGER is
constant left_index : INTEGER := arg'high;
constant right_index : INTEGER := arg'low;
variable arg_s : SIGNED (left_index+1 downto 0);
begin
if (arg'length < 1) then
return 0;
end if;
if (Is_X (arg)) then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_INTEGER (sfixed): metavalue detected, returning 0"
severity warning;
return 0;
end if;
if (left_index < -1) then
return 0;
end if;
arg_s := to_s(resize (arg => arg,
left_index => arg_s'high,
right_index => 0,
round_style => round_style,
overflow_style => overflow_style));
return to_integer (arg_s);
end function to_integer;
function to_01 (
s : UNRESOLVED_ufixed; -- ufixed point input
constant XMAP : STD_ULOGIC := '0') -- Map x to
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (s'range); -- result
begin
if (s'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_01(ufixed): null detected, returning NULL"
severity warning;
return NAUF;
end if;
return to_fixed (to_01(to_uns(s), XMAP), s'high, s'low);
end function to_01;
function to_01 (
s : UNRESOLVED_sfixed; -- sfixed point input
constant XMAP : STD_ULOGIC := '0') -- Map x to
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (s'range);
begin
if (s'length < 1) then
assert NO_WARNING
report fixed_pkg'instance_name
& "TO_01(sfixed): null detected, returning NULL"
severity warning;
return NASF;
end if;
return to_fixed (to_01(to_s(s), XMAP), s'high, s'low);
end function to_01;
function Is_X (
arg : UNRESOLVED_ufixed)
return BOOLEAN is
variable argslv : STD_ULOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_sulv(arg);
return Is_X (argslv);
end function Is_X;
function Is_X (
arg : UNRESOLVED_sfixed)
return BOOLEAN is
variable argslv : STD_ULOGIC_VECTOR (arg'length-1 downto 0); -- slv
begin
argslv := to_sulv(arg);
return Is_X (argslv);
end function Is_X;
function To_X01 (
arg : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
begin
return to_ufixed (To_X01(to_sulv(arg)), arg'high, arg'low);
end function To_X01;
function to_X01 (
arg : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return to_sfixed (To_X01(to_sulv(arg)), arg'high, arg'low);
end function To_X01;
function To_X01Z (
arg : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
begin
return to_ufixed (To_X01Z(to_sulv(arg)), arg'high, arg'low);
end function To_X01Z;
function to_X01Z (
arg : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return to_sfixed (To_X01Z(to_sulv(arg)), arg'high, arg'low);
end function To_X01Z;
function To_UX01 (
arg : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
begin
return to_ufixed (To_UX01(to_sulv(arg)), arg'high, arg'low);
end function To_UX01;
function to_UX01 (
arg : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return to_sfixed (To_UX01(to_sulv(arg)), arg'high, arg'low);
end function To_UX01;
function resize (
arg : UNRESOLVED_ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : UNRESOLVED_ufixed (arghigh downto arglow);
variable result : UNRESOLVED_ufixed(left_index downto right_index) :=
(others => '0');
variable needs_rounding : BOOLEAN := false;
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NAUF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style = fixed_saturate) and
(or_reduce(to_sulv(invec)) = '1') then
result := saturate (result'high, result'low); -- saturate
end if;
elsif (arghigh > left_index) then
-- wrap or saturate?
if (overflow_style = fixed_saturate and
or_reduce (to_sulv(invec(arghigh downto left_index+1))) = '1')
then
result := saturate (result'high, result'low); -- saturate
else
if (arglow >= right_index) then
result (left_index downto arglow) :=
invec(left_index downto arglow);
else
result (left_index downto right_index) :=
invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
end if;
-- Round result
if needs_rounding then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
function resize (
arg : UNRESOLVED_sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed is
constant arghigh : INTEGER := maximum (arg'high, arg'low);
constant arglow : INTEGER := mine (arg'high, arg'low);
variable invec : UNRESOLVED_sfixed (arghigh downto arglow);
variable result : UNRESOLVED_sfixed(left_index downto right_index) :=
(others => '0');
variable reduced : STD_ULOGIC;
variable needs_rounding : BOOLEAN := false; -- rounding
begin -- resize
if (arg'length < 1) or (result'length < 1) then
return NASF;
elsif (invec'length < 1) then
return result; -- string literal value
else
invec := cleanvec(arg);
if (right_index > arghigh) then -- return top zeros
if (arg'low /= INTEGER'low) then -- check for a literal
result := (others => arg(arghigh)); -- sign extend
end if;
needs_rounding := (round_style = fixed_round) and
(right_index = arghigh+1);
elsif (left_index < arglow) then -- return overflow
if (overflow_style = fixed_saturate) then
reduced := or_reduce (to_sulv(invec));
if (reduced = '1') then
if (invec(arghigh) = '0') then
-- saturate POSITIVE
result := saturate (result'high, result'low);
else
-- saturate negative
result := not saturate (result'high, result'low);
end if;
-- else return 0 (input was 0)
end if;
-- else return 0 (wrap)
end if;
elsif (arghigh > left_index) then
if (invec(arghigh) = '0') then
reduced := or_reduce (to_sulv(invec(arghigh-1 downto
left_index)));
if overflow_style = fixed_saturate and reduced = '1' then
-- saturate positive
result := saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
else
reduced := and_reduce (to_sulv(invec(arghigh-1 downto
left_index)));
if overflow_style = fixed_saturate and reduced = '0' then
result := not saturate (result'high, result'low);
else
if (right_index > arglow) then
result := invec (left_index downto right_index);
needs_rounding := (round_style = fixed_round);
else
result (left_index downto arglow) :=
invec (left_index downto arglow);
end if;
end if;
end if;
else -- arghigh <= integer width
if (arglow >= right_index) then
result (arghigh downto arglow) := invec;
else
result (arghigh downto right_index) :=
invec (arghigh downto right_index);
needs_rounding := (round_style = fixed_round); -- round
end if;
if (left_index > arghigh) then -- sign extend
result(left_index downto arghigh+1) := (others => invec(arghigh));
end if;
end if;
-- Round result
if (needs_rounding) then
result := round_fixed (arg => result,
remainder => invec (right_index-1
downto arglow),
overflow_style => overflow_style);
end if;
return result;
end if;
end function resize;
-- size_res functions
-- These functions compute the size from a passed variable named "size_res"
-- The only part of this variable used it it's size, it is never passed
-- to a lower level routine.
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_ufixed) -- for size only
return UNRESOLVED_ufixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_ufixed (size_res'left downto fw);
begin
if (result'length < 1 or arg'length < 1) then
return NAUF;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_sfixed) -- for size only
return UNRESOLVED_sfixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_sfixed (size_res'left downto fw);
begin
if (result'length < 1 or arg'length < 1) then
return NASF;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_ufixed (size_res'left downto fw);
begin
if (result'length < 1) then
return NAUF;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_sfixed (size_res'left downto fw);
begin
if (result'length < 1) then
return NASF;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : REAL; -- real
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return UNRESOLVED_ufixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_ufixed (size_res'left downto fw);
begin
if (result'length < 1) then
return NAUF;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : REAL; -- real
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits
return UNRESOLVED_sfixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_sfixed (size_res'left downto fw);
begin
if (result'length < 1) then
return NASF;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
guard_bits => guard_bits,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function to_ufixed (
arg : UNSIGNED; -- unsigned
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_ufixed (size_res'left downto fw);
begin
if (result'length < 1 or arg'length < 1) then
return NAUF;
else
result := to_ufixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_ufixed;
function to_sfixed (
arg : SIGNED; -- signed
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_sfixed (size_res'left downto fw);
begin
if (result'length < 1 or arg'length < 1) then
return NASF;
else
result := to_sfixed (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function to_sfixed;
function resize (
arg : UNRESOLVED_ufixed; -- input
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_ufixed (size_res'high downto fw);
begin
if (result'length < 1 or arg'length < 1) then
return NAUF;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
function resize (
arg : UNRESOLVED_sfixed; -- input
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed is
constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals
variable result : UNRESOLVED_sfixed (size_res'high downto fw);
begin
if (result'length < 1 or arg'length < 1) then
return NASF;
else
result := resize (arg => arg,
left_index => size_res'high,
right_index => size_res'low,
round_style => round_style,
overflow_style => overflow_style);
return result;
end if;
end function resize;
-- Overloaded math functions for real
function "+" (
l : UNRESOLVED_ufixed; -- fixed point input
r : REAL)
return UNRESOLVED_ufixed is
begin
return (l + to_ufixed (r, l'high, l'low));
end function "+";
function "+" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, r'low) + r);
end function "+";
function "+" (
l : UNRESOLVED_sfixed; -- fixed point input
r : REAL)
return UNRESOLVED_sfixed is
begin
return (l + to_sfixed (r, l'high, l'low));
end function "+";
function "+" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, r'low) + r);
end function "+";
function "-" (
l : UNRESOLVED_ufixed; -- fixed point input
r : REAL)
return UNRESOLVED_ufixed is
begin
return (l - to_ufixed (r, l'high, l'low));
end function "-";
function "-" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, r'low) - r);
end function "-";
function "-" (
l : UNRESOLVED_sfixed; -- fixed point input
r : REAL)
return UNRESOLVED_sfixed is
begin
return (l - to_sfixed (r, l'high, l'low));
end function "-";
function "-" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, r'low) - r);
end function "-";
function "*" (
l : UNRESOLVED_ufixed; -- fixed point input
r : REAL)
return UNRESOLVED_ufixed is
begin
return (l * to_ufixed (r, l'high, l'low));
end function "*";
function "*" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, r'low) * r);
end function "*";
function "*" (
l : UNRESOLVED_sfixed; -- fixed point input
r : REAL)
return UNRESOLVED_sfixed is
begin
return (l * to_sfixed (r, l'high, l'low));
end function "*";
function "*" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, r'low) * r);
end function "*";
function "/" (
l : UNRESOLVED_ufixed; -- fixed point input
r : REAL)
return UNRESOLVED_ufixed is
begin
return (l / to_ufixed (r, l'high, l'low));
end function "/";
function "/" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, r'low) / r);
end function "/";
function "/" (
l : UNRESOLVED_sfixed; -- fixed point input
r : REAL)
return UNRESOLVED_sfixed is
begin
return (l / to_sfixed (r, l'high, l'low));
end function "/";
function "/" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, r'low) / r);
end function "/";
function "rem" (
l : UNRESOLVED_ufixed; -- fixed point input
r : REAL)
return UNRESOLVED_ufixed is
begin
return (l rem to_ufixed (r, l'high, l'low));
end function "rem";
function "rem" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, r'low) rem r);
end function "rem";
function "rem" (
l : UNRESOLVED_sfixed; -- fixed point input
r : REAL)
return UNRESOLVED_sfixed is
begin
return (l rem to_sfixed (r, l'high, l'low));
end function "rem";
function "rem" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, r'low) rem r);
end function "rem";
function "mod" (
l : UNRESOLVED_ufixed; -- fixed point input
r : REAL)
return UNRESOLVED_ufixed is
begin
return (l mod to_ufixed (r, l'high, l'low));
end function "mod";
function "mod" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, r'low) mod r);
end function "mod";
function "mod" (
l : UNRESOLVED_sfixed; -- fixed point input
r : REAL)
return UNRESOLVED_sfixed is
begin
return (l mod to_sfixed (r, l'high, l'low));
end function "mod";
function "mod" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, r'low) mod r);
end function "mod";
-- Overloaded math functions for integers
function "+" (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return (l + to_ufixed (r, l'high, 0));
end function "+";
function "+" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, 0) + r);
end function "+";
function "+" (
l : UNRESOLVED_sfixed; -- fixed point input
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return (l + to_sfixed (r, l'high, 0));
end function "+";
function "+" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, 0) + r);
end function "+";
-- Overloaded functions
function "-" (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return (l - to_ufixed (r, l'high, 0));
end function "-";
function "-" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, 0) - r);
end function "-";
function "-" (
l : UNRESOLVED_sfixed; -- fixed point input
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return (l - to_sfixed (r, l'high, 0));
end function "-";
function "-" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, 0) - r);
end function "-";
-- Overloaded functions
function "*" (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return (l * to_ufixed (r, l'high, 0));
end function "*";
function "*" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, 0) * r);
end function "*";
function "*" (
l : UNRESOLVED_sfixed; -- fixed point input
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return (l * to_sfixed (r, l'high, 0));
end function "*";
function "*" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, 0) * r);
end function "*";
-- Overloaded functions
function "/" (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return (l / to_ufixed (r, l'high, 0));
end function "/";
function "/" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, 0) / r);
end function "/";
function "/" (
l : UNRESOLVED_sfixed; -- fixed point input
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return (l / to_sfixed (r, l'high, 0));
end function "/";
function "/" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, 0) / r);
end function "/";
function "rem" (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return (l rem to_ufixed (r, l'high, 0));
end function "rem";
function "rem" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, 0) rem r);
end function "rem";
function "rem" (
l : UNRESOLVED_sfixed; -- fixed point input
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return (l rem to_sfixed (r, l'high, 0));
end function "rem";
function "rem" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, 0) rem r);
end function "rem";
function "mod" (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return (l mod to_ufixed (r, l'high, 0));
end function "mod";
function "mod" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return (to_ufixed (l, r'high, 0) mod r);
end function "mod";
function "mod" (
l : UNRESOLVED_sfixed; -- fixed point input
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return (l mod to_sfixed (r, l'high, 0));
end function "mod";
function "mod" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return UNRESOLVED_sfixed is
begin
return (to_sfixed (l, r'high, 0) mod r);
end function "mod";
-- overloaded ufixed compare functions with integer
function "=" (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l = to_ufixed (r, l'high, l'low));
end function "=";
function "/=" (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l /= to_ufixed (r, l'high, l'low));
end function "/=";
function ">=" (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l >= to_ufixed (r, l'high, l'low));
end function ">=";
function "<=" (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l <= to_ufixed (r, l'high, l'low));
end function "<=";
function ">" (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l > to_ufixed (r, l'high, l'low));
end function ">";
function "<" (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return BOOLEAN is
begin
return (l < to_ufixed (r, l'high, l'low));
end function "<";
function \?=\ (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return STD_ULOGIC is
begin
return \?=\ (l, to_ufixed (r, l'high, l'low));
end function \?=\;
function \?/=\ (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return STD_ULOGIC is
begin
return \?/=\ (l, to_ufixed (r, l'high, l'low));
end function \?/=\;
function \?>=\ (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return STD_ULOGIC is
begin
return \?>=\ (l, to_ufixed (r, l'high, l'low));
end function \?>=\;
function \?<=\ (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return STD_ULOGIC is
begin
return \?<=\ (l, to_ufixed (r, l'high, l'low));
end function \?<=\;
function \?>\ (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return STD_ULOGIC is
begin
return \?>\ (l, to_ufixed (r, l'high, l'low));
end function \?>\;
function \?<\ (
l : UNRESOLVED_ufixed;
r : NATURAL) -- fixed point input
return STD_ULOGIC is
begin
return \?<\ (l, to_ufixed (r, l'high, l'low));
end function \?<\;
function maximum (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return maximum (l, to_ufixed (r, l'high, l'low));
end function maximum;
function minimum (
l : UNRESOLVED_ufixed; -- fixed point input
r : NATURAL)
return UNRESOLVED_ufixed is
begin
return minimum (l, to_ufixed (r, l'high, l'low));
end function minimum;
-- NATURAL to ufixed
function "=" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) = r);
end function "=";
function "/=" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) /= r);
end function "/=";
function ">=" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) >= r);
end function ">=";
function "<=" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) <= r);
end function "<=";
function ">" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) > r);
end function ">";
function "<" (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) < r);
end function "<";
function \?=\ (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?=\ (to_ufixed (l, r'high, r'low), r);
end function \?=\;
function \?/=\ (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?/=\ (to_ufixed (l, r'high, r'low), r);
end function \?/=\;
function \?>=\ (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>=\ (to_ufixed (l, r'high, r'low), r);
end function \?>=\;
function \?<=\ (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<=\ (to_ufixed (l, r'high, r'low), r);
end function \?<=\;
function \?>\ (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>\ (to_ufixed (l, r'high, r'low), r);
end function \?>\;
function \?<\ (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<\ (to_ufixed (l, r'high, r'low), r);
end function \?<\;
function maximum (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return maximum (to_ufixed (l, r'high, r'low), r);
end function maximum;
function minimum (
l : NATURAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return minimum (to_ufixed (l, r'high, r'low), r);
end function minimum;
-- overloaded ufixed compare functions with real
function "=" (
l : UNRESOLVED_ufixed;
r : REAL)
return BOOLEAN is
begin
return (l = to_ufixed (r, l'high, l'low));
end function "=";
function "/=" (
l : UNRESOLVED_ufixed;
r : REAL)
return BOOLEAN is
begin
return (l /= to_ufixed (r, l'high, l'low));
end function "/=";
function ">=" (
l : UNRESOLVED_ufixed;
r : REAL)
return BOOLEAN is
begin
return (l >= to_ufixed (r, l'high, l'low));
end function ">=";
function "<=" (
l : UNRESOLVED_ufixed;
r : REAL)
return BOOLEAN is
begin
return (l <= to_ufixed (r, l'high, l'low));
end function "<=";
function ">" (
l : UNRESOLVED_ufixed;
r : REAL)
return BOOLEAN is
begin
return (l > to_ufixed (r, l'high, l'low));
end function ">";
function "<" (
l : UNRESOLVED_ufixed;
r : REAL)
return BOOLEAN is
begin
return (l < to_ufixed (r, l'high, l'low));
end function "<";
function \?=\ (
l : UNRESOLVED_ufixed;
r : REAL)
return STD_ULOGIC is
begin
return \?=\ (l, to_ufixed (r, l'high, l'low));
end function \?=\;
function \?/=\ (
l : UNRESOLVED_ufixed;
r : REAL)
return STD_ULOGIC is
begin
return \?/=\ (l, to_ufixed (r, l'high, l'low));
end function \?/=\;
function \?>=\ (
l : UNRESOLVED_ufixed;
r : REAL)
return STD_ULOGIC is
begin
return \?>=\ (l, to_ufixed (r, l'high, l'low));
end function \?>=\;
function \?<=\ (
l : UNRESOLVED_ufixed;
r : REAL)
return STD_ULOGIC is
begin
return \?<=\ (l, to_ufixed (r, l'high, l'low));
end function \?<=\;
function \?>\ (
l : UNRESOLVED_ufixed;
r : REAL)
return STD_ULOGIC is
begin
return \?>\ (l, to_ufixed (r, l'high, l'low));
end function \?>\;
function \?<\ (
l : UNRESOLVED_ufixed;
r : REAL)
return STD_ULOGIC is
begin
return \?<\ (l, to_ufixed (r, l'high, l'low));
end function \?<\;
function maximum (
l : UNRESOLVED_ufixed;
r : REAL)
return UNRESOLVED_ufixed is
begin
return maximum (l, to_ufixed (r, l'high, l'low));
end function maximum;
function minimum (
l : UNRESOLVED_ufixed;
r : REAL)
return UNRESOLVED_ufixed is
begin
return minimum (l, to_ufixed (r, l'high, l'low));
end function minimum;
-- real and ufixed
function "=" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) = r);
end function "=";
function "/=" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) /= r);
end function "/=";
function ">=" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) >= r);
end function ">=";
function "<=" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) <= r);
end function "<=";
function ">" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) > r);
end function ">";
function "<" (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return BOOLEAN is
begin
return (to_ufixed (l, r'high, r'low) < r);
end function "<";
function \?=\ (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?=\ (to_ufixed (l, r'high, r'low), r);
end function \?=\;
function \?/=\ (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?/=\ (to_ufixed (l, r'high, r'low), r);
end function \?/=\;
function \?>=\ (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>=\ (to_ufixed (l, r'high, r'low), r);
end function \?>=\;
function \?<=\ (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<=\ (to_ufixed (l, r'high, r'low), r);
end function \?<=\;
function \?>\ (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>\ (to_ufixed (l, r'high, r'low), r);
end function \?>\;
function \?<\ (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<\ (to_ufixed (l, r'high, r'low), r);
end function \?<\;
function maximum (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return maximum (to_ufixed (l, r'high, r'low), r);
end function maximum;
function minimum (
l : REAL;
r : UNRESOLVED_ufixed) -- fixed point input
return UNRESOLVED_ufixed is
begin
return minimum (to_ufixed (l, r'high, r'low), r);
end function minimum;
-- overloaded sfixed compare functions with integer
function "=" (
l : UNRESOLVED_sfixed;
r : INTEGER)
return BOOLEAN is
begin
return (l = to_sfixed (r, l'high, l'low));
end function "=";
function "/=" (
l : UNRESOLVED_sfixed;
r : INTEGER)
return BOOLEAN is
begin
return (l /= to_sfixed (r, l'high, l'low));
end function "/=";
function ">=" (
l : UNRESOLVED_sfixed;
r : INTEGER)
return BOOLEAN is
begin
return (l >= to_sfixed (r, l'high, l'low));
end function ">=";
function "<=" (
l : UNRESOLVED_sfixed;
r : INTEGER)
return BOOLEAN is
begin
return (l <= to_sfixed (r, l'high, l'low));
end function "<=";
function ">" (
l : UNRESOLVED_sfixed;
r : INTEGER)
return BOOLEAN is
begin
return (l > to_sfixed (r, l'high, l'low));
end function ">";
function "<" (
l : UNRESOLVED_sfixed;
r : INTEGER)
return BOOLEAN is
begin
return (l < to_sfixed (r, l'high, l'low));
end function "<";
function \?=\ (
l : UNRESOLVED_sfixed;
r : INTEGER)
return STD_ULOGIC is
begin
return \?=\ (l, to_sfixed (r, l'high, l'low));
end function \?=\;
function \?/=\ (
l : UNRESOLVED_sfixed;
r : INTEGER)
return STD_ULOGIC is
begin
return \?/=\ (l, to_sfixed (r, l'high, l'low));
end function \?/=\;
function \?>=\ (
l : UNRESOLVED_sfixed;
r : INTEGER)
return STD_ULOGIC is
begin
return \?>=\ (l, to_sfixed (r, l'high, l'low));
end function \?>=\;
function \?<=\ (
l : UNRESOLVED_sfixed;
r : INTEGER)
return STD_ULOGIC is
begin
return \?<=\ (l, to_sfixed (r, l'high, l'low));
end function \?<=\;
function \?>\ (
l : UNRESOLVED_sfixed;
r : INTEGER)
return STD_ULOGIC is
begin
return \?>\ (l, to_sfixed (r, l'high, l'low));
end function \?>\;
function \?<\ (
l : UNRESOLVED_sfixed;
r : INTEGER)
return STD_ULOGIC is
begin
return \?<\ (l, to_sfixed (r, l'high, l'low));
end function \?<\;
function maximum (
l : UNRESOLVED_sfixed;
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return maximum (l, to_sfixed (r, l'high, l'low));
end function maximum;
function minimum (
l : UNRESOLVED_sfixed;
r : INTEGER)
return UNRESOLVED_sfixed is
begin
return minimum (l, to_sfixed (r, l'high, l'low));
end function minimum;
-- integer and sfixed
function "=" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) = r);
end function "=";
function "/=" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) /= r);
end function "/=";
function ">=" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) >= r);
end function ">=";
function "<=" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) <= r);
end function "<=";
function ">" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) > r);
end function ">";
function "<" (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) < r);
end function "<";
function \?=\ (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?=\ (to_sfixed (l, r'high, r'low), r);
end function \?=\;
function \?/=\ (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?/=\ (to_sfixed (l, r'high, r'low), r);
end function \?/=\;
function \?>=\ (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>=\ (to_sfixed (l, r'high, r'low), r);
end function \?>=\;
function \?<=\ (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<=\ (to_sfixed (l, r'high, r'low), r);
end function \?<=\;
function \?>\ (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>\ (to_sfixed (l, r'high, r'low), r);
end function \?>\;
function \?<\ (
l : INTEGER;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<\ (to_sfixed (l, r'high, r'low), r);
end function \?<\;
function maximum (
l : INTEGER;
r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return maximum (to_sfixed (l, r'high, r'low), r);
end function maximum;
function minimum (
l : INTEGER;
r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return minimum (to_sfixed (l, r'high, r'low), r);
end function minimum;
-- overloaded sfixed compare functions with real
function "=" (
l : UNRESOLVED_sfixed;
r : REAL)
return BOOLEAN is
begin
return (l = to_sfixed (r, l'high, l'low));
end function "=";
function "/=" (
l : UNRESOLVED_sfixed;
r : REAL)
return BOOLEAN is
begin
return (l /= to_sfixed (r, l'high, l'low));
end function "/=";
function ">=" (
l : UNRESOLVED_sfixed;
r : REAL)
return BOOLEAN is
begin
return (l >= to_sfixed (r, l'high, l'low));
end function ">=";
function "<=" (
l : UNRESOLVED_sfixed;
r : REAL)
return BOOLEAN is
begin
return (l <= to_sfixed (r, l'high, l'low));
end function "<=";
function ">" (
l : UNRESOLVED_sfixed;
r : REAL)
return BOOLEAN is
begin
return (l > to_sfixed (r, l'high, l'low));
end function ">";
function "<" (
l : UNRESOLVED_sfixed;
r : REAL)
return BOOLEAN is
begin
return (l < to_sfixed (r, l'high, l'low));
end function "<";
function \?=\ (
l : UNRESOLVED_sfixed;
r : REAL)
return STD_ULOGIC is
begin
return \?=\ (l, to_sfixed (r, l'high, l'low));
end function \?=\;
function \?/=\ (
l : UNRESOLVED_sfixed;
r : REAL)
return STD_ULOGIC is
begin
return \?/=\ (l, to_sfixed (r, l'high, l'low));
end function \?/=\;
function \?>=\ (
l : UNRESOLVED_sfixed;
r : REAL)
return STD_ULOGIC is
begin
return \?>=\ (l, to_sfixed (r, l'high, l'low));
end function \?>=\;
function \?<=\ (
l : UNRESOLVED_sfixed;
r : REAL)
return STD_ULOGIC is
begin
return \?<=\ (l, to_sfixed (r, l'high, l'low));
end function \?<=\;
function \?>\ (
l : UNRESOLVED_sfixed;
r : REAL)
return STD_ULOGIC is
begin
return \?>\ (l, to_sfixed (r, l'high, l'low));
end function \?>\;
function \?<\ (
l : UNRESOLVED_sfixed;
r : REAL)
return STD_ULOGIC is
begin
return \?<\ (l, to_sfixed (r, l'high, l'low));
end function \?<\;
function maximum (
l : UNRESOLVED_sfixed;
r : REAL)
return UNRESOLVED_sfixed is
begin
return maximum (l, to_sfixed (r, l'high, l'low));
end function maximum;
function minimum (
l : UNRESOLVED_sfixed;
r : REAL)
return UNRESOLVED_sfixed is
begin
return minimum (l, to_sfixed (r, l'high, l'low));
end function minimum;
-- REAL and sfixed
function "=" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) = r);
end function "=";
function "/=" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) /= r);
end function "/=";
function ">=" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) >= r);
end function ">=";
function "<=" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) <= r);
end function "<=";
function ">" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) > r);
end function ">";
function "<" (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return BOOLEAN is
begin
return (to_sfixed (l, r'high, r'low) < r);
end function "<";
function \?=\ (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?=\ (to_sfixed (l, r'high, r'low), r);
end function \?=\;
function \?/=\ (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?/=\ (to_sfixed (l, r'high, r'low), r);
end function \?/=\;
function \?>=\ (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>=\ (to_sfixed (l, r'high, r'low), r);
end function \?>=\;
function \?<=\ (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<=\ (to_sfixed (l, r'high, r'low), r);
end function \?<=\;
function \?>\ (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?>\ (to_sfixed (l, r'high, r'low), r);
end function \?>\;
function \?<\ (
l : REAL;
r : UNRESOLVED_sfixed) -- fixed point input
return STD_ULOGIC is
begin
return \?<\ (to_sfixed (l, r'high, r'low), r);
end function \?<\;
function maximum (
l : REAL;
r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return maximum (to_sfixed (l, r'high, r'low), r);
end function maximum;
function minimum (
l : REAL;
r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return minimum (to_sfixed (l, r'high, r'low), r);
end function minimum;
-- rtl_synthesis off
-- pragma synthesis_off
-- copied from std_logic_textio
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' ');
-- %%% Replicated Textio functions
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report fixed_pkg'instance_name
& "OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
result := "UUU";
good := false;
end case;
end procedure Char2TriBits;
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report fixed_pkg'instance_name
& "HREAD Error: Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
result := "UUUU";
good := false;
end case;
end procedure Char2QuadBits;
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-- %%% END replicated textio functions
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx + 1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx + 1;
end loop;
write(l, s, justified, field);
end procedure write;
-- purpose: writes fixed point into a line
procedure write (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'length +1);
variable sindx : INTEGER;
begin -- function write Example: 0011.1100
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx + 1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx + 1;
end loop;
write(l, s, justified, field);
end procedure write;
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_ufixed) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
variable mv : ufixed (VALUE'range);
variable lastu : BOOLEAN := false; -- last character was an "_"
variable founddot : BOOLEAN := false; -- found a "."
begin -- READ
VALUE := (VALUE'range => 'U');
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := value'high;
while i >= VALUE'low loop
if readOk = false then -- Bail out if there was a bad read
report fixed_pkg'instance_name & "READ(ufixed) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = value'high then
report fixed_pkg'instance_name & "READ(ufixed) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report fixed_pkg'instance_name & "READ(ufixed) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = '.' then -- binary point
if founddot then
report fixed_pkg'instance_name & "READ(ufixed) "
& "Two binary points found in input string" severity error;
return;
elsif i /= -1 then -- Seperator in the wrong spot
report fixed_pkg'instance_name & "READ(ufixed) "
& "Decimal point does not match number format "
severity error;
return;
end if;
founddot := true;
lastu := false;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report fixed_pkg'instance_name & "READ(ufixed) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report fixed_pkg'instance_name & "READ(ufixed) "
& "Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i - 1;
if i < mv'low then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN) is
-- Possible data: 00000.0000000
-- 000000000000
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : ufixed (VALUE'range);
variable i : INTEGER; -- index variable
variable lastu : BOOLEAN := false; -- last character was an "_"
variable founddot : BOOLEAN := false; -- found a "."
begin -- READ
VALUE := (VALUE'range => 'U');
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := value'high;
GOOD := false;
while i >= VALUE'low loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = value'high then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif c = '.' then -- binary point
if founddot then
return;
elsif i /= -1 then -- Seperator in the wrong spot
return;
end if;
founddot := true;
lastu := false;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character/short read
return;
else
mv(i) := char_to_MVL9(c);
i := i - 1;
if i < mv'low then -- reading done
GOOD := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
GOOD := true; -- read into a null array
end if;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_sfixed) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable i : INTEGER; -- index variable
variable mv : sfixed (VALUE'range);
variable lastu : BOOLEAN := false; -- last character was an "_"
variable founddot : BOOLEAN := false; -- found a "."
begin -- READ
VALUE := (VALUE'range => 'U');
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := value'high;
while i >= VALUE'low loop
if readOk = false then -- Bail out if there was a bad read
report fixed_pkg'instance_name & "READ(sfixed) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = value'high then
report fixed_pkg'instance_name & "READ(sfixed) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report fixed_pkg'instance_name & "READ(sfixed) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = '.' then -- binary point
if founddot then
report fixed_pkg'instance_name & "READ(sfixed) "
& "Two binary points found in input string" severity error;
return;
elsif i /= -1 then -- Seperator in the wrong spot
report fixed_pkg'instance_name & "READ(sfixed) "
& "Decimal point does not match number format "
severity error;
return;
end if;
founddot := true;
lastu := false;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report fixed_pkg'instance_name & "READ(sfixed) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report fixed_pkg'instance_name & "READ(sfixed) "
& "Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i - 1;
if i < mv'low then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN) is
variable value_ufixed : UNRESOLVED_ufixed (VALUE'range);
begin -- READ
READ (L => L, VALUE => value_ufixed, GOOD => GOOD);
VALUE := UNRESOLVED_sfixed (value_ufixed);
end procedure READ;
-- octal read and write
procedure owrite (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
procedure owrite (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_ostring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure owrite;
-- purpose: Routines common to the OREAD routines
procedure OREAD_common (
L : inout LINE;
slv : out STD_ULOGIC_VECTOR;
igood : out BOOLEAN;
idex : out INTEGER;
constant bpoint : in INTEGER; -- binary point
constant message : in BOOLEAN;
constant smath : in BOOLEAN) is
-- purpose: error message routine
procedure errmes (
constant mess : in STRING) is -- error message
begin
if message then
if smath then
report fixed_pkg'instance_name
& "OREAD(sfixed) "
& mess
severity error;
else
report fixed_pkg'instance_name
& "OREAD(ufixed) "
& mess
severity error;
end if;
end if;
end procedure errmes;
variable xgood : BOOLEAN;
variable nybble : STD_ULOGIC_VECTOR (2 downto 0); -- 3 bits
variable c : CHARACTER;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
variable founddot : BOOLEAN := false; -- found a dot.
begin
Skip_whitespace (L);
if slv'length > 0 then
i := slv'high;
read (l, c, xgood);
while i > 0 loop
if xgood = false then
errmes ("Error: end of string encountered");
exit;
elsif c = '_' then
if i = slv'length then
errmes ("Error: String begins with an ""_""");
xgood := false;
exit;
elsif lastu then
errmes ("Error: Two underscores detected in input string ""__""");
xgood := false;
exit;
else
lastu := true;
end if;
elsif (c = '.') then
if (i + 1 /= bpoint) then
errmes ("encountered ""."" at wrong index");
xgood := false;
exit;
elsif i = slv'length then
errmes ("encounted a ""."" at the beginning of the line");
xgood := false;
exit;
elsif founddot then
errmes ("Two ""."" encounted in input string");
xgood := false;
exit;
end if;
founddot := true;
lastu := false;
else
Char2triBits(c, nybble, xgood, message);
if not xgood then
exit;
end if;
slv (i downto i-2) := nybble;
i := i - 3;
lastu := false;
end if;
if i > 0 then
read (L, c, xgood);
end if;
end loop;
idex := i;
igood := xgood;
else
igood := true; -- read into a null array
idex := -1;
end if;
end procedure OREAD_common;
-- Note that for Octal and Hex read, you can not start with a ".",
-- the read is for numbers formatted "A.BC". These routines go to
-- the nearest bounds, so "F.E" will fit into an sfixed (2 downto -3).
procedure OREAD (L : inout LINE;
VALUE : out UNRESOLVED_ufixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
OREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => true,
smath => false);
if igood then -- We did not get another error
if not ((i = -1) and -- We read everything, and high bits 0
(or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then
report fixed_pkg'instance_name
& "OREAD(ufixed): Vector truncated."
severity error;
else
if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report fixed_pkg'instance_name
& "OREAD(ufixed): Vector truncated"
severity warning;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end if;
end if;
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
OREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => false,
smath => false);
if (igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
good := true;
else
good := false;
end if;
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
OREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => true,
smath => true);
if igood then -- We did not get another error
if not ((i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then
report fixed_pkg'instance_name
& "OREAD(sfixed): Vector truncated."
severity error;
else
if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report fixed_pkg'instance_name
& "OREAD(sfixed): Vector truncated"
severity warning;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end if;
end if;
end procedure OREAD;
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
OREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => false,
smath => true);
if (igood -- We did not get another error
and (i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
good := true;
else
good := false;
end if;
end procedure OREAD;
-- hex read and write
procedure hwrite (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- purpose: writes fixed point into a line
procedure hwrite (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
begin -- Example 03.30
write (L => L,
VALUE => to_hstring (VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure hwrite;
-- purpose: Routines common to the OREAD routines
procedure HREAD_common (
L : inout LINE;
slv : out STD_ULOGIC_VECTOR;
igood : out BOOLEAN;
idex : out INTEGER;
constant bpoint : in INTEGER; -- binary point
constant message : in BOOLEAN;
constant smath : in BOOLEAN) is
-- purpose: error message routine
procedure errmes (
constant mess : in STRING) is -- error message
begin
if message then
if smath then
report fixed_pkg'instance_name
& "HREAD(sfixed) "
& mess
severity error;
else
report fixed_pkg'instance_name
& "HREAD(ufixed) "
& mess
severity error;
end if;
end if;
end procedure errmes;
variable xgood : BOOLEAN;
variable nybble : STD_ULOGIC_VECTOR (3 downto 0); -- 4 bits
variable c : CHARACTER;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
variable founddot : BOOLEAN := false; -- found a dot.
begin
Skip_whitespace (L);
if slv'length > 0 then
i := slv'high;
read (l, c, xgood);
while i > 0 loop
if xgood = false then
errmes ("Error: end of string encountered");
exit;
elsif c = '_' then
if i = slv'length then
errmes ("Error: String begins with an ""_""");
xgood := false;
exit;
elsif lastu then
errmes ("Error: Two underscores detected in input string ""__""");
xgood := false;
exit;
else
lastu := true;
end if;
elsif (c = '.') then
if (i + 1 /= bpoint) then
errmes ("encountered ""."" at wrong index");
xgood := false;
exit;
elsif i = slv'length then
errmes ("encounted a ""."" at the beginning of the line");
xgood := false;
exit;
elsif founddot then
errmes ("Two ""."" encounted in input string");
xgood := false;
exit;
end if;
founddot := true;
lastu := false;
else
Char2QuadBits(c, nybble, xgood, message);
if not xgood then
exit;
end if;
slv (i downto i-3) := nybble;
i := i - 4;
lastu := false;
end if;
if i > 0 then
read (L, c, xgood);
end if;
end loop;
idex := i;
igood := xgood;
else
idex := -1;
igood := true; -- read null string
end if;
end procedure HREAD_common;
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
HREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => false,
smath => false);
if igood then
if not ((i = -1) and -- We read everything, and high bits 0
(or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then
report fixed_pkg'instance_name
& "HREAD(ufixed): Vector truncated."
severity error;
else
if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report fixed_pkg'instance_name
& "HREAD(ufixed): Vector truncated"
severity warning;
end if;
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end if;
end if;
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_ufixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
HREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => false,
smath => false);
if (igood and -- We did not get another error
(i = -1) and -- We read everything, and high bits 0
(or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then
valuex := to_ufixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
good := true;
else
good := false;
end if;
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
HREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => true,
smath => true);
if igood then -- We did not get another error
if not ((i = -1) -- We read everything
and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then
report fixed_pkg'instance_name
& "HREAD(sfixed): Vector truncated."
severity error;
else
if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then
assert NO_WARNING
report fixed_pkg'instance_name
& "HREAD(sfixed): Vector truncated"
severity warning;
end if;
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
end if;
end if;
end procedure HREAD;
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN) is
constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1;
constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4;
variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits
variable valuex : UNRESOLVED_sfixed (hbv downto lbv);
variable igood : BOOLEAN;
variable i : INTEGER;
begin
VALUE := (VALUE'range => 'U');
HREAD_common ( L => L,
slv => slv,
igood => igood,
idex => i,
bpoint => -lbv,
message => false,
smath => true);
if (igood and -- We did not get another error
(i = -1) and -- We read everything
((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits
or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or
(slv(VALUE'high-lbv) = '1' and
and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then
valuex := to_sfixed (slv, hbv, lbv);
VALUE := valuex (VALUE'range);
good := true;
else
good := false;
end if;
end procedure HREAD;
function to_string (value : UNRESOLVED_ufixed) return STRING is
variable s : STRING(1 to value'length +1) := (others => ' ');
variable subval : UNRESOLVED_ufixed (value'high downto -1);
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
if value(value'high) = 'Z' then
return to_string (resize (sfixed(value), 0, value'low));
else
return to_string (resize (value, 0, value'low));
end if;
elsif value'low >= 0 then
if Is_X (value(value'low)) then
subval := (others => value(value'low));
subval (value'range) := value;
return to_string(subval);
else
return to_string (resize (value, value'high, -1));
end if;
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx + 1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx + 1;
end loop;
return s;
end if;
end if;
end function to_string;
function to_string (value : UNRESOLVED_sfixed) return STRING is
variable s : STRING(1 to value'length + 1) := (others => ' ');
variable subval : UNRESOLVED_sfixed (value'high downto -1);
variable sindx : INTEGER;
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_string (resize (value, 0, value'low));
elsif value'low >= 0 then
if Is_X (value(value'low)) then
subval := (others => value(value'low));
subval (value'range) := value;
return to_string(subval);
else
return to_string (resize (value, value'high, -1));
end if;
else
sindx := 1;
for i in value'high downto value'low loop
if i = -1 then
s(sindx) := '.';
sindx := sindx + 1;
end if;
s(sindx) := MVL9_to_char(STD_ULOGIC(value(i)));
sindx := sindx + 1;
end loop;
return s;
end if;
end if;
end function to_string;
function to_ostring (value : UNRESOLVED_ufixed) return STRING is
constant lne : INTEGER := (-VALUE'low+2)/3;
variable subval : UNRESOLVED_ufixed (value'high downto -3);
variable lpad : STD_ULOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1);
variable slv : STD_ULOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
if value(value'high) = 'Z' then
return to_ostring (resize (sfixed(value), 2, value'low));
else
return to_ostring (resize (value, 2, value'low));
end if;
elsif value'low >= 0 then
if Is_X (value(value'low)) then
subval := (others => value(value'low));
subval (value'range) := value;
return to_ostring(subval);
else
return to_ostring (resize (value, value'high, -3));
end if;
else
slv := to_sulv (value);
if Is_X (value (value'low)) then
lpad := (others => value (value'low));
else
lpad := (others => '0');
end if;
return to_ostring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0) & lpad);
end if;
end if;
end function to_ostring;
function to_hstring (value : UNRESOLVED_ufixed) return STRING is
constant lne : INTEGER := (-VALUE'low+3)/4;
variable subval : UNRESOLVED_ufixed (value'high downto -4);
variable lpad : STD_ULOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1);
variable slv : STD_ULOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
if value(value'high) = 'Z' then
return to_hstring (resize (sfixed(value), 3, value'low));
else
return to_hstring (resize (value, 3, value'low));
end if;
elsif value'low >= 0 then
if Is_X (value(value'low)) then
subval := (others => value(value'low));
subval (value'range) := value;
return to_hstring(subval);
else
return to_hstring (resize (value, value'high, -4));
end if;
else
slv := to_sulv (value);
if Is_X (value (value'low)) then
lpad := (others => value(value'low));
else
lpad := (others => '0');
end if;
return to_hstring(slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad);
end if;
end if;
end function to_hstring;
function to_ostring (value : UNRESOLVED_sfixed) return STRING is
constant ne : INTEGER := ((value'high+1)+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+2)/3;
variable subval : UNRESOLVED_sfixed (value'high downto -3);
variable lpad : STD_ULOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1);
variable slv : STD_ULOGIC_VECTOR (VALUE'high - VALUE'low downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_ostring (resize (value, 2, value'low));
elsif value'low >= 0 then
if Is_X (value(value'low)) then
subval := (others => value(value'low));
subval (value'range) := value;
return to_ostring(subval);
else
return to_ostring (resize (value, value'high, -3));
end if;
else
pad := (others => value(value'high));
slv := to_sulv (value);
if Is_X (value (value'low)) then
lpad := (others => value(value'low));
else
lpad := (others => '0');
end if;
return to_ostring(pad & slv(slv'high downto slv'high-VALUE'high))
& "."
& to_ostring(slv(slv'high-VALUE'high-1 downto 0) & lpad);
end if;
end if;
end function to_ostring;
function to_hstring (value : UNRESOLVED_sfixed) return STRING is
constant ne : INTEGER := ((value'high+1)+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - (value'high+1)) - 1);
constant lne : INTEGER := (-VALUE'low+3)/4;
variable subval : UNRESOLVED_sfixed (value'high downto -4);
variable lpad : STD_ULOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1);
variable slv : STD_ULOGIC_VECTOR (value'length-1 downto 0);
begin
if value'length < 1 then
return NUS;
else
if value'high < 0 then
return to_hstring (resize (value, 3, value'low));
elsif value'low >= 0 then
if Is_X (value(value'low)) then
subval := (others => value(value'low));
subval (value'range) := value;
return to_hstring(subval);
else
return to_hstring (resize (value, value'high, -4));
end if;
else
slv := to_sulv (value);
pad := (others => value(value'high));
if Is_X (value (value'low)) then
lpad := (others => value(value'low));
else
lpad := (others => '0');
end if;
return to_hstring(pad & slv(slv'high downto slv'high-VALUE'high))
& "."
& to_hstring(slv(slv'high-VALUE'high-1 downto 0) & lpad);
end if;
end if;
end function to_hstring;
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report fixed_pkg'instance_name
& "from_string: Bad string "& bstring severity error;
return result;
end function from_string;
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report fixed_pkg'instance_name
& "from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report fixed_pkg'instance_name
& "from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
read (L, result, good);
deallocate (L);
assert (good)
report fixed_pkg'instance_name
& "from_string: Bad string "& bstring severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
oread (L, result, good);
deallocate (L);
assert (good)
report fixed_pkg'instance_name
& "from_ostring: Bad string "& ostring severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (left_index downto right_index);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
hread (L, result, good);
deallocate (L);
assert (good)
report fixed_pkg'instance_name
& "from_hstring: Bad string "& hstring severity error;
return result;
end function from_hstring;
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
begin
return from_string (bstring, size_res'high, size_res'low);
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
begin
return from_ostring (ostring, size_res'high, size_res'low);
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed is
begin
return from_hstring(hstring, size_res'high, size_res'low);
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return from_string (bstring, size_res'high, size_res'low);
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return from_ostring (ostring, size_res'high, size_res'low);
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed is
begin
return from_hstring (hstring, size_res'high, size_res'low);
end function from_hstring;
-- purpose: Calculate the string boundaries
procedure calculate_string_boundry (
arg : in STRING; -- input string
left_index : out INTEGER; -- left
right_index : out INTEGER) is -- right
-- examples "10001.111" would return +4, -3
-- "07X.44" would return +2, -2 (then the octal routine would multiply)
-- "A_B_._C" would return +1, -1 (then the hex routine would multiply)
alias xarg : STRING (arg'length downto 1) is arg; -- make it downto range
variable l, r : INTEGER; -- internal indexes
variable founddot : BOOLEAN := false;
begin
if arg'length > 0 then
l := xarg'high - 1;
r := 0;
for i in xarg'range loop
if xarg(i) = '_' then
if r = 0 then
l := l - 1;
else
r := r + 1;
end if;
elsif xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT then
report fixed_pkg'instance_name
& "Found a space in the input STRING " & xarg
severity error;
elsif xarg(i) = '.' then
if founddot then
report fixed_pkg'instance_name
& "Found two binary points in input string " & xarg
severity error;
else
l := l - i;
r := -i + 1;
founddot := true;
end if;
end if;
end loop;
left_index := l;
right_index := r;
else
left_index := 0;
right_index := 0;
end if;
end procedure calculate_string_boundry;
-- Direct conversion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return UNRESOLVED_ufixed is
variable left_index, right_index : INTEGER;
begin
calculate_string_boundry (bstring, left_index, right_index);
return from_string (bstring, left_index, right_index);
end function from_string;
-- Direct octal and hex conversion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return UNRESOLVED_ufixed is
variable left_index, right_index : INTEGER;
begin
calculate_string_boundry (ostring, left_index, right_index);
return from_ostring (ostring, ((left_index+1)*3)-1, right_index*3);
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return UNRESOLVED_ufixed is
variable left_index, right_index : INTEGER;
begin
calculate_string_boundry (hstring, left_index, right_index);
return from_hstring (hstring, ((left_index+1)*4)-1, right_index*4);
end function from_hstring;
function from_string (
bstring : STRING) -- binary string
return UNRESOLVED_sfixed is
variable left_index, right_index : INTEGER;
begin
calculate_string_boundry (bstring, left_index, right_index);
return from_string (bstring, left_index, right_index);
end function from_string;
function from_ostring (
ostring : STRING) -- Octal string
return UNRESOLVED_sfixed is
variable left_index, right_index : INTEGER;
begin
calculate_string_boundry (ostring, left_index, right_index);
return from_ostring (ostring, ((left_index+1)*3)-1, right_index*3);
end function from_ostring;
function from_hstring (
hstring : STRING) -- hex string
return UNRESOLVED_sfixed is
variable left_index, right_index : INTEGER;
begin
calculate_string_boundry (hstring, left_index, right_index);
return from_hstring (hstring, ((left_index+1)*4)-1, right_index*4);
end function from_hstring;
-- pragma synthesis_on
-- rtl_synthesis on
-- IN VHDL-2006 std_logic_vector is a subtype of std_ulogic_vector, so these
-- extra functions are needed for compatability.
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed is
begin
return to_ufixed (
arg => to_stdulogicvector (arg),
left_index => left_index,
right_index => right_index);
end function to_ufixed;
function to_ufixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_ufixed) -- for size only
return UNRESOLVED_ufixed is
begin
return to_ufixed (
arg => to_stdulogicvector (arg),
size_res => size_res);
end function to_ufixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed is
begin
return to_sfixed (
arg => to_stdulogicvector (arg),
left_index => left_index,
right_index => right_index);
end function to_sfixed;
function to_sfixed (
arg : STD_LOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_sfixed) -- for size only
return UNRESOLVED_sfixed is
begin
return to_sfixed (
arg => to_stdulogicvector (arg),
size_res => size_res);
end function to_sfixed;
-- unsigned fixed point
function to_UFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_ufixed is
begin
return to_UFix (
arg => to_stdulogicvector (arg),
width => width,
fraction => fraction);
end function to_UFix;
-- signed fixed point
function to_SFix (
arg : STD_LOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_sfixed is
begin
return to_SFix (
arg => to_stdulogicvector (arg),
width => width,
fraction => fraction);
end function to_SFix;
end package body fixed_pkg;
| mit |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/twopage_address.vhd | 1 | 11146 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eZSld/zgDbfNWTdIlcu1w7MfQfNQqctNLgeNFje/WXuACKf33wtRGCc6jK9AS4MCJYTEPk8zWuud
qeOIDfvxtw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
izcxUzk76TdCEpv2R4/B8cHXfjlFnTXFL00/CMDEWHQChjX2iqHpqFxze7fc0jY82yg05syCmn03
J+iCx4M1R72uSNnxoGa2mgMg0ay+SXOb7hgr+1EmAKbqc62cCg5swDcG6RvpCmiY5gLAW2qa7su3
WQlydlCITbJbBNSO9zw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
M/M0GmInBCwvEpfEUBk3WjBr4cN8atxDaPyOuwVSQfppWaFNXbrDJKxYeuAfiel76xrmqzb6nzXM
+J2AQu1KrQ238y34FlIT38qMkw3eOReA+U1MwAwr6S7LgEfbBc3Va2ePpK4TbvBPeqc8xHtstvBI
VqpWRavX39Oj333kVt1GuLrjjY4I3WpSo5/2wTzwLa733BH97AbdPZZiujy0ksAbnsIIxKVgumVu
ET52hbJJKmC1oG+I6+onfq70aaVzSrAXRroY+vky+d6nL4TBC1JZI9q/oJmP4SvIGUQxKYVc7xst
z4BFeGUfTxUMBn6LoJtQCRTxYsIk8HBnP7IqCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lXY+6STFhdrS15IIwE5Wo15oMN09G3pG0coL7FzTTkYx29yBtnFDzNTjcjVppmWNNPwm7G5yQ1gm
Tzo3ko46W6Pd7y/WpLDVyZMGW+5PsO9/nka7Yx/mlnwnRLBkOhFa94GyJEotS2e+ktL9sbyYl3/f
rGAjwYbjvNAPdi1JIqw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f1LVY3CDo5YLa+Th7CIkDbz8rgR+G9zumzTncFmPosTTnOS6D2hmF/unNbL8vv9OJeaWuuxeN5mE
CYEy5AXl0i5fHSKjHZLbqVV09A2Ng2SMkOUniLbru7VCl7TSbz8yNE2InixWxVevSaLDcKgf0jYf
m5q9BjR6JpmAcg1IW1RWKJ69MjCXiWHx+TG+rQAkDsuHlHnIhQ5p1IYtmNFCvWG+lkeKIsaJcVzW
zciq7YShtjnqHg1HG1+wDXmldUbGtsDpQJjpQVd06OoCmjS+SYxsb8Bjv7DETlf1GA3vQkfJrX/F
EmxjspYT7T0rgKWz3rM+63Ivk9Db7l+3FjsquA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6512)
`protect data_block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`protect end_protected
| mit |
acarrer/altera-de1-mp3-recorder-vhdl | RegistratorePortatile.vhd | 1 | 22108 | -- **********************************************************
-- Corso di Reti Logiche - "Mp3 Recorder" Project
-- Andrea Carrer - 729101
-- Module RegistratorePortatile.vhd
-- Version 1.02 - 18.03.2013
-- **********************************************************
-- **********************************************************
-- Main Module, written in VHDL.
-- Definisce la logica e le connessioni tra i diversi moduli:
-- - PlayRecord: gestione comandi registratore
-- - Display: gestione segnali grafica
-- - Audio_Controller: interfaccia con il chip WM8731
-- - VGA_Adapter: interfaccia con l'uscita VGA
-- - SDRAM: interfaccia con la SDRAM
-- E gestisce i componenti I/O della scheda Altera DE1
-- **********************************************************
-- --------------------------------------------------------------------------------------------
-- --------------------------------------------------------------------- Main Module definition
-- --------------------------------------------------------------------------------------------
library ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
entity RegistratorePortatile is port(
DRAM_DQ: inout std_logic_vector(15 downto 0); -- SDRAM Data bus 16 Bits
DRAM_ADDR: out std_logic_vector(11 downto 0); -- SDRAM Address bus 12 Bits
DRAM_LDQM: buffer std_logic; -- SDRAM Low-byte Data Mask
DRAM_UDQM: buffer std_logic; -- SDRAM High-byte Data Mask
DRAM_WE_N: out std_logic; -- SDRAM Write Enable
DRAM_CAS_N: out std_logic; -- SDRAM Column Address Strobe
DRAM_RAS_N: out std_logic; -- SDRAM Row Address Strobe
DRAM_CS_N: out std_logic; -- SDRAM Chip Select
DRAM_BA_0: buffer std_logic; -- SDRAM Bank Address 0
DRAM_BA_1: buffer std_logic; -- SDRAM Bank Address 1
DRAM_CLK: out std_logic; -- SDRAM Clock
DRAM_CKE: out std_logic; -- SDRAM Clock Enable
CLOCK_50: in std_logic; -- On Board 50 MHz
KEY: in std_logic_vector(3 downto 0); -- Pushbutton[3:0]
SW: in std_logic_vector(9 downto 0); -- Toggle Switch[9:0]
HEX0: out std_logic_vector(6 downto 0); -- Seven Segment Digit 0
HEX1: out std_logic_vector(6 downto 0); -- Seven Segment Digit 1
HEX2: out std_logic_vector(6 downto 0); -- Seven Segment Digit 2
HEX3: out std_logic_vector(6 downto 0); -- Seven Segment Digit 3
LEDG: out std_logic_vector(7 downto 0); -- LED Green[7:0]
LEDR: out std_logic_vector(9 downto 0); -- LED Red[9:0]
AUD_ADCLRCK:inout std_logic; -- Audio CODEC ADC LR Clock
AUD_ADCDAT: in std_logic; -- Audio CODEC ADC Data
AUD_DACLRCK:inout std_logic; -- Audio CODEC DAC LR Clock
AUD_DACDAT: out std_logic; -- Audio CODEC DAC Data
AUD_BCLK: inout std_logic; -- Audio CODEC Bit-Stream Clock
AUD_XCK: out std_logic; -- Audio CODEC Chip Clock
I2C_SDAT: inout std_logic; -- I2C Data
I2C_SCLK: out std_logic; -- I2C Clock
VGA_CLK: inout std_logic; -- VGA Clock
VGA_HS: out std_logic; -- VGA H_SYNC
VGA_VS: out std_logic; -- VGA V_SYNC
VGA_BLANK: out std_logic; -- VGA BLANK
VGA_SYNC: out std_logic; -- VGA SYNC
VGA_R: out std_logic_vector(9 downto 0); -- VGA Red[9:0]
VGA_G: out std_logic_vector(9 downto 0); -- VGA Green[9:0]
VGA_B: out std_logic_vector(9 downto 0) -- VGA Blue[9:0]
);
end RegistratorePortatile;
-- --------------------------------------------------------------------------------------------
-- ------------------------------------------------------------------------ Definizione segnali
-- --------------------------------------------------------------------------------------------
architecture behaviour of RegistratorePortatile is
-------------------------------------------------------------------------------------------
-------------------------------------------------------------------------- Componenti usati
-------------------------------------------------------------------------------------------
component SDRAM_pll is port(
inclk0 : in std_logic;
c0 : out std_logic;
c1 : out std_logic;
c2 : out std_logic
);
end component;
component sdram is port(
az_addr: in std_logic_vector(21 downto 0);
az_be_n: in std_logic_vector(1 downto 0);
az_cs: in std_logic;
az_data: in std_logic_vector(15 downto 0);
az_rd_n: in std_logic;
az_wr_n: in std_logic;
clk: in std_logic;
reset_n: in std_logic;
za_data: out std_logic_vector(15 downto 0);
za_valid: out std_logic;
za_waitrequest: out std_logic;
zs_addr: out std_logic_vector(11 downto 0);
zs_ba: out std_logic_vector(1 downto 0);
zs_cas_n: out std_logic;
zs_cke: out std_logic;
zs_cs_n: out std_logic;
zs_dq: inout std_logic_vector(15 downto 0);
zs_dqm: out std_logic_vector(1 downto 0);
zs_ras_n: out std_logic;
zs_we_n: out std_logic
);
end component;
component Audio_Controller is port(
clk: in std_logic;
reset: in std_logic;
clear_audio_in_memory: in std_logic;
read_audio_in: in std_logic;
clear_audio_out_memory: in std_logic;
left_channel_audio_out: in std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH
right_channel_audio_out: in std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH
write_audio_out: in std_logic;
AUD_ADCDAT: in std_logic;
AUD_BCLK: inout std_logic;
AUD_ADCLRCK: inout std_logic;
AUD_DACLRCK: inout std_logic;
I2C_SDAT: inout std_logic;
audio_in_available: out std_logic;
left_channel_audio_in: buffer std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH
right_channel_audio_in: out std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH
audio_out_allowed: out std_logic;
AUD_XCK: out std_logic;
AUD_DACDAT: out std_logic;
I2C_SCLK: out std_logic;
useMicInput: in std_logic
);
end component;
component PlayRecord is port (
CLOCK_50 : in std_logic;
CLOCK_1S : in std_logic;
reset : in std_logic;
ram_addr : out std_logic_vector(21 downto 0);
ram_data_in : out std_logic_vector(15 downto 0);
ram_read : out std_logic;
ram_write : out std_logic;
ram_data_out : in std_logic_vector(15 downto 0);
ram_valid : in std_logic;
ram_waitrq : in std_logic;
audio_out : out std_logic_vector(15 downto 0);
audio_in : in std_logic_vector(15 downto 0);
audio_out_allowed : in std_logic;
audio_in_available : in std_logic;
write_audio_out : out std_logic;
read_audio_in : out std_logic;
play : in std_logic;
rec : in std_logic;
pause : in std_logic;
speed : in std_logic_vector(1 downto 0);
ram_addr_max : in std_logic_vector(21 downto 0);
playLimitReached : inout std_logic;
secondsCounter : inout std_logic_vector(7 downto 0)
);
end component;
component VGA_Adapter is port(
resetn: in std_logic;
clock: in std_logic;
clock_25: in std_logic;
colour: in std_logic;
x: in std_logic_vector(8 downto 0); -- x coordinate
y: in std_logic_vector(7 downto 0); -- y coordinate
plot: in std_logic; -- Quando e'=1, il pixel (x,y) cambiera' colore (bisogna plottare)
-- Segnali per il DAC per pilotare the monitor.
VGA_R: out std_logic_vector(9 downto 0);
VGA_G: out std_logic_vector(9 downto 0);
VGA_B: out std_logic_vector(9 downto 0);
VGA_HS: out std_logic;
VGA_VS: out std_logic;
VGA_BLANK: out std_logic;
VGA_SYNC: out std_logic
);
end component;
component Display is port (
clock : in std_logic;
reset : in std_logic;
freeze : in std_logic;
data : in std_logic_vector(15 downto 0);
x : inout std_logic_vector(8 downto 0);
y : inout std_logic_vector(7 downto 0);
color : inout std_logic;
plot : inout std_logic
);
end component;
component BinaryToBcd is port (
A : in std_logic_vector(7 downto 0);
ONES : out std_logic_vector(3 downto 0);
TENS : out std_logic_vector(3 downto 0);
HUNDREDS : out std_logic_vector(1 downto 0)
);
end component;
component hex2seg is port (
hex: in std_logic_vector(3 downto 0);
seg: out std_logic_vector(6 downto 0)
);
end component;
-- Segnali usati per leggere e scrivere dalla RAM
signal ram_addr: std_logic_vector(21 downto 0); -- Indirizzamento a 22 bit
signal ram_data_in, ram_data_out: std_logic_vector(15 downto 0); -- Bus dati a 16 bit I/O
signal ram_valid, ram_waitrq, ram_read, ram_write: std_logic; -- Segnali di abilitazione per lettura/scrittura
signal ram_addr_max: std_logic_vector(21 downto 0); -- Memorizza l'ultimo banco di RAM memorizzato
signal playLimitReached: std_logic; -- A 1 se durante il play si raggiunge la fine della registrazione
-- Segnali per gestione lettura/scrittura audio
signal audio_out, audio_in: std_logic_vector(15 downto 0); -- Bus a 16 bit
signal audio_out_allowed, audio_in_available: std_logic; -- Segnali di controllo abilitazione lettura/scrittura audio
signal write_audio_out, read_audio_in: std_logic;
-- Segnali per interfaccia con VGA
signal vga_color: std_logic; -- Colore (monocromatico, pixel acceso/spento)
signal vga_x: std_logic_vector(8 downto 0); -- x massimo = 319 (9 bit)
signal vga_y: std_logic_vector(7 downto 0); -- y massimo = 239 (8 bit)
signal vga_plot: std_logic; -- Abilitazione a scrittura pixel
-- Visualizzo l'uscita se sono in Play, altrimenti visualizzo l'ingresso del microfono
signal display_data: std_logic_vector(15 downto 0);
signal display_data_scaled: std_logic_vector(15 downto 0); -- Dati in scala usati per VGA e led rossi (volume)
signal useMicInput: std_logic; -- Quando e' a 1 usa il microfono, altrimenti il LineIn
signal blink_cnt: std_logic_vector(25 downto 0); -- Usato per blink pausa
-- Contatore di secondi
signal secondsCounter: std_logic_vector(7 downto 0); -- Contatore di secondi durante Play & Rec
signal secondsCounter0, secondsCounter1: std_logic_vector(3 downto 0); -- BCD
signal secondsCounter2: std_logic_vector(1 downto 0); -- BCD
signal seconds_max: std_logic_vector(7 downto 0); -- Memorizza i secondi memorizzati con l'ultima registrazione
signal seconds_max0, seconds_max1: std_logic_vector(3 downto 0); -- BCD
signal seconds_max2: std_logic_vector(1 downto 0); -- BCD
signal cnt_clock: integer;
signal CLOCK_1S: std_logic;
-----------------------------------------------------------------------------------------------
------------------------------------------------------------ Definizione input dalla Altera DE1
-----------------------------------------------------------------------------------------------
-- Tasti e switch per comandi
signal reset: std_logic := not KEY(0); -- Reset del sistema
signal AudioInChanged: std_logic := not KEY(1); -- Gestione del soft reset del chip audio
signal DisplayRamAddr: std_logic := not KEY(2); -- Se premuto visualizza l'indirizzo RAM anziche' i secondi
signal play_Cmd: std_logic := SW(0); -- Riproduce l'audio
signal pause_Cmd: std_logic := SW(1); -- Mette in pausa
signal record_Cmd: std_logic := SW(2); -- Registra
signal speed: std_logic_vector(1 downto 0) := SW(4 downto 3); -- Settaggi di velocita riproduzione
signal scale: std_logic_vector(1 downto 0) := SW(6 downto 5); -- Scala di visualizzazione dell'onda
signal showMaxAddr: std_logic := SW(7); -- Visualizzazione del limite dell'ultima registrazione
-----------------------------------------------------------------------------------------------
----------------------------------------------------------------------------- Segnali di buffer
-----------------------------------------------------------------------------------------------
-- Segnali per display a 7 segmenti
signal h0_sig: std_logic_vector(3 downto 0);
signal h1_sig: std_logic_vector(3 downto 0);
signal h2_sig: std_logic_vector(3 downto 0);
signal h3_sig: std_logic_vector(3 downto 0);
signal ramMaxAddr_sig: std_logic_vector(1 downto 0); -- Serve per assegnare h0 e h1
signal zs_ba_sig: std_logic_vector(1 downto 0);
signal zs_dqm_sig: std_logic_vector(1 downto 0);
signal left_channel_audio_in_sig: std_logic_vector(32 downto 1);
begin
display_data <= audio_out when play_Cmd='1' else audio_in;
-----------------------------------------------------------------------------------------------
--------------------------------------------------- Definizione output diretti sulla Altera DE1
-----------------------------------------------------------------------------------------------
-- Spie per livello audio (volume) sui led rossi
LEDR(0) <= '0' when display_data_scaled(15)='1' else display_data_scaled(0);
LEDR(1) <= '0' when display_data_scaled(15)='1' else display_data_scaled(2);
LEDR(2) <= '0' when display_data_scaled(15)='1' else display_data_scaled(4);
LEDR(3) <= '0' when display_data_scaled(15)='1' else display_data_scaled(6);
LEDR(4) <= '0' when display_data_scaled(15)='1' else display_data_scaled(8);
LEDR(5) <= '0' when display_data_scaled(15)='1' else display_data_scaled(10);
LEDR(6) <= '0' when display_data_scaled(15)='1' else display_data_scaled(12);
LEDR(7) <= '0' when display_data_scaled(15)='1' else display_data_scaled(14);
-- Spia per la pausa
LEDG(7) <= blink_cnt(25) when pause_Cmd='1' and (play_Cmd='1' or record_Cmd='1') else '0';
LEDG(6) <= play_Cmd and playLimitReached;
-- Spia per reset
LEDG(0) <= reset;
-- Spia per input audio
LEDG(1) <= useMicInput;
-- Clock 1S (debug)
LEDG(2) <=
CLOCK_1S
and (play_Cmd or record_Cmd)
and (not pause_Cmd)
and (not playLimitReached);
-- Led non usati
LEDR(9 downto 8) <= "00";
LEDG(5 downto 3) <= "000";
-----------------------------------------------------------------------------------------------
----------------------------------------------------------------------------- Segnali di buffer
-----------------------------------------------------------------------------------------------
-- Visualizzo l'uscita se sono in Play, altrimenti visualizzo l'ingresso del microfono
display_data <= audio_out when play_Cmd='1' else audio_in;
ramMaxAddr_sig <= DisplayRamAddr & showMaxAddr;
with ramMaxAddr_sig select
h0_sig <=
ram_addr_max(17 downto 14) when "11", -- Mostra Max Ram Address
ram_addr(17 downto 14) when "10", -- Mostra Ram Address
seconds_max0 when "01", -- Mostra Max Secondi
secondsCounter0 when "00", -- Mostra secondi
"0000" when others;
with ramMaxAddr_sig select
h1_sig <=
ram_addr_max(21 downto 18) when "11", -- Mostra Max Ram Address
ram_addr(21 downto 18) when "10", -- Mostra Ram Address
seconds_max1 when "01", -- Mostra Max Secondi
secondsCounter1 when "00", -- Mostra secondi
"0000" when others;
h2_sig <= "00" & scale;
h3_sig <= "00" & speed;
zs_ba_sig <= DRAM_BA_1 & DRAM_BA_0;
zs_dqm_sig <= DRAM_UDQM & DRAM_LDQM;
left_channel_audio_in_sig <= audio_in & "XXXXXXXXXXXXXXXX";
-----------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------- Processi
-----------------------------------------------------------------------------------------------
-- Intercetto il cambio di input per generare un "soft reset" del codec
-- Visto che il settaggio della periferica deve essere fatto allo startup del CODEC
process (AudioInChanged)
begin
if rising_edge(AudioInChanged) then
useMicInput <= not useMicInput;
end if;
end process;
-- Calcolo dei dati in base alla scala scelta: piu' e' alto il valore di scala piu'
-- Viene ridotta l'altezza della forma d'onda visualizzata
process (all)
begin
case(scale) is
when "00" => display_data_scaled <= display_data;
when "01" => display_data_scaled <= display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(14 downto 4);
when "10" => display_data_scaled <= display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(14 downto 8);
when "11" => display_data_scaled <= display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(15)
& display_data(14 downto 12);
end case;
end process;
-- Blinking della pausa
process (CLOCK_50)
begin
if rising_edge(CLOCK_50) then
blink_cnt <= blink_cnt + 1;
end if;
end process;
-- Memorizzazione dell'ultimo indirizzo registrato
process (record_Cmd)
begin
if falling_edge(record_Cmd) then
ram_addr_max <= ram_addr;
seconds_max <= secondsCounter;
end if;
end process;
-- Generazione clock a 2 Hz per contare i secondi
process (CLOCK_50)
begin
if rising_edge(CLOCK_50) then
if (cnt_clock = 25000000) then
CLOCK_1S <= not CLOCK_1S;
cnt_clock <= 0;
else
cnt_clock <= cnt_clock + 1;
end if;
end if;
end process;
-----------------------------------------------------------------------------------------------
----------------------------------------------------------------------- Collegamento Componenti
-----------------------------------------------------------------------------------------------
-- Modulo PLL generato con la megafunction ALTPLL
SDRAM_PLL_Entity: SDRAM_PLL port map(
inclk0 => CLOCK_50,
c0 => DRAM_CLK,
c1 => VGA_CLK,
c2 => AUD_XCK
);
-- Modulo generato dal SOPC builder
SDRAM_Entity: sdram port map(
az_addr => ram_addr,
az_be_n => "00",
az_cs => '1',
az_data => ram_data_in,
az_rd_n => not ram_read,
az_wr_n => not ram_write,
clk => CLOCK_50,
reset_n => not reset,
za_data => ram_data_out,
za_valid => ram_valid,
za_waitrequest => ram_waitrq,
zs_addr => DRAM_ADDR,
zs_ba => zs_ba_sig,
zs_cas_n => DRAM_CAS_N,
zs_cke => DRAM_CKE,
zs_cs_n => DRAM_CS_N,
zs_dq => DRAM_DQ,
zs_dqm => zs_dqm_sig,
zs_ras_n => DRAM_RAS_N,
zs_we_n => DRAM_WE_N
);
-- Lettura e scrittura sul chip audio
Audio_Controller_Entity: Audio_Controller port map (
clk => CLOCK_50,
reset => reset or AudioInChanged,
clear_audio_in_memory => '0',
read_audio_in => read_audio_in,
clear_audio_out_memory => '0',
left_channel_audio_out => audio_out & "0000000000000000",
right_channel_audio_out => audio_out & "0000000000000000",
write_audio_out => write_audio_out,
AUD_ADCDAT => AUD_ADCDAT,
AUD_BCLK => AUD_BCLK,
AUD_ADCLRCK => AUD_ADCLRCK,
AUD_DACLRCK => AUD_DACLRCK,
I2C_SDAT => I2C_SDAT,
audio_in_available => audio_in_available,
left_channel_audio_in => left_channel_audio_in_sig,
right_channel_audio_in => OPEN,
audio_out_allowed => audio_out_allowed,
AUD_XCK => OPEN,
AUD_DACDAT => AUD_DACDAT,
I2C_SCLK => I2C_SCLK,
useMicInput => useMicInput
);
-- Gestisce registrazione su RAM e riproduzione da RM dell'audio
PlayRecord_Entity: PlayRecord port map(
CLOCK_50,
CLOCK_1S,
reset,
ram_addr,
ram_data_in,
ram_read,
ram_write,
ram_data_out,
ram_valid,
ram_waitrq,
audio_out,
audio_in,
audio_out_allowed,
audio_in_available,
write_audio_out,
read_audio_in,
play_Cmd,
record_Cmd,
pause_Cmd,
speed,
ram_addr_max,
playLimitReached,
secondsCounter
);
-- Inizializzazione adattatore monitor VGA
VGA_Adapter_Entity: VGA_Adapter port map(
NOT reset,
CLOCK_50,
VGA_CLK,
vga_color,
vga_x,
vga_y,
vga_plot,
VGA_R,
VGA_G,
VGA_B,
VGA_HS,
VGA_VS,
VGA_BLANK,
VGA_SYNC
);
-- Modulo che gestisce il display su monitor VGA
Display_Entity: Display port map(
CLOCK_50,
reset,
pause_Cmd,
display_data_scaled,
vga_x,
vga_y,
vga_color,
vga_plot
);
-- Convertitori da Binario a BCD
SecondsCounter_Entity: BinaryToBcd port map(secondsCounter, secondsCounter0, secondsCounter1, secondsCounter2);
SecondsMax_Entity: BinaryToBcd port map(seconds_max, seconds_max0, seconds_max1, seconds_max2);
-- I display a 7 segmenti 0 e 1 sono usati per visualizzare l'indirizzo della RAM o dei secondi (in rec o play)
h0_Entity: hex2seg port map(h0_sig, HEX0);
h1_Entity: hex2seg port map(h1_sig, HEX1);
-- Il display a 7 segmenti 2 e' usato per visualizzare il fattore di scala dell'onda sul monitor VGA
h4_Entity: hex2seg port map(h2_sig,HEX2);
-- Il display a 7 segmenti 3 viene usato per visualizzare la velocita di riproduzione
h3_Entity: hex2seg port map(h3_sig,HEX3);
end behaviour;
| mit |
Kolchuzhin/LMGT_MEMS_component_library | electrostatically_actuated_membrane/testbench.vhd | 1 | 6330 | -- =============================================================================
-- Model: testbench for an electrostatically actuated silicon membrane
--
-- Author: Vladimir Kolchuzhin, Chemnitz
-- <[email protected]>
-- Date: 21.10.2015
--
-- =============================================================================
-- VHDL-AMS generated code from ANSYS ROM Tool for hAMSter:
--
-- initial_160.vhd
-- s_ams_160.vhd
-- ca12_ams_160.vhd
-- ememb_160.vhd
--
-- units: uMKSV
---------------
--
-- Geometrical parameters of membrane:
--------------------------------------
-- memb_a=4000.0 ! length
-- memb_b=4000.0 ! width
-- memb_h= 5.0 ! thickness
-- fafl_l= 300.0 ! fringing field distance in plane direction
-- fafl_h= 200.0 ! fringing field distance above membrane
-- el_gap= 30.0 ! electrode gap
--
--
-- Solver parameters:
---------------------
-- Euler solver: time= 5ms; step=5.0 us
--
--
-- Load options:
----------------
-- use_pass=0 => external modal forces, uN; fm1_ext=km_1*q1_ext, => q1_ext=1.0 (mechanical test)
-- use_pass=1 => calculation of voltage displacement functions up to pull-in: voltage sweep
-- use_pass=4 => harmonic analysis -> chirp for harmonic response; + DFT (postprocessing)
--------------------------------------------------------------------------------
-- use_pass=1:
-- membrane is driven by a voltage: electrical excitation - > mechanical response
-- electrostatic softening vs stress stiffening:
--
-- The computed pull-in voltage is 90.0 volts in LIN case w/o stress stiffening;
-- The computed pull-in voltage is 258.0 volts in NL case.
--
--------------------------------------------------------------------------------
-- ID: testbench.vhd
--
-- Rev. 1.00 22.10.2015
--
-- =============================================================================
library ieee;
use work.electromagnetic_system.all;
use work.all;
use ieee.math_real.all;
entity testbench is
end;
architecture behav of testbench is
terminal struc1_ext,struc2_ext: translational; --
terminal lagrange1_ext,lagrange2_ext:translational; --
terminal master1_ext,master2_ext:translational; --
terminal elec1_ext,elec2_ext: electrical; --
-- Modal displacement
quantity q1_ext across fm1_ext through struc1_ext; -- modal amplitude 1
quantity q2_ext across fm2_ext through struc2_ext; -- modal amplitude 2
-- Lagrangian multipler
quantity p1_ext across r1_ext through lagrange1_ext;
quantity p2_ext across r2_ext through lagrange2_ext;
-- Nodal displacement
quantity u1_ext across f1_ext through master1_ext;
quantity u2_ext across f2_ext through master2_ext;
-- Electrical ports
quantity v1_ext across i1_ext through elec1_ext; -- conductor 1
quantity v2_ext across i2_ext through elec2_ext; -- conductor 2
constant f_1:real:=421.761e+03;
constant T_1:real:=1.0/f_1;
constant t_end:real:=5.0e-03;
constant dt:real:=5.0e-06;
-- convert real -> time
constant digital_delay:time:=5.0 us; -- time step size for matrix update: digital_delay=dt!
constant Vmax_value:real:= 258.0; -- Vmax for voltage ramp:
-- 1) LIN: 90.0 V
-- 2) NL: 258.0 V
-- sweep/chirp parameters
constant f_begin:real:=0.1e+03;
constant f_end:real:= 40.0e+03;
constant Vdc_value:real:= 70.0; -- Vdc
constant Vac_value:real:= 2.0; -- Vac
constant use_pass:integer:=1; -- *** 0/1/4 ***
-- use_pass=0 => external modal forces, uN; fmi_ext=km_i*qi_ext, => qi_ext=1.0 (mechanical test)
-- use_pass=1 => calculation of voltage displacement functions up to pull-in: voltage sweep
-- use_pass=4 => harmonic analysis -> chirp for harmonic response; + DFT (postprocessing)
begin
-- Loads:
if DOMAIN = quiescent_domain use
v1_ext == 0.0;
v2_ext == 0.0;
fm1_ext == 0.0; -- external modal force 1
fm2_ext == 0.0; -- external modal force 2
else
if use_pass = 0 use -- step 0->1 at t=0.0
v1_ext == 0.0;
v2_ext == 0.0;
fm1_ext == 23.6778051194; -- external modal force 1
fm2_ext == 212.6896178680; -- external modal force 2
end use;
if use_pass = 1 use -- ramp/sweep
v1_ext == Vmax_value/t_end*now;
v2_ext == 0.0;
fm1_ext == 0.0; -- external modal force 1
fm2_ext == 0.0; -- external modal force 2
end use;
if use_pass = 4 use -- chirp
v1_ext == Vdc_value + Vac_value*sin(2.0*3.14*(f_begin + (f_end-f_begin)/t_end*now) * now);
v2_ext == 0.0;
fm1_ext == 0.0; -- external modal force 1
fm2_ext == 0.0; -- external modal force 2
end use;
end use;
----------------------------------
r1_ext==0.0; -- must be zero
r2_ext==0.0; -- must be zero
f1_ext==0.0; -- external nodal force on master node 1
f2_ext==0.0; -- external nodal force on master node 2
-------------------------------------------------------------------------------
--
-- Lagrangian ports
--
-- p1 p2
-- r_ext1=0 ->>- o o -<<- r_ext2=0
-- | |
-- modal ports o-------o---------o-------o nodal ports
-- | |
-- fm_ext1=0 ->>- q1 o---o o---o u1 -<<- f_ext1=0
-- | |
-- fm_ext2=0 ->>- q2 o---o component_1: ememb_160 o---o u2 -<<- f_ext2=0
-- | |
-- | |
-- | |
-- o-------o---------o-------o
-- | |
-- v1_ext o o v2_ext=0
-- input ground
--
-- electrical ports
--
-- ASCII-Schematic of the elsta-membrane-component
component_1: entity ememb_160(behav)
generic map (digital_delay)
port map (struc1_ext,struc2_ext,
lagrange1_ext,lagrange2_ext,
master1_ext,master2_ext,
elec1_ext,elec2_ext);
end;
-- =============================================================================
| mit |
h3ct0rjs/ComputerArchitecture | Talleres/TallerVhdl/sumador_tb.vhd | 1 | 2133 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
-- Create Date: 16:32:32 09/21/2017
-- Project Name: Tarea
-- VHDL Test Bench Created by ISE for module: sumador
-- Dependencies:
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY sumador_tb IS
END sumador_tb;
ARCHITECTURE behavior OF sumador_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT sumador
PORT(
value1 : IN std_logic_vector(31 downto 0);
value2 : IN std_logic_vector(31 downto 0);
result : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal value1 : std_logic_vector(31 downto 0) := (others => '0');
signal value2 : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal result : std_logic_vector(31 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: sumador PORT MAP (
value1 => value1,
value2 => value2,
result => result
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
value1 <= "00000000000000000000000000000100";
value2 <= "00000000000000001110000000000111";
-- insert stimulus here
wait;
end process;
END;
| mit |
Kolchuzhin/LMGT_MEMS_component_library | tpu_accelerometer/hAMSter_model/tpu_accelerometer.vhd | 3 | 4 | --
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega1/CU.vhd | 1 | 1007 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity CU is
Port ( op : in STD_LOGIC_VECTOR(1 DOWNTO 0);
op3 : in STD_LOGIC_VECTOR(5 DOWNTO 0);
aluop : out STD_LOGIC_VECTOR(5 DOWNTO 0));
end CU;
architecture Behavioral of CU is
begin
process(op, op3)
begin
if(op = "10") then
case op3 is
when "000000" => --Add
aluop <= "000000";
when "000100" => --Sub
aluop <= "000001";
when "000001" => -- And
aluop <= "000010";
when "000101" => --Andn
aluop <= "000011";
when "000010" => --or
aluop <= "000100";
when "000110" => --orn
aluop <= "000101";
when "000011" => --xor
aluop <= "000110";
when "000111" => --xnor
aluop <= "000111";
when others =>
aluop <= (others=>'1');
end case;
else
aluop <= (others=>'1');
end if;
end process;
end Behavioral;
| mit |
Kolchuzhin/LMGT_MEMS_component_library | microelectromechanical_transducer/testbench.vhd | 1 | 15 | -- coming soon
| mit |
Azbesciak/digitalTechnology | cw 5/simulation/qsim/work/@seq@side@eight@bit@adder_vlg_check_tst/_primary.vhd | 1 | 373 | library verilog;
use verilog.vl_types.all;
entity SeqSideEightBitAdder_vlg_check_tst is
port(
HEX0 : in vl_logic_vector(6 downto 0);
HEX1 : in vl_logic_vector(6 downto 0);
LEDR : in vl_logic_vector(8 downto 0);
sampler_rx : in vl_logic
);
end SeqSideEightBitAdder_vlg_check_tst;
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega2/MUX.vhd | 2 | 567 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity MUX is
PORT( Crs2 : IN std_logic_vector(31 DOWNTO 0);
SEUimm13 : IN std_logic_vector(31 DOWNTO 0);
i : IN std_logic;
Oper2 : OUT std_logic_vector(31 DOWNTO 0));
end MUX;
architecture Behavioral of MUX is
begin
PROCESS (i, Crs2, SEUimm13) IS
BEGIN
CASE i IS
WHEN '0' => Oper2 <= Crs2;
WHEN '1' => Oper2 <= SEUimm13;
WHEN OTHERS => Oper2 <= (others => '1');
END CASE;
END PROCESS;
end Behavioral; | mit |
Azbesciak/digitalTechnology | cw 5/SeqSideEightBitAdder.vhd | 1 | 1573 | library ieee;
use ieee.std_logic_1164.all;
entity SeqSideEightBitAdder is
port(
SW: IN std_logic_vector(17 downto 0); --sw17 save?, sw16 add?
KEY0: IN std_logic;
LEDR: out std_logic_vector(8 downto 0);
HEX0, HEX1: OUT std_logic_vector(6 downto 0)
);
end SeqSideEightBitAdder;
architecture impl of SeqSideEightBitAdder is
component OneBitAdder is
port(
A, B, CIN: IN std_logic;
S, COUT: OUT std_logic
);
end component;
component HexDisplay is
port(
input: in std_logic_vector(3 downto 0);
display: out std_logic_vector(6 downto 0)
);
end component;
signal c: std_logic_vector(8 downto 0);
signal reg: std_logic_vector(7 downto 0) := SW(15 downto 8);
signal bBuffor: std_logic_vector(7 downto 0) := SW(7 downto 0);
signal output: std_logic_vector(7 downto 0) := "00000000";
begin
H0: HexDisplay port map(output(3 downto 0), Hex0(6 downto 0));
H1: HexDisplay port map(output(7 downto 4), Hex1(6 downto 0));
SUM: for i in 7 downto 0 generate
ad : OneBitAdder port map(
reg(i), bBuffor(i), c(i), output(i), c(i + 1)
);
end generate;
c(0) <= not(SW(16));
process (KEY0)
begin
if rising_edge(KEY0) then
if SW(16) = '0' then --add or sub
for i in 7 downto 0 loop
bBuffor(i) <= not(SW(i));
end loop;
else
for i in 7 downto 0 loop
bBuffor(i) <= SW(i);
end loop;
end if;
if SW(17) = '1' then --if save last solution
reg(7 downto 0) <= output(7 downto 0);
else
reg(7 downto 0) <= SW(15 downto 8);
end if;
end if;
LEDR(7 downto 0) <= output(7 downto 0);
LEDR(8) <= c(8);
end process;
end; | mit |
Azbesciak/digitalTechnology | cw 5/simulation/qsim/work/@seq@eight@bit@adder_vlg_sample_tst/_primary.vhd | 1 | 287 | library verilog;
use verilog.vl_types.all;
entity SeqEightBitAdder_vlg_sample_tst is
port(
KEY0 : in vl_logic;
SW : in vl_logic_vector(15 downto 0);
sampler_tx : out vl_logic
);
end SeqEightBitAdder_vlg_sample_tst;
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega3/Processor.vhd | 1 | 9935 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Processor is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
DataOut : out STD_LOGIC_VECTOR (31 downto 0));
end Processor;
architecture Behavioral of Processor is
COMPONENT Sumador
PORT(
Operador1 : IN std_logic_vector(31 downto 0);
Resultado : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT adder_SEU22
PORT(
OP1 : IN std_logic_vector(31 downto 0);
OP2 : IN std_logic_vector(31 downto 0);
AddOut : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT adder_COR
PORT(
OP1 : IN std_logic_vector(29 downto 0);
OP2 : IN std_logic_vector(31 downto 0);
Addout : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT ALU
PORT(
ALUOP : IN std_logic_vector(5 downto 0);
Carry : IN std_logic;
OPer1 : IN std_logic_vector(31 downto 0);
OPer2 : IN std_logic_vector(31 downto 0);
Salida : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT CU
PORT(
OP : IN std_logic_vector(1 downto 0);
OP3 : IN std_logic_vector(5 downto 0);
icc : IN std_logic_vector(3 downto 0);
Cond : IN std_logic_vector(3 downto 0);
wren : OUT std_logic;
PCsource : OUT std_logic_vector(1 downto 0);
ALUOP : OUT std_logic_vector(5 downto 0);
RdEnMem : OUT std_logic;
WrEnMem : OUT std_logic;
RFsource : OUT std_logic_vector(1 downto 0);
RFdest : OUT std_logic
);
END COMPONENT;
COMPONENT DataMemory
PORT(
WrEnMem : IN std_logic;
RdEnMem : IN std_logic;
reset : IN std_logic;
crd : IN std_logic_vector(31 downto 0);
ALUResult : IN std_logic_vector(31 downto 0);
DataToMem : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT IM
PORT(
--clkFPGA: in std_logic;
rst : IN std_logic;
addr : IN std_logic_vector(31 downto 0);
data : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT MUX_wm
PORT(
RDin : IN std_logic_vector(5 downto 0);
o15 : IN std_logic_vector(5 downto 0);
RFDest : IN std_logic;
nRD : OUT std_logic_vector(5 downto 0)
);
END COMPONENT;
COMPONENT MUX_rf
PORT(
CRS2 : IN std_logic_vector(31 downto 0);
SEUimm13 : IN std_logic_vector(31 downto 0);
i : IN std_logic;
OPer2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT MUX_COR
PORT(
ALUaddress : IN std_logic_vector(31 downto 0);
PC_dis30 : IN std_logic_vector(31 downto 0);
PC_seu : IN std_logic_vector(31 downto 0);
PC_4 : IN std_logic_vector(4 downto 0);
PCsource : IN std_logic_vector(1 downto 0);
MUXout : OUT std_logic_vector(4 downto 0)
);
END COMPONENT;
COMPONENT MUX_DM
PORT(
PC : IN std_logic_vector(4 downto 0);
RFsource : IN std_logic_vector(1 downto 0);
DataToMem : IN std_logic_vector(31 downto 0);
ALUResult : IN std_logic_vector(31 downto 0);
DataToReg : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT PC
PORT(
DAT_in : IN std_logic_vector(4 downto 0);
rst : IN std_logic;
clk : IN std_logic;
DAT_out : OUT std_logic_vector(4 downto 0)
);
END COMPONENT;
COMPONENT PSR_Modifier
PORT(
rst : IN std_logic;
OP1 : IN std_logic_vector(31 downto 0);
OP2 : IN std_logic_vector(31 downto 0);
AluOp : IN std_logic_vector(7 downto 0);
AluResult : IN std_logic_vector(31 downto 0);
NZVC : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
COMPONENT PSR
PORT(
clk : IN std_logic;
Rst : IN std_logic;
NZVC : IN std_logic_vector(3 downto 0);
Ncwp : IN std_logic_vector(4 downto 0);
Cwp : OUT std_logic_vector(4 downto 0);
Carry : OUT std_logic;
icc : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
COMPONENT RF
PORT(
rst : IN std_logic;
RS1 : IN std_logic_vector(5 downto 0);
RS2 : IN std_logic_vector(5 downto 0);
RD : IN std_logic_vector(5 downto 0);
DATATOREG : IN std_logic_vector(31 downto 0);
dwr : IN std_logic;
ORS1 : OUT std_logic_vector(31 downto 0);
ORS2 : OUT std_logic_vector(31 downto 0);
CRD : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT Mod5Seu
PORT(
imm13 : IN std_logic_vector(12 downto 0);
SEUimm32 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT windows_manager_arch is
Port (
rst : in std_logic;
rs1 : in STD_LOGIC_VECTOR (4 downto 0);
rs2 : in STD_LOGIC_VECTOR (4 downto 0);
rd : in STD_LOGIC_VECTOR (4 downto 0);
op : in STD_LOGIC_VECTOR (1 downto 0);
op3 : in STD_LOGIC_VECTOR (5 downto 0);
CWP : in STD_LOGIC_VECTOR (4 downto 0);
nrs1 : out STD_LOGIC_VECTOR (5 downto 0);
nrs2 : out STD_LOGIC_VECTOR (5 downto 0);
nrd : out STD_LOGIC_VECTOR (5 downto 0);
nCWP : out STD_LOGIC_VECTOR (4 downto 0);
no7 : out STD_LOGIC_VECTOR (5 downto 0)
);
END COMPONENT;
COMPONENT SEU22
PORT(
disp22 : IN std_logic_vector(21 downto 0);
seuOut : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
signal nPCtoPC : std_logic_vector (31 downto 0) := (others => '0');
signal PCtoOthers : std_logic_vector(31 downto 0):= (others => '0');
signal IMout : std_logic_vector(31 downto 0):= (others => '0');
signal nrdWM : std_logic_vector (5 downto 0):= (others => '0');
signal o7WM : std_logic_vector (5 downto 0):= (others => '0');
signal pc_4 : std_logic_vector (31 downto 0):= (others => '0');
signal disp22e : std_logic_vector (31 downto 0):= (others => '0');
signal disp22_PC : std_logic_vector (31 downto 0):= (others => '0');
signal disp30_PC : std_logic_vector (31 downto 0):= (others => '0');
signal cualuop : std_logic_vector (5 downto 0):= (others => '0');
signal carry_p : std_logic:='0';
signal crs1_p : std_logic_vector (31 downto 0):= (others => '0');
signal crs2_p : std_logic_vector (31 downto 0):= (others => '0');
signal seusimm13 : std_logic_vector (31 downto 0):= (others => '0');
signal frs2 : std_logic_vector (31 downto 0):= (others => '0');
signal ALUout : std_logic_vector (31 downto 0):= (others => '0');
signal icc_p : std_logic_vector (3 downto 0):= (others => '0');
signal wren_p : std_logic:='0';
signal rfdest_p : std_logic:='0';
signal rfsource_p : std_logic_vector (1 downto 0):= (others => '0');
signal pcsource_p : std_logic_vector (1 downto 0):= (others => '0');
signal rdenmem_p : std_logic:='0';
signal wrenmem_p : std_logic:='0';
signal crd_p : std_logic_vector (31 downto 0);
signal datatoreg_p : std_logic_vector (31 downto 0):= (others => '0');
signal datatomux : std_logic_vector (31 downto 0):= (others => '0');
signal mux1torf : std_logic_vector (5 downto 0):= (others => '0');
signal nrs1_p : std_logic_vector (5 downto 0):= (others => '0');
signal nrs2_p : std_logic_vector (5 downto 0):= (others => '0');
signal cwp_p : std_logic_vector (4 downto 0):= (others => '0');
signal ncwp_p : std_logic_vector (4 downto 0):= (others => '0');
signal nzvc_p : std_logic_vector (3 downto 0):= (others => '0');
signal mux3tonpc : std_logic_vector (4 downto 0):= (others => '0');
begin
Inst_SEU22: SEU22 PORT MAP(
disp22 => IMout(21 downto 0),
seuOut => disp22e
);
Inst_Sumador_module: Sumador PORT MAP(
Operador1 => PCtoOthers,
Resultado => pc_4
);
Inst_adder_SEU22: adder_SEU22 PORT MAP(
OP1 => PCtoOthers,
OP2 => disp22e,
AddOut => disp22_PC
);
Inst_adder_COR: adder_COR PORT MAP(
OP1 => IMout(29 downto 0),
OP2 => PCtoOthers,
Addout => disp30_PC
);
Inst_ALU: ALU PORT MAP(
ALUOP => cualuop,
Carry => carry_p,
OPer1 => crs1_p,
OPer2 => frs2,
Salida => ALUout
);
Inst_CU: CU PORT MAP(
OP => IMout (31 downto 30),
OP3 => IMout (24 downto 19),
icc => icc_p,
Cond => IMout (28 downto 25),
wren => wren_p,
PCsource => pcsource_p,
ALUOP => cualuop,
RdEnMem => rdenmem_p,
WrEnMem => wrenmem_p,
RFsource => rfsource_p,
RFdest => rfdest_p
);
Inst_DataMemory: DataMemory PORT MAP(
WrEnMem => wrenmem_p,
RdEnMem => rdenmem_p,
reset => reset,
crd => crd_p,
ALUResult => ALUout,
DataToMem => datatomux
);
Inst_IM: IM PORT MAP(
--clkFPGA=>clk,
rst => reset,
addr => PCtoOthers,
data => IMout
);
Inst_MUX_wm: MUX_wm PORT MAP(
RDin => nrdWM,
o15 => o7WM,
RFDest => rfdest_p,
nRD => mux1torf
);
Inst_MUX_rf: MUX_rf PORT MAP(
CRS2 => crs2_p,
SEUimm13 => seusimm13,
i => IMout (13),
OPer2 => frs2
);
Inst_MUX_COR: MUX_COR PORT MAP(
ALUaddress => ALUout,
PC_dis30 => disp30_PC,
PC_seu => disp22_PC,
PC_4 => pc_4,
PCsource => pcsource_p,
MUXout => mux3tonpc
);
Inst_MUX_DM: MUX_DM PORT MAP(
PC => PCtoOthers,
RFsource => rfsource_p,
DataToMem => datatomux ,
ALUResult => ALUout,
DataToReg => datatoreg_p
);
Inst_PC: PC PORT MAP(
DAT_in => nPCtoPC,
rst => reset,
clk => clk,
DAT_out => PCtoOthers
);
Inst_nPC: PC PORT MAP(
DAT_in => mux3tonpc,
rst => reset,
clk => clk,
DAT_out => nPCtoPC
);
Inst_PSR_Modifier: PSR_Modifier PORT MAP(
rst => reset,
OP1 => crs1_p ,
OP2 => crs2_p,
AluOp => cualuop,
AluResult => ALUout,
NZVC => nzvc_p
);
Inst_PSR: PSR PORT MAP(
clk => clk,
Rst => reset ,
NZVC => nzvc_p,
Ncwp => ncwp_p,
Cwp => cwp_p,
Carry => carry_p,
icc => icc_p
);
Inst_RF: RF PORT MAP(
rst => reset,
RS1 => nrs1_p,
RS2 => nrs2_p,
RD => mux1torf,
DATATOREG => datatoreg_p ,
Dwr => wren_p,
ORS1 => crs1_p,
ORS2 => crs2_p,
CRD => crd_p
);
Inst_Mod5Seu: Mod5Seu PORT MAP(
imm13 => IMout (12 downto 0),
SEUimm32 => seusimm13
);
Inst_windows_manager_arch: windows_manager_arch PORT MAP(
rst => reset,
cwp => cwp_p,
rs1 => IMout (18 downto 14),
rs2 => IMout (4 downto 0) ,
rd => IMout (29 downto 25),
op => IMout (31 downto 30),
op3 => IMout (24 downto 19),
ncwp => ncwp_p,
nrs1 => nrs1_p,
nrs2 => nrs2_p,
nrd => nrdWM,
no7 => o7WM
);
DataOut<=datatoreg_p;
end Behavioral;
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega2/Seu_tb.vhd | 3 | 2034 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:27:44 09/28/2017
-- Design Name:
-- Module Name: C:/Users/utp/Procesador/Modulo5Seu/Mod5Seu_tb.vhd
-- Project Name: Modulo5Seu
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Mod5Seu
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Mod5Seu_tb IS
END Mod5Seu_tb;
ARCHITECTURE behavior OF Mod5Seu_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Mod5Seu
PORT(
imm13 : IN std_logic_vector(12 downto 0);
SEUimm32 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal imm13 : std_logic_vector(12 downto 0) := (others => '0');
--Outputs
signal SEUimm32 : std_logic_vector(31 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Mod5Seu PORT MAP (
imm13 => imm13,
SEUimm32 => SEUimm32
);
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
imm13 <="0000000000010";
wait for 100 ns;
-- insert stimulus here
imm13 <="1000000000000";
wait;
end process;
END;
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega1/MUX_tb.vhd | 2 | 2378 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:18:38 09/28/2017
-- Design Name:
-- Module Name: C:/Users/utp/Desktop/TEMPORAL/ALU/MUX_tb.vhd
-- Project Name: ALU
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: MUX
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY MUX_tb IS
END MUX_tb;
ARCHITECTURE behavior OF MUX_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT MUX
PORT(
Crs2 : IN std_logic_vector(31 downto 0);
SEUimm13 : IN std_logic_vector(31 downto 0);
i : IN std_logic;
Oper2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal Crs2 : std_logic_vector(31 downto 0) := (others => '0');
signal SEUimm13 : std_logic_vector(31 downto 0) := (others => '0');
signal i : std_logic := '0';
--Outputs
signal Oper2 : std_logic_vector(31 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: MUX PORT MAP (
Crs2 => Crs2,
SEUimm13 => SEUimm13,
i => i,
Oper2 => Oper2
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
Crs2 <= "00000000000000001110000000000111";
SEUimm13 <= "00000000000000000000111010000111";
i <= '1';
-- insert stimulus here
wait;
end process;
END;
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega3/RF.vhd | 1 | 1337 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity RF is
Port ( Rs1 : in STD_LOGIC_VECTOR (5 downto 0);
Rs2 : in STD_LOGIC_VECTOR (5 downto 0);
Rd : in STD_LOGIC_VECTOR (5 downto 0);
rst : in STD_LOGIC;
Dwr : in STD_LOGIC;
DATATOREG : in STD_LOGIC_VECTOR (31 downto 0);
ORs1 : out STD_LOGIC_VECTOR (31 downto 0);
ORs2 : out STD_LOGIC_VECTOR (31 downto 0);
cRD : out STD_LOGIC_VECTOR (31 downto 0)
);
end RF;
architecture Behavioral of RF is
type ram_type is array (0 to 39) of std_logic_vector (31 downto 0);
signal registers : ram_type := (others => x"00000000");
begin
process(rs1, rs2, rd, dwr, rst, DATATOREG, registers)
begin
registers(0) <= x"00000000";
if (rst = '0') then
if (Dwr = '1' and Rd /= "00000") then
registers(conv_integer(Rd)) <= DATATOREG;
end if;
ORs1 <= registers(conv_integer(Rs1));
ORs2 <= registers(conv_integer(Rs2));
cRD <= registers(conv_integer(Rd));
else
ORs1 <= (others => '0');
ORs2 <= (others => '0');
cRD <= (others => '0');
--registers(16) <= x"00000011";
--registers(17) <= x"FFFFFFF7";
--registers(18) <= x"0000000E";
end if;
end process;
end Behavioral;
| mit |
Kolchuzhin/LMGT_MEMS_component_library | vibration_gyroscope/RR-type/gyroscope.vhd | 388981 | 1 | mit |
|
h3ct0rjs/ComputerArchitecture | Processor/Entrega3/MUX_tb.vhd | 1 | 2288 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:18:38 09/28/2017
-- Design Name:
-- Module Name: C:/Users/utp/Desktop/TEMPORAL/ALU/MUX_tb.vhd
-- Project Name: ALU
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: MUX
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY MUX_tb IS
END MUX_tb;
ARCHITECTURE behavior OF MUX_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT MUX
PORT(
Crs2 : IN std_logic_vector(31 downto 0);
SEUimm13 : IN std_logic_vector(31 downto 0);
i : IN std_logic;
Oper2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal Crs2 : std_logic_vector(31 downto 0) := (others => '0');
signal SEUimm13 : std_logic_vector(31 downto 0) := (others => '0');
signal i : std_logic := '0';
--Outputs
signal Oper2 : std_logic_vector(31 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: MUX PORT MAP (
Crs2 => Crs2,
SEUimm13 => SEUimm13,
i => i,
Oper2 => Oper2
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
Crs2 <= "00000000000000001110000000000111";
SEUimm13 <= "00000000000000000000111010000111";
i <= '1';
-- insert stimulus here
wait;
end process;
END;
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega2/ALU_tb.vhd | 2 | 2478 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:02:39 09/28/2017
-- Design Name:
-- Module Name: C:/Users/utp/Desktop/TEMPORAL/ALU/ALU_tb.vhd
-- Project Name: ALU
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: ALU
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY ALU_tb IS
END ALU_tb;
ARCHITECTURE behavior OF ALU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ALU
PORT(
Oper1 : IN std_logic_vector(31 downto 0);
Oper2 : IN std_logic_vector(31 downto 0);
ALUOP : IN std_logic_vector(5 downto 0);
Salida : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal Oper1 : std_logic_vector(31 downto 0) := (others => '0');
signal Oper2 : std_logic_vector(31 downto 0) := (others => '0');
signal ALUOP : std_logic_vector(5 downto 0) := (others => '0');
--Outputs
signal Salida : std_logic_vector(31 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ALU PORT MAP (
Oper1 => Oper1,
Oper2 => Oper2,
ALUOP => ALUOP,
Salida => Salida
);
-- Clock process definitions
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
Oper1 <= "00000000000000001110000000000111";
Oper2 <= "00000000000000000000000000000111";
ALUOP <= "000001";
-- insert stimulus here
wait;
end process;
END;
| mit |
Azbesciak/digitalTechnology | cw 5/simulation/qsim/work/@seq@side@eight@bit@adder/_primary.vhd | 1 | 494 | library verilog;
use verilog.vl_types.all;
entity SeqSideEightBitAdder is
port(
SW : in vl_logic_vector(15 downto 0);
KEY0 : in vl_logic;
SAVE : in vl_logic;
ADD : in vl_logic;
LEDR : out vl_logic_vector(8 downto 0);
HEX0 : out vl_logic_vector(6 downto 0);
HEX1 : out vl_logic_vector(6 downto 0)
);
end SeqSideEightBitAdder;
| mit |
willprice/build-a-comp-vhdl-modules | base/LeftShift.vhd | 1 | 441 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity LeftShift is
port (
c_in : in unsigned(3 downto 0) := "0001";
c_out : out unsigned(3 downto 0);
data_in : in unsigned(3 downto 0) := "0000";
data_out : out unsigned(3 downto 0)
);
end entity LeftShift;
architecture Behavioural of LeftShift is
begin
c_out <= c_in;
data_out <= data_in sll to_integer(c_in(1 downto 0));
end architecture Behavioural;
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega2/DataPath_tb.vhd | 3 | 1123 |
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
--USE ieee.numeric_std.ALL;
ENTITY DataPath_tb IS
END DataPath_tb;
ARCHITECTURE behavior OF DataPath_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT DataPath
PORT(
clk : IN std_logic;
rst : IN std_logic;
Data_Out : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal rst : std_logic := '0';
--Outputs
signal Data_Out : std_logic_vector(31 downto 0);
-- Clock period definitions
constant clk_period : time := 20 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: DataPath PORT MAP (
clk => clk,
rst => rst,
Data_Out => Data_Out
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
rst <= '1';
wait for clk_period;
rst <= '0';
-- insert stimulus here
wait;
end process;
END;
| mit |
Kolchuzhin/LMGT_MEMS_component_library | uniaxial_accelerometer/testbench_02.vhd | 1 | 11812 | --*****************************************************************************
--*****************************************************************************
-- Model: testbench for a uniaxial MEMS accelerometer accelZa_02.vhd in hAMSter
--
--
-- Author: <[email protected]>
-- Date: 30.09.2021
-- Library dependencies:
-- accelZa_02.vhd - VHDL-AMS generated code from ANSYS for hAMSter
--
-- https://github.com/Kolchuzhin/LMGT_MEMS_component_library/tree/master/uniaxial_accelerometer
-------------------------------------------------------------------------------
-- parameters, uMKSV units
--
-- loading cases
-- 0. static mechanical test: az_input
-- 10. static mechanical test, constant modal forces
-- 11. mechanical test: ramp/sweep
-- 12. mechanical test: sin/chirp
-- 13. mechanical test: puls
-- 20. static electrical test: dc
-- 21. electrical test: ramp/sweep, pull-in
-- 22. electrical test: chirp
-- 23. electrical test: puls
--
--
--
-- Damping: modal quality factors qm_i in accelZa_02.vhd
--
-------------------------------------------------------------------------------
-- Euler solver: time=5m; step=200n *** 2021-07-27
-------------------------------------------------------------------------------
-- ID: testbench_02.vhd
-- ver. 0.22 02.08.2021 8 master nodes, az_input
-- ver. 0.30 29.09.2021 GitHuB realize
-- ver. 0.31 30.09.2021 more loading cases
--*****************************************************************************
--*****************************************************************************
use work.electromagnetic_system.all;
use work.all;
library ieee;
use ieee.math_real.all;
entity testbench is
end;
architecture behav of testbench is
terminal struc1_ext,struc2_ext: translational; -- modal dof
terminal lagrange1_ext,lagrange2_ext,lagrange3_ext,lagrange4_ext,lagrange5_ext,lagrange6_ext,lagrange7_ext,lagrange8_ext:translational; --
terminal master1_ext,master2_ext,master3_ext,master4_ext,master5_ext,master6_ext,master7_ext,master8_ext:translational; --
terminal elec1_ext,elec2_ext,elec3_ext: electrical; --
-- Modal displacement
quantity q_ext1 across fm_ext1 through struc1_ext; -- modal amplitude 1 (mode 1)
quantity q_ext2 across fm_ext2 through struc2_ext; -- modal amplitude 2 (mode 5)
-- Lagrangian multipler
quantity p_ext1 across r_ext1 through lagrange1_ext;
quantity p_ext2 across r_ext2 through lagrange2_ext;
quantity p_ext3 across r_ext3 through lagrange3_ext;
quantity p_ext4 across r_ext4 through lagrange4_ext;
quantity p_ext5 across r_ext5 through lagrange5_ext;
quantity p_ext6 across r_ext6 through lagrange6_ext;
quantity p_ext7 across r_ext7 through lagrange7_ext;
quantity p_ext8 across r_ext8 through lagrange8_ext;
-- Nodal displacement
quantity u_ext1 across f_ext1 through master1_ext; -- nodal amplitude 1
quantity u_ext2 across f_ext2 through master2_ext; -- nodal amplitude 2
quantity u_ext3 across f_ext3 through master3_ext; -- nodal amplitude 3
quantity u_ext4 across f_ext4 through master4_ext; -- nodal amplitude 4
quantity u_ext5 across f_ext5 through master5_ext; -- nodal amplitude 5
quantity u_ext6 across f_ext6 through master6_ext; -- nodal amplitude 6
quantity u_ext7 across f_ext7 through master7_ext; -- nodal amplitude 7
quantity u_ext8 across f_ext8 through master8_ext; -- nodal amplitude 8
-- Electrical ports
quantity v_ext1 across i_ext1 through elec1_ext; -- conductor 1
quantity v_ext2 across i_ext2 through elec2_ext; -- conductor 2
quantity v_ext3 across i_ext3 through elec3_ext; -- conductor 3
quantity az_input: real;
constant digital_delay:time:=200.0 ns; -- digital time step size for matrix update == analog time step
-- constant az_input:real:=1.0*10.0;
constant t_end:real:=5.0E-03;
constant dt:real:=2.0E-07; -- time step
constant ac_value:real:= 1.0;
constant dc_value:real:= 1.1; -- V23_pullin=1.085V (ANSYS) / gap=1.8
-- puls
constant t1:real:= 0.3E-03;
constant t2:real:= 1.0E-03; -- 5.0E-06
-- chirp
constant fm_1:real:= 1205.3; -- mode1 frequency
constant fm_2:real:= 15539.0; -- mode5 frequency
constant f_begin:real:= 1205.3*0.1; -- begin of frequency sweep
constant f_end:real:= 1205.3*7.0; -- end of frequency sweep
constant fm1_test:real:= 0.2716; -- f=k*u => u=f/k
constant fm2_test:real:= 76.516;
-- loading cases
-- constant key_load:integer:= 0; -- static mechanical test: az_input
-- constant key_load:integer:=10; -- static mechanical test: constant modal forces
-- constant key_load:integer:=11; -- mechanical test: ramp/sweep
-- constant key_load:integer:=12; -- mechanical test: sin/chirp
-- constant key_load:integer:=13; -- mechanical test: puls
-- constant key_load:integer:=20; -- static electrical test: dc
constant key_load:integer:=21; -- electrical test: ramp/sweep, pull-in
-- constant key_load:integer:=22; -- electrical test: chirp
-- constant key_load:integer:=23; -- electrical test: puls
begin
-- Loads
if key_load = 0 use -- static mechanical test: az_input
az_input == 2.0;
--az_input == 10.0/t_end*now;
v_ext1==0.0;
v_ext2==0.0;
fm_ext1==0.0; -- external modal force 1
fm_ext2==0.0; -- external modal force 2
-- ANSYS: az=2.0*g => Uzmax=0.117083um (mn4) Uzmin=-0.162066um (mn2)
--
-- mn3 o---------------o mn4
-- | |
-- | |
-- | |
-- | movable |
-- | electrode |
-- | |
-- | |
-- | cond3 |
-- mn1 o---------------o mn2
--(mn5) (mn6)
end use;
if key_load = 10 use -- static mechanical test: modal forces
az_input == 0.0;
v_ext1==0.0;
v_ext2==0.0;
fm_ext1==fm1_test; -- external modal force 1: fm_1=km_1 => q_1=1
fm_ext2==fm2_test; -- external modal force 2: fm_2=km_2 => q_2=1
end use;
if key_load = 11 use -- ramp/sweep
az_input == 0.0;
v_ext1==0.0;
v_ext2==0.0;
fm_ext1==fm1_test/t_end/1.0*now;
fm_ext2==fm2_test/t_end/1.0*now;
end use;
if key_load = 12 use -- sin/chirp
az_input == 0.0;
v_ext1==0.0;
v_ext2==0.0;
fm_ext1==0.0 + fm1_test*sin(2.0*3.14*(f_begin + (f_end-f_begin)/t_end*now) * now);
fm_ext2==0.0;
end use;
if key_load = 13 use -- puls
az_input == 0.0;
v_ext1==0.0;
v_ext2==0.0;
fm_ext2==0.0;
if now <= t1-dt use
fm_ext1 == 0.0;
end use;
if now > t1-dt and now <= t1 use
fm_ext1 == 0.0;
end use;
if now > t1 and now <= t2 use
fm_ext1 == fm1_test*0.2;
end use;
if now > t2 and now <= t2+dt use
fm_ext1 == 0.0;
end use;
if now > t2+dt use
fm_ext1 == 0.0;
end use;
end use;
if key_load = 20 use -- static electrical
az_input == 0.0;
v_ext1== 0.5;
v_ext2== 0.0; -- ground electrode
fm_ext1==0.0;
fm_ext2==0.0;
end use;
if key_load = 21 use -- ramp/sweep
az_input == 0.0;
v_ext2 == dc_value/t_end*now;
i_ext1== 0.0;
fm_ext1==0.0;
fm_ext2==0.0;
end use;
if key_load = 22 use -- chirp
az_input == 0.0;
v_ext1 == dc_value*0.1 + ac_value*sin(2.0*3.14*(f_begin + (f_end-f_begin)/t_end*now) * now);
v_ext2== 0.0;
fm_ext1==0.0;
fm_ext2==0.0;
end use;
if key_load = 23 use -- puls
az_input == 0.0;
if now <= t1-dt use
v_ext1 == 0.0;
end use;
if now > t1-dt and now <= t1 use
v_ext1 == 0.0;
end use;
if now > t1 and now <= t2 use
v_ext1 == dc_value*0.1;
end use;
if now > t2 and now <= t2+dt use
v_ext1 == 0.0;
end use;
if now > t2+dt use
v_ext1 == 0.0;
end use;
v_ext2== 0.0;
fm_ext1==0.0;
fm_ext2==0.0;
end use;
-- BCs:
--i_ext3==0.0; -- floating movable plate
v_ext3==0.0; -- grounded movable plate
--fm_ext1==0.0; -- external modal force 1
--fm_ext2==0.0; -- external modal force 2
-- Lagrangian ports: p/r
r_ext1==0.0; -- must be zero
r_ext2==0.0; -- must be zero
r_ext3==0.0; -- must be zero
r_ext4==0.0; -- must be zero
r_ext5==0.0; -- must be zero
r_ext6==0.0; -- must be zero
r_ext7==0.0; -- must be zero
r_ext8==0.0; -- must be zero
-- nodal ports: u/f
f_ext1==0.0; -- external nodal force on master node 1
f_ext2==0.0; -- external nodal force on master node 2
f_ext3==0.0; -- external nodal force on master node 3
f_ext4==0.0; -- external nodal force on master node 4
f_ext5==0.0; -- external nodal force on master node 5
f_ext6==0.0; -- external nodal force on master node 6
f_ext7==0.0; -- external nodal force on master node 7
f_ext8==0.0; -- external nodal force on master node 8
-------------------------------------------------------------------------------
--
-- Modal ports
--
-- q1 q2
-- o o
-- | |
-- Lagrangian ports o------o---------o------o Nodal ports: 5 master nodes
-- | |
-- r_ext1=0 ->>- p1 o---o o---o u1 -<<- f_ext1=0
-- | element: accelZa_02 |
-- p2 o---o o---o u2 -<<- f_ext2=0
-- | |
-- p3 o---o o---o u3 -<<- f_ext3=0
-- | |
-- p4 o---o o---o u4 -<<- f_ext4=0
-- | |
-- p5 o---o o---o u5 -<<- f_ext5=0
-- | |
-- p6 o---o o---o u6 -<<- f_ext6=0
-- | |
-- p7 o---o o---o u7 -<<- f_ext7=0
-- | |
-- p8 o---o o---o u8 -<<- f_ext8=0
-- | |
-- o------o----o----o------o
-- | | | \
-- o | o \
-- v1_ext | v2_ext=0 o az_input
-- |
-- o v3_ext=0 (plate)
--
-- Electrical ports
--
-- ASCII-Schematic of the ROM component for uniaxial MEMS accelerometer: accelZa_02
-------------------------------------------------------------------------------
ROM_element:
entity accelZa_02(ROM)
generic map (digital_delay)
port map (az_input,
struc1_ext,struc2_ext,
lagrange1_ext,lagrange2_ext,lagrange3_ext,lagrange4_ext,lagrange5_ext,lagrange6_ext,lagrange7_ext,lagrange8_ext,
master1_ext,master2_ext,master3_ext,master4_ext,master5_ext,master6_ext,master7_ext,master8_ext,
elec1_ext,elec2_ext,elec3_ext);
end;
-------------------------------------------------------------------------------
| mit |
h3ct0rjs/ComputerArchitecture | Processor/Entrega3/MUX_wm_tb.vhd | 1 | 2232 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:31:23 10/22/2017
-- Design Name:
-- Module Name: C:/Users/DELL/Desktop/Processor3/Processor/MUX_wm_tb.vhd
-- Project Name: Processor
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: MUX_wm
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY MUX_wm_tb IS
END MUX_wm_tb;
ARCHITECTURE behavior OF MUX_wm_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT MUX_wm
PORT(
RDin : IN std_logic_vector(5 downto 0);
o15 : IN std_logic_vector(5 downto 0);
RFDest : IN std_logic;
nRD : OUT std_logic_vector(5 downto 0)
);
END COMPONENT;
--Inputs
signal RDin : std_logic_vector(5 downto 0) := (others => '0');
signal o15 : std_logic_vector(5 downto 0) := (others => '0');
signal RFDest : std_logic := '0';
--Outputs
signal nRD : std_logic_vector(5 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: MUX_wm PORT MAP (
RDin => RDin,
o15 => o15,
RFDest => RFDest,
nRD => nRD
);
-- Stimulus process
stim_proc: process
begin
RFDest <= '1';
RDin <= "010000";
o15 <= "010001";
wait for 20 ns;
RFDest <= '0';
RDin <= "010010";
o15 <= "010011";
wait;
end process;
END;
| mit |
willprice/build-a-comp-vhdl-modules | base/tests/LeftShiftTests.vhd | 1 | 1381 | library ieee, base;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use base.base.all;
entity LeftShiftTests is
end entity LeftShiftTests;
architecture TB of LeftShiftTests is
component LeftShift
port(c_in : in unsigned(3 downto 0) := "0001";
c_out : out unsigned(3 downto 0);
data_in : in unsigned(3 downto 0);
data_out : out unsigned(3 downto 0));
end component LeftShift;
for uut : LeftShift use entity work.LeftShift
port map(c_in => c_in,
c_out => c_out,
data_in => data_in,
data_out => data_out);
signal c_in : unsigned(3 downto 0) := "0000";
signal c_out : unsigned(3 downto 0) := "0000";
signal data_in : unsigned(3 downto 0) := "0000";
signal data_out : unsigned(3 downto 0) := "0000";
begin
-- Unit under test
uut : LeftShift
port map(c_in => c_in,
c_out => c_out,
data_in => data_in,
data_out => data_out);
test : process
begin
c_in <= "0011";
wait for 10 ns;
assert_equal(c_out, "0011");
c_in <= "0001";
data_in <= "0001";
wait for 10 ns;
assert_equal(data_out, "0010");
c_in <= "0001";
data_in <= "0010";
wait for 10 ns;
assert_equal(data_out, "0100");
c_in <= "0100";
data_in <= "0010";
wait for 10 ns;
assert_equal(data_out, "0010");
report "Test complete";
wait;
end process;
end architecture TB;
| mit |
fquinto/Wireless_sensor_network | Avnet_UPC/hdl/mdm_0_wrapper.vhd | 1 | 17527 | -------------------------------------------------------------------------------
-- mdm_0_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library mdm_v2_00_a;
use mdm_v2_00_a.all;
entity mdm_0_wrapper is
port (
Interrupt : out std_logic;
Debug_SYS_Rst : out std_logic;
Ext_BRK : out std_logic;
Ext_NM_BRK : out std_logic;
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(31 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(31 downto 0);
S_AXI_WSTRB : in std_logic_vector(3 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(31 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(31 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to 0);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to 3);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to 31);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to 31);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to 1);
Sl_MWrErr : out std_logic_vector(0 to 1);
Sl_MRdErr : out std_logic_vector(0 to 1);
Sl_MIRQ : out std_logic_vector(0 to 1);
Dbg_Clk_0 : out std_logic;
Dbg_TDI_0 : out std_logic;
Dbg_TDO_0 : in std_logic;
Dbg_Reg_En_0 : out std_logic_vector(0 to 7);
Dbg_Capture_0 : out std_logic;
Dbg_Shift_0 : out std_logic;
Dbg_Update_0 : out std_logic;
Dbg_Rst_0 : out std_logic;
Dbg_Clk_1 : out std_logic;
Dbg_TDI_1 : out std_logic;
Dbg_TDO_1 : in std_logic;
Dbg_Reg_En_1 : out std_logic_vector(0 to 7);
Dbg_Capture_1 : out std_logic;
Dbg_Shift_1 : out std_logic;
Dbg_Update_1 : out std_logic;
Dbg_Rst_1 : out std_logic;
Dbg_Clk_2 : out std_logic;
Dbg_TDI_2 : out std_logic;
Dbg_TDO_2 : in std_logic;
Dbg_Reg_En_2 : out std_logic_vector(0 to 7);
Dbg_Capture_2 : out std_logic;
Dbg_Shift_2 : out std_logic;
Dbg_Update_2 : out std_logic;
Dbg_Rst_2 : out std_logic;
Dbg_Clk_3 : out std_logic;
Dbg_TDI_3 : out std_logic;
Dbg_TDO_3 : in std_logic;
Dbg_Reg_En_3 : out std_logic_vector(0 to 7);
Dbg_Capture_3 : out std_logic;
Dbg_Shift_3 : out std_logic;
Dbg_Update_3 : out std_logic;
Dbg_Rst_3 : out std_logic;
Dbg_Clk_4 : out std_logic;
Dbg_TDI_4 : out std_logic;
Dbg_TDO_4 : in std_logic;
Dbg_Reg_En_4 : out std_logic_vector(0 to 7);
Dbg_Capture_4 : out std_logic;
Dbg_Shift_4 : out std_logic;
Dbg_Update_4 : out std_logic;
Dbg_Rst_4 : out std_logic;
Dbg_Clk_5 : out std_logic;
Dbg_TDI_5 : out std_logic;
Dbg_TDO_5 : in std_logic;
Dbg_Reg_En_5 : out std_logic_vector(0 to 7);
Dbg_Capture_5 : out std_logic;
Dbg_Shift_5 : out std_logic;
Dbg_Update_5 : out std_logic;
Dbg_Rst_5 : out std_logic;
Dbg_Clk_6 : out std_logic;
Dbg_TDI_6 : out std_logic;
Dbg_TDO_6 : in std_logic;
Dbg_Reg_En_6 : out std_logic_vector(0 to 7);
Dbg_Capture_6 : out std_logic;
Dbg_Shift_6 : out std_logic;
Dbg_Update_6 : out std_logic;
Dbg_Rst_6 : out std_logic;
Dbg_Clk_7 : out std_logic;
Dbg_TDI_7 : out std_logic;
Dbg_TDO_7 : in std_logic;
Dbg_Reg_En_7 : out std_logic_vector(0 to 7);
Dbg_Capture_7 : out std_logic;
Dbg_Shift_7 : out std_logic;
Dbg_Update_7 : out std_logic;
Dbg_Rst_7 : out std_logic;
bscan_tdi : out std_logic;
bscan_reset : out std_logic;
bscan_shift : out std_logic;
bscan_update : out std_logic;
bscan_capture : out std_logic;
bscan_sel1 : out std_logic;
bscan_drck1 : out std_logic;
bscan_tdo1 : in std_logic;
Ext_JTAG_DRCK : out std_logic;
Ext_JTAG_RESET : out std_logic;
Ext_JTAG_SEL : out std_logic;
Ext_JTAG_CAPTURE : out std_logic;
Ext_JTAG_SHIFT : out std_logic;
Ext_JTAG_UPDATE : out std_logic;
Ext_JTAG_TDI : out std_logic;
Ext_JTAG_TDO : in std_logic
);
attribute x_core_info : STRING;
attribute x_core_info of mdm_0_wrapper : entity is "mdm_v2_00_a";
end mdm_0_wrapper;
architecture STRUCTURE of mdm_0_wrapper is
component mdm is
generic (
C_FAMILY : STRING;
C_JTAG_CHAIN : INTEGER;
C_INTERCONNECT : INTEGER;
C_BASEADDR : STD_LOGIC_VECTOR;
C_HIGHADDR : STD_LOGIC_VECTOR;
C_SPLB_AWIDTH : INTEGER;
C_SPLB_DWIDTH : INTEGER;
C_SPLB_P2P : INTEGER;
C_SPLB_MID_WIDTH : INTEGER;
C_SPLB_NUM_MASTERS : INTEGER;
C_SPLB_NATIVE_DWIDTH : INTEGER;
C_SPLB_SUPPORT_BURSTS : INTEGER;
C_MB_DBG_PORTS : INTEGER;
C_USE_UART : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER
);
port (
Interrupt : out std_logic;
Debug_SYS_Rst : out std_logic;
Ext_BRK : out std_logic;
Ext_NM_BRK : out std_logic;
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8-1) downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to (C_SPLB_MID_WIDTH-1));
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to ((C_SPLB_DWIDTH/8)-1));
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to (C_SPLB_DWIDTH-1));
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_DWIDTH-1));
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1));
Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1));
Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1));
Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1));
Dbg_Clk_0 : out std_logic;
Dbg_TDI_0 : out std_logic;
Dbg_TDO_0 : in std_logic;
Dbg_Reg_En_0 : out std_logic_vector(0 to 7);
Dbg_Capture_0 : out std_logic;
Dbg_Shift_0 : out std_logic;
Dbg_Update_0 : out std_logic;
Dbg_Rst_0 : out std_logic;
Dbg_Clk_1 : out std_logic;
Dbg_TDI_1 : out std_logic;
Dbg_TDO_1 : in std_logic;
Dbg_Reg_En_1 : out std_logic_vector(0 to 7);
Dbg_Capture_1 : out std_logic;
Dbg_Shift_1 : out std_logic;
Dbg_Update_1 : out std_logic;
Dbg_Rst_1 : out std_logic;
Dbg_Clk_2 : out std_logic;
Dbg_TDI_2 : out std_logic;
Dbg_TDO_2 : in std_logic;
Dbg_Reg_En_2 : out std_logic_vector(0 to 7);
Dbg_Capture_2 : out std_logic;
Dbg_Shift_2 : out std_logic;
Dbg_Update_2 : out std_logic;
Dbg_Rst_2 : out std_logic;
Dbg_Clk_3 : out std_logic;
Dbg_TDI_3 : out std_logic;
Dbg_TDO_3 : in std_logic;
Dbg_Reg_En_3 : out std_logic_vector(0 to 7);
Dbg_Capture_3 : out std_logic;
Dbg_Shift_3 : out std_logic;
Dbg_Update_3 : out std_logic;
Dbg_Rst_3 : out std_logic;
Dbg_Clk_4 : out std_logic;
Dbg_TDI_4 : out std_logic;
Dbg_TDO_4 : in std_logic;
Dbg_Reg_En_4 : out std_logic_vector(0 to 7);
Dbg_Capture_4 : out std_logic;
Dbg_Shift_4 : out std_logic;
Dbg_Update_4 : out std_logic;
Dbg_Rst_4 : out std_logic;
Dbg_Clk_5 : out std_logic;
Dbg_TDI_5 : out std_logic;
Dbg_TDO_5 : in std_logic;
Dbg_Reg_En_5 : out std_logic_vector(0 to 7);
Dbg_Capture_5 : out std_logic;
Dbg_Shift_5 : out std_logic;
Dbg_Update_5 : out std_logic;
Dbg_Rst_5 : out std_logic;
Dbg_Clk_6 : out std_logic;
Dbg_TDI_6 : out std_logic;
Dbg_TDO_6 : in std_logic;
Dbg_Reg_En_6 : out std_logic_vector(0 to 7);
Dbg_Capture_6 : out std_logic;
Dbg_Shift_6 : out std_logic;
Dbg_Update_6 : out std_logic;
Dbg_Rst_6 : out std_logic;
Dbg_Clk_7 : out std_logic;
Dbg_TDI_7 : out std_logic;
Dbg_TDO_7 : in std_logic;
Dbg_Reg_En_7 : out std_logic_vector(0 to 7);
Dbg_Capture_7 : out std_logic;
Dbg_Shift_7 : out std_logic;
Dbg_Update_7 : out std_logic;
Dbg_Rst_7 : out std_logic;
bscan_tdi : out std_logic;
bscan_reset : out std_logic;
bscan_shift : out std_logic;
bscan_update : out std_logic;
bscan_capture : out std_logic;
bscan_sel1 : out std_logic;
bscan_drck1 : out std_logic;
bscan_tdo1 : in std_logic;
Ext_JTAG_DRCK : out std_logic;
Ext_JTAG_RESET : out std_logic;
Ext_JTAG_SEL : out std_logic;
Ext_JTAG_CAPTURE : out std_logic;
Ext_JTAG_SHIFT : out std_logic;
Ext_JTAG_UPDATE : out std_logic;
Ext_JTAG_TDI : out std_logic;
Ext_JTAG_TDO : in std_logic
);
end component;
begin
mdm_0 : mdm
generic map (
C_FAMILY => "spartan3a",
C_JTAG_CHAIN => 2,
C_INTERCONNECT => 1,
C_BASEADDR => X"84400000",
C_HIGHADDR => X"8440ffff",
C_SPLB_AWIDTH => 32,
C_SPLB_DWIDTH => 32,
C_SPLB_P2P => 0,
C_SPLB_MID_WIDTH => 1,
C_SPLB_NUM_MASTERS => 2,
C_SPLB_NATIVE_DWIDTH => 32,
C_SPLB_SUPPORT_BURSTS => 0,
C_MB_DBG_PORTS => 1,
C_USE_UART => 1,
C_S_AXI_ADDR_WIDTH => 32,
C_S_AXI_DATA_WIDTH => 32
)
port map (
Interrupt => Interrupt,
Debug_SYS_Rst => Debug_SYS_Rst,
Ext_BRK => Ext_BRK,
Ext_NM_BRK => Ext_NM_BRK,
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_RREADY => S_AXI_RREADY,
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Dbg_Clk_0 => Dbg_Clk_0,
Dbg_TDI_0 => Dbg_TDI_0,
Dbg_TDO_0 => Dbg_TDO_0,
Dbg_Reg_En_0 => Dbg_Reg_En_0,
Dbg_Capture_0 => Dbg_Capture_0,
Dbg_Shift_0 => Dbg_Shift_0,
Dbg_Update_0 => Dbg_Update_0,
Dbg_Rst_0 => Dbg_Rst_0,
Dbg_Clk_1 => Dbg_Clk_1,
Dbg_TDI_1 => Dbg_TDI_1,
Dbg_TDO_1 => Dbg_TDO_1,
Dbg_Reg_En_1 => Dbg_Reg_En_1,
Dbg_Capture_1 => Dbg_Capture_1,
Dbg_Shift_1 => Dbg_Shift_1,
Dbg_Update_1 => Dbg_Update_1,
Dbg_Rst_1 => Dbg_Rst_1,
Dbg_Clk_2 => Dbg_Clk_2,
Dbg_TDI_2 => Dbg_TDI_2,
Dbg_TDO_2 => Dbg_TDO_2,
Dbg_Reg_En_2 => Dbg_Reg_En_2,
Dbg_Capture_2 => Dbg_Capture_2,
Dbg_Shift_2 => Dbg_Shift_2,
Dbg_Update_2 => Dbg_Update_2,
Dbg_Rst_2 => Dbg_Rst_2,
Dbg_Clk_3 => Dbg_Clk_3,
Dbg_TDI_3 => Dbg_TDI_3,
Dbg_TDO_3 => Dbg_TDO_3,
Dbg_Reg_En_3 => Dbg_Reg_En_3,
Dbg_Capture_3 => Dbg_Capture_3,
Dbg_Shift_3 => Dbg_Shift_3,
Dbg_Update_3 => Dbg_Update_3,
Dbg_Rst_3 => Dbg_Rst_3,
Dbg_Clk_4 => Dbg_Clk_4,
Dbg_TDI_4 => Dbg_TDI_4,
Dbg_TDO_4 => Dbg_TDO_4,
Dbg_Reg_En_4 => Dbg_Reg_En_4,
Dbg_Capture_4 => Dbg_Capture_4,
Dbg_Shift_4 => Dbg_Shift_4,
Dbg_Update_4 => Dbg_Update_4,
Dbg_Rst_4 => Dbg_Rst_4,
Dbg_Clk_5 => Dbg_Clk_5,
Dbg_TDI_5 => Dbg_TDI_5,
Dbg_TDO_5 => Dbg_TDO_5,
Dbg_Reg_En_5 => Dbg_Reg_En_5,
Dbg_Capture_5 => Dbg_Capture_5,
Dbg_Shift_5 => Dbg_Shift_5,
Dbg_Update_5 => Dbg_Update_5,
Dbg_Rst_5 => Dbg_Rst_5,
Dbg_Clk_6 => Dbg_Clk_6,
Dbg_TDI_6 => Dbg_TDI_6,
Dbg_TDO_6 => Dbg_TDO_6,
Dbg_Reg_En_6 => Dbg_Reg_En_6,
Dbg_Capture_6 => Dbg_Capture_6,
Dbg_Shift_6 => Dbg_Shift_6,
Dbg_Update_6 => Dbg_Update_6,
Dbg_Rst_6 => Dbg_Rst_6,
Dbg_Clk_7 => Dbg_Clk_7,
Dbg_TDI_7 => Dbg_TDI_7,
Dbg_TDO_7 => Dbg_TDO_7,
Dbg_Reg_En_7 => Dbg_Reg_En_7,
Dbg_Capture_7 => Dbg_Capture_7,
Dbg_Shift_7 => Dbg_Shift_7,
Dbg_Update_7 => Dbg_Update_7,
Dbg_Rst_7 => Dbg_Rst_7,
bscan_tdi => bscan_tdi,
bscan_reset => bscan_reset,
bscan_shift => bscan_shift,
bscan_update => bscan_update,
bscan_capture => bscan_capture,
bscan_sel1 => bscan_sel1,
bscan_drck1 => bscan_drck1,
bscan_tdo1 => bscan_tdo1,
Ext_JTAG_DRCK => Ext_JTAG_DRCK,
Ext_JTAG_RESET => Ext_JTAG_RESET,
Ext_JTAG_SEL => Ext_JTAG_SEL,
Ext_JTAG_CAPTURE => Ext_JTAG_CAPTURE,
Ext_JTAG_SHIFT => Ext_JTAG_SHIFT,
Ext_JTAG_UPDATE => Ext_JTAG_UPDATE,
Ext_JTAG_TDI => Ext_JTAG_TDI,
Ext_JTAG_TDO => Ext_JTAG_TDO
);
end architecture STRUCTURE;
| mit |
GSimas/EEL5105 | AULA3/fa.vhd | 1 | 328 | library IEEE;
use IEEE.Std_Logic_1164.all;
entity FA is
port (A : in std_logic;
B : in std_logic;
C : in std_logic;
COUT : out std_logic;
S : out std_logic
);
end FA;
architecture fa_estr of FA is
begin
COUT <= (A and B) or (A and C) or (C and B);
S <= ((not C) and (A xor B)) or (C and (A xnor B));
end fa_estr; | mit |
GSimas/EEL5105 | AULA9/mux4x1.vhd | 2 | 347 | library IEEE;
use IEEE.Std_Logic_1164.all;
entity mux4x1 is
port (w, x, y, z: in std_logic_vector(7 downto 0);
s: in std_logic_vector(1 downto 0);
m: out std_logic_vector(7 downto 0)
);
end mux4x1;
architecture circuito of mux4x1 is
begin
m <= w when s = "00" else
x when s = "01" else
y when s = "10" else
z;
end circuito;
| mit |
GSimas/EEL5105 | Eletr-Digital/Relatório4/Controle de Motor de Passo/divisor100.vhd | 1 | 4587 | -- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_counter
-- ============================================================
-- File Name: divisor100.vhd
-- Megafunction Name(s):
-- lpm_counter
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY divisor100 IS
PORT
(
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END divisor100;
ARCHITECTURE SYN OF divisor100 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (29 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_modulus : NATURAL;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END COMPONENT;
BEGIN
cout <= sub_wire0;
q <= sub_wire1(29 DOWNTO 0);
lpm_counter_component : lpm_counter
GENERIC MAP (
lpm_direction => "UP",
lpm_modulus => 250000,
lpm_port_updown => "PORT_UNUSED",
lpm_type => "LPM_COUNTER",
lpm_width => 30
)
PORT MAP (
clock => clock,
cout => sub_wire0,
q => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "1"
-- Retrieval info: PRIVATE: Direction NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "1"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "250000"
-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "30"
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
-- Retrieval info: CONSTANT: LPM_MODULUS NUMERIC "250000"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "30"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: cout 0 0 0 0 OUTPUT NODEFVAL cout
-- Retrieval info: USED_PORT: q 0 0 30 0 OUTPUT NODEFVAL q[29..0]
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 30 0 @q 0 0 30 0
-- Retrieval info: CONNECT: cout 0 0 0 0 @cout 0 0 0 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor100.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor100.inc TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor100.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor100.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor100_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor100_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor100_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: lpm
| mit |
GSimas/EEL5105 | PROJETO-EEL5105/Projeto/Mux2x1.vhd | 3 | 330 | library IEEE;
use IEEE.Std_Logic_1164.all;
--Multiplexador 2x1
entity mux2x1 is
port (IN0,IN1: in std_logic_vector(29 downto 0);
REG: out std_logic_vector(29 downto 0);
SW: in std_logic
);
end mux2x1;
--Definicao Arquitetura
architecture circuito of mux2x1 is
begin
REG <= IN0 when SW = '0' else
IN1;
end circuito; | mit |
GSimas/EEL5105 | Eletr-Digital/Relatório4/Controle de Motor de Passo/divisor5_inst.vhd | 1 | 98 | divisor5_inst : divisor5 PORT MAP (
clock => clock_sig,
cout => cout_sig,
q => q_sig
);
| mit |
GSimas/EEL5105 | PROJETO-EEL5105/Projeto/compare_5.vhd | 1 | 410 | library IEEE;
use IEEE.Std_Logic_1164.all;
--Comparador de 5 bits
entity compare_5 is
port (IN1: in std_logic_vector(4 downto 0);
IN2: in std_logic_vector(4 downto 0);
OUT1: out std_logic
);
end compare_5;
--Definicao de arquitetura
architecture bhv of compare_5 is
begin
process(IN1, IN2)
begin
if IN1 = IN2 then
OUT1 <= '1';
else
OUT1 <= '0';
end if;
end process;
end bhv; | mit |
GSimas/EEL5105 | AULA9/reg4.vhd | 2 | 406 | library ieee;
use ieee.std_logic_1164.all;
entity D_4FF is port (
CLK, RST: in std_logic;
EN: in std_logic;
D: in std_logic_vector(3 downto 0);
Q: out std_logic_vector(3 downto 0)
);
end D_4FF;
architecture behv of D_4FF is
begin
process(CLK, D, RST)
begin
if RST = '0' then
Q <= "0000";
elsif (CLK'event and CLK = '1') then
if EN = '1' then
Q <= D;
end if;
end if;
end process;
end behv; | mit |
GSimas/EEL5105 | Eletr-Digital/Relatório4/Controle de Motor de Passo/divisor_inst.vhd | 2 | 96 | divisor_inst : divisor PORT MAP (
clock => clock_sig,
cout => cout_sig,
q => q_sig
);
| mit |
GSimas/EEL5105 | AULA8/C1.vhd | 2 | 285 | library IEEE;
use IEEE.Std_Logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity C1 is
port (A: in std_logic_vector(7 downto 0);
B: in std_logic_vector(7 downto 0);
F: out std_logic_vector(7 downto 0)
);
end C1;
architecture circuito of C1 is
begin
F <= A + B;
end circuito; | mit |
abyrne55/my-little-processor | instantiate.vhd | 1 | 3029 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY instantiate IS
PORT (
SW : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
KEY : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
LEDR : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
LEDG : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, HEX6, HEX7 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)
);
END;
ARCHITECTURE behavioural OF instantiate IS
SIGNAL done : std_logic;
SIGNAL not_key : STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL read_addr : STD_LOGIC_VECTOR(15 DOWNTO 0);
SIGNAL func : STD_LOGIC_VECTOR(15 DOWNTO 0);
SIGNAL flag : std_logic;
SIGNAL reg0_out : std_logic_vector(15 DOWNTO 0);
SIGNAL reg1_out : std_logic_vector(15 DOWNTO 0);
SIGNAL c_state : INTEGER;
COMPONENT binaryto4hex IS
PORT (
binary : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
output0, output1, output2, output3 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)
);
END COMPONENT;
COMPONENT ram_16bit IS
PORT (
clock : IN STD_LOGIC;
done : IN STD_LOGIC;
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
write_addr : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
read_addr : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
write_enable : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
);
END COMPONENT;
COMPONENT my_little_processor IS
PORT (
clock, reset : IN STD_LOGIC;
data_in : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
flag_out, done_out : OUT STD_LOGIC;
read_addr, reg0_out, reg1_out : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
c_state : OUT INTEGER
);
END COMPONENT;
BEGIN
ram : ram_16bit
PORT MAP(
clock => not_key(2),
done => done,
data => "0000000000000000",
write_addr => "0000000000000000",
read_addr => read_addr,
write_enable => '0',
q => func
);
processor : my_little_processor
PORT MAP(
clock => not_key(2),
reset => not_key(1),
data_in => func,
flag_out => flag,
done_out => done,
read_addr => read_addr,
reg0_out => reg0_out,
reg1_out => reg1_out,
c_state => c_state
);
bintohex0 : binaryto4hex
PORT MAP(
binary => reg1_out,
output0 => HEX0,
output1 => HEX1,
output2 => HEX2,
output3 => HEX3
);
bintohex1 : binaryto4hex
PORT MAP(
binary => reg0_out,
output0 => HEX4,
output1 => HEX5,
output2 => HEX6,
output3 => HEX7
);
-- Negate key state (not_key[0] = 1 when KEY0 is pressed)
not_key <= NOT KEY;
-- Assign LEDG's above KEYs to current state
LEDG(7 DOWNTO 0) <= std_logic_vector(to_unsigned(c_state, 8));
LEDG(8) <= not_key(2);
--Assign current instruction to 16 LEDs
LEDR(15 DOWNTO 0) <= func;
-- Assign the flag
LEDR(17) <= flag;
LEDR(16) <= flag;
END behavioural; | mit |
GSimas/EEL5105 | AULA6/decod7seg.vhd | 6 | 795 | library IEEE;
use IEEE.Std_Logic_1164.all;
entity decod7seg is
port (C: in std_logic_vector(3 downto 0);
F: out std_logic_vector(6 downto 0)
);
end decod7seg;
architecture decod of decod7seg is
begin
F <= "1000000" when C = "0000" else -- 0
"1111001" when C = "0001" else -- 1
"0100100" when C = "0010" else -- 2
"0110000" when C = "0011" else -- 3
"0011001" when C = "0100" else -- 4
"0010010" when C = "0101" else -- 5
"0000010" when C = "0110" else -- 6
"1111000" when C = "0111" else -- 7
"0000000" when C = "1000" else -- 8
"0001000" when C = "1001" else -- A
"0000011" when C = "1010" else -- b
"1000110" when C = "1011" else -- C
"0100001" when C = "1100" else -- d
"0000110" when C = "1101" else -- E
"0001110";
end decod; | mit |
GSimas/EEL5105 | AULA9/decod7seg.vhd | 6 | 795 | library IEEE;
use IEEE.Std_Logic_1164.all;
entity decod7seg is
port (C: in std_logic_vector(3 downto 0);
F: out std_logic_vector(6 downto 0)
);
end decod7seg;
architecture decod of decod7seg is
begin
F <= "1000000" when C = "0000" else -- 0
"1111001" when C = "0001" else -- 1
"0100100" when C = "0010" else -- 2
"0110000" when C = "0011" else -- 3
"0011001" when C = "0100" else -- 4
"0010010" when C = "0101" else -- 5
"0000010" when C = "0110" else -- 6
"1111000" when C = "0111" else -- 7
"0000000" when C = "1000" else -- 8
"0001000" when C = "1001" else -- A
"0000011" when C = "1010" else -- b
"1000110" when C = "1011" else -- C
"0100001" when C = "1100" else -- d
"0000110" when C = "1101" else -- E
"0001110";
end decod; | mit |
abyrne55/my-little-processor | PC.vhd | 1 | 636 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY PC IS
PORT (
input : IN std_logic_vector(15 DOWNTO 0);
en_in, clock, done, reset : IN std_logic;
read_addr : OUT INTEGER
);
END PC;
ARCHITECTURE behavioural OF PC IS
SIGNAL addr_temp : INTEGER := 0;
BEGIN
PROCESS (clock, done, reset, en_in, input)
BEGIN
IF reset = '1' THEN
addr_temp <= 0;
ELSIF en_in = '1' THEN
addr_temp <= to_integer(unsigned(input));
ELSIF rising_edge(clock) AND done = '1' THEN
addr_temp <= addr_temp + 1;
END IF;
END PROCESS;
read_addr <= addr_temp;
END behavioural; | mit |
GSimas/EEL5105 | AULA5/C3.vhd | 3 | 254 | library IEEE;
use IEEE.Std_Logic_1164.all;
entity C3 is
port (A: in std_logic_vector(3 downto 0);
B: in std_logic_vector(3 downto 0);
F: out std_logic_vector(3 downto 0)
);
end C3;
architecture circuito of C3 is
begin
F <= A xor B;
end circuito; | mit |
GSimas/EEL5105 | AULA7b/Lab7b-estru.vhd | 1 | 1011 | library ieee;
use ieee.std_logic_1164.all;
entity LAB7b is
port (
KEY : in std_logic_vector(3 downto 0);
HEX0: out std_logic_vector(6 downto 0);
LEDR : out std_logic_vector(9 downto 0)
);
end LAB7b;
architecture LAB7b_estru of LAB7b is
signal QQ, q: std_logic_vector(3 downto 0);
signal F: std_logic_vector (3 downto 0);
component D_4FF
port (
CLK, RST: in std_logic;
D: in std_logic_vector(3 downto 0);
Q: out std_logic_vector(3 downto 0)
);
end component;
component decod7seg
port (
C: in std_logic_vector(3 downto 0);
F: out std_logic_vector(6 downto 0)
);
end component;
begin
-- Inicio da FSM --
QQ(3) <= '0';
QQ(2) <= (not(q(3)) and not(q(2)) and q(1) and q(0));
QQ(1) <= (not(q(3)) and not(q(2))) and (q(1) xor q(0));
QQ(0) <= (not(q(3)) and q(2) and not(q(1))) or (not(q(3)) and not(q(2)) and q(1) and not(q(0)));
L0: D_4FF port map (KEY(1), KEY(0), QQ(3 downto 0), q(3 downto 0));
F <= q;
-- Fim da FSM –
LEDR <= "000000" & F;
L1: decod7seg port map (F(3 downto 0), HEX0);
end LAB7b_estru;
| mit |
GSimas/EEL5105 | PROJETO-EEL5105/Projeto/ButtonSync.vhd | 1 | 3383 | -- Button Press Synchronizer para keys que são ativas baixas (ou seja, quando pressionadas vao para nivel baixo)
library ieee;
use ieee.std_logic_1164.all;
entity ButtonSync is
port
(
-- Input ports
key0 : in std_logic;
key1 : in std_logic;
key2 : in std_logic;
key3 : in std_logic;
clk : in std_logic;
-- Output ports
btn0 : out std_logic;
btn1 : out std_logic;
btn2 : out std_logic;
btn3 : out std_logic
);
end ButtonSync;
-- Definicao de Arquitetura
architecture ButtonSyncImpl of ButtonSync is
type STATES is (EsperaApertar, SaidaAtiva, EsperaSoltar);
signal btn0state, btn1state, btn2state, btn3state : STATES := EsperaApertar;
signal btn0next, btn1next, btn2next, btn3next : STATES := EsperaApertar;
begin
process (clk)
begin
if clk'event and clk = '1' then -- Resposta na transicao positiva do clock
btn0state <= btn0next;
btn1state <= btn1next;
btn2state <= btn2next;
btn3state <= btn3next;
end if;
end process;
process (key0,btn0state) -- Processo para botao 0
begin
case btn0state is
when EsperaApertar => -- esperar apertar o botao
if key0 = '0' then btn0next <= SaidaAtiva; else btn0next <= EsperaApertar; end if;
btn0 <= '1';
when SaidaAtiva => -- saida ativa por 1 ciclo de clock
if key0 = '0' then btn0next <= EsperaSoltar; else btn0next <= EsperaApertar; end if;
btn0 <= '0';
when EsperaSoltar => -- enquanto esta apertando o botao
if key0 = '0' then btn0next <= EsperaSoltar; else btn0next <= EsperaApertar; end if;
btn0 <= '1';
end case;
end process;
process (key1,btn1state) -- Processo para botao 1
begin
case btn1state is
when EsperaApertar => -- espera apertar o botao
if key1 = '0' then btn1next <= SaidaAtiva; else btn1next <= EsperaApertar; end if;
btn1 <= '1';
when SaidaAtiva => -- saida ativa por 1 ciclo de clock
if key1 = '0' then btn1next <= EsperaSoltar; else btn1next <= EsperaApertar; end if;
btn1 <= '0';
when EsperaSoltar => -- enquanto esta apertando o botao
if key1 = '0' then btn1next <= EsperaSoltar; else btn1next <= EsperaApertar; end if;
btn1 <= '1';
end case;
end process;
process (key2,btn2state) -- Processo para botao 2
begin
case btn2state is
when EsperaApertar => -- espera apertar o botao
if key2 = '0' then btn2next <= SaidaAtiva; else btn2next <= EsperaApertar; end if;
btn2 <= '1';
when SaidaAtiva => -- saida ativa para 1 ciclo de clock
if key2 = '0' then btn2next <= EsperaSoltar; else btn2next <= EsperaApertar; end if;
btn2 <= '0';
when EsperaSoltar => -- enquanto esta apertando o botao
if key2 = '0' then btn2next <= EsperaSoltar; else btn2next <= EsperaApertar; end if;
btn2 <= '1';
end case;
end process;
process (key3,btn3state) -- Processo para botao 3
begin
case btn3state is
when EsperaApertar => -- espera apertar o botao
if key3 = '0' then btn3next <= SaidaAtiva; else btn3next <= EsperaApertar; end if;
btn3 <= '1';
when SaidaAtiva => -- saida ativa para 1 ciclo de clock
if key3 = '0' then btn3next <= EsperaSoltar; else btn3next <= EsperaApertar; end if;
btn3 <= '0';
when EsperaSoltar => -- enquanto esta apertando o botao
if key3 = '0' then btn3next <= EsperaSoltar; else btn3next <= EsperaApertar; end if;
btn3 <= '1';
end case;
end process;
end ButtonSyncImpl;
| mit |
GSimas/EEL5105 | AULA8/C2.vhd | 2 | 254 | library IEEE;
use IEEE.Std_Logic_1164.all;
entity C2 is
port (A: in std_logic_vector(7 downto 0);
B: in std_logic_vector(7 downto 0);
F: out std_logic_vector(7 downto 0)
);
end C2;
architecture circuito of C2 is
begin
F <= A or B;
end circuito; | mit |
abyrne55/my-little-processor | project_testbench.vhd | 1 | 6086 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.numeric_std.ALL;
-- entity declaration for your testbench.Dont declare any ports here
ENTITY project_testbench IS
END project_testbench;
ARCHITECTURE behavior OF project_testbench IS
-- ------------------ Add Componenets ------------------
-- Add your components here
COMPONENT my_little_processor PORT (
clock, reset : IN STD_LOGIC;
data_in : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
flag_out : OUT STD_LOGIC;
done_out : OUT STD_LOGIC;
read_addr, main_bus_out : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
-- ------------------ Add Componenets ------------------
-- cnt is for the testbench only, use to set test values for every clock cycle
signal cnt: integer:= 0;
-- Internal Signals
-- Your circuit will need clk and reset signals.
signal clk_in : STD_LOGIC:= '0';
signal reset_in: STD_LOGIC;
-- For the initial part, it will also need an assembly code input
signal code : std_logic_vector(22 downto 0);
-- For the testbench, the assembly code is 23 bits of the following form
-- Load: 3 bit operation code (000), 4-bit destination register (note x""
-- means hex, so for example, x"A" would mean register 10), then 16-bit data
-- value (note once again this is defined using hex. e.g x"12AB" would equal
-- "0001 0010 1010 1011")
-- mov: 3 bit operation code (001), 4-bit destination register, 4-bit input register, 12 unused bits
-- add: 3 bit operation code (010), 4-bit input and destination register, 4-bit input register, 12 unused bits
-- add: 3 bit operation code (011), 4-bit input and destination register, 4-bit input register, 12 unused bits
-- ldpc: 3 bit operation code (100), 4-bit destination register, 16 unused bits
-- branch: 3 bit operation code (101), 4-bit destination register, 16 unused bits
-- Feel free to create your own assembly code
-- ------------------ Add Your Internal Signals (if needed) ------------------
-- You may not need anything, you can design your processor to only
-- use clk_in, reset_in and (assembly) code signals.
-- If you instatiate multiple modules, you may need them
SIGNAL flag,done : STD_LOGIC;
SIGNAL read_addr : STD_LOGIC_VECTOR(15 downto 0);
SIGNAL main_bus : STD_LOGIC_VECTOR(15 downto 0);
-- ------------------ Add Your Internal Signals (if needed) ------------------
BEGIN
instance0: my_little_processor PORT MAP(
clock => clk_in,
reset => reset_in,
flag_out => flag,
done_out => done,
read_addr => read_addr,
data_in => code(15 downto 0),
main_bus_out => main_bus
);
-- ------------------ Instantiate modules ------------------
-- Instantiate your processor here
-- ------------------ Instantiate modules ------------------
-- Create a clk
stim_proc: process
begin
wait for 50 ns;
clk_in <= not(clk_in);
end process;
-- cnt is for the testbench only, use to set test values for every clock cycle
stim_proc2: process(clk_in)
begin
if rising_edge(clk_in) then
cnt <= cnt+1;
end if;
end process;
-- This is the 'program'. It loads 4 values into r0 to r3.
-- It then stores the current address location in r4
-- It then branches to the 'sum' procedure, located at 50 in memory
-- To do this, the value 50 is loaded into r5, then you branch to r5.
-- After returning from branch, store the value in r6
-- (IMPORTANT: you can use this testbench irrespective of the branching,
-- just do nothing for these commands)
-- It then loads another 4 values into r0 to r3
-- Performs the sum of these values and returns
-- Finally it computes the xor of the two sums
process (cnt)
begin
case cnt is
-- Reset
when 0 to 4 => reset_in <= '1'; code <= ("000" & x"0" & x"0000");
-- load r0 x"0001"
when 5 to 9 => reset_in <= '0'; code <= ("000" & x"0" & x"0001");
-- load r1 x"0001"
when 10 to 14 => reset_in <= '0'; code <= ("000" & x"1" & x"0001");
-- load r2 x"0020"
when 15 to 19 => reset_in <= '0'; code <= ("000" & x"2" & x"0020");
-- load r3 x"0020"
when 20 to 24 => reset_in <= '0'; code <= ("000" & x"3" & x"0020");
-- load r5 x"0050"
when 25 to 29 => reset_in <= '0'; code <= ("000" & x"5" & x"0050");
-- ldpc r4
when 30 to 34 => reset_in <= '0'; code <= ("100" & x"4" & x"0000");
-- branch r5
when 35 to 39 => reset_in <= '0'; code <= ("101" & x"5" & x"0000");
-- add r0 r1
when 40 to 44 => reset_in <= '0'; code <= ("010" & x"0" & x"1" & x"000");
-- add r0 r2
when 45 to 49 => reset_in <= '0'; code <= ("010" & x"0" & x"2" & x"000");
-- add r0 r3
when 50 to 54 => reset_in <= '0'; code <= ("010" & x"0" & x"3" & x"000");
-- branch r4
when 55 to 59 => reset_in <= '0'; code <= ("101" & x"4" & x"0000");
-- mov r6 r0
when 60 to 64 => reset_in <= '0'; code <= ("001" & x"6" & x"0" & x"000");
-- load r0 x"0003"
when 65 to 69 => reset_in <= '0'; code <= ("000" & x"0" & x"0003");
-- load r1 x"0004"
when 70 to 74 => reset_in <= '0'; code <= ("000" & x"1" & x"0004");
-- load r2 x"001B"
when 75 to 79 => reset_in <= '0'; code <= ("000" & x"2" & x"001B");
-- load r3 x"0034"
when 80 to 84 => reset_in <= '0'; code <= ("000" & x"3" & x"0050");
-- ldpc r4
when 85 to 89 => reset_in <= '0'; code <= ("100" & x"4" & x"0000");
-- branch r5
when 90 to 94 => reset_in <= '0'; code <= ("101" & x"5" & x"0000");
-- add r0 r1
when 95 to 99 => reset_in <= '0'; code <= ("010" & x"0" & x"1" & x"000");
-- add r0 r2
when 100 to 104 => reset_in <= '0'; code <= ("010" & x"0" & x"2" & x"000");
-- add r0 r3
when 105 to 109 => reset_in <= '0'; code <= ("010" & x"0" & x"3" & x"000");
-- branch r4
when 110 to 114 => reset_in <= '0'; code <= ("101" & x"4" & x"0000");
-- xor r0 r6
when 115 to 120 => reset_in <= '0'; code <= ("001" & x"6" & x"0" & x"000");
when others => reset_in <= '0'; code <= ("001" & x"6" & x"0" & x"000");
end case;
end process;
END;
| mit |
GSimas/EEL5105 | AULA9/C4.vhd | 1 | 824 | library IEEE;
use IEEE.Std_Logic_1164.all;
entity desloc_1_bit_dir is
generic(N: natural := 64);
port( CLK: in std_logic;
ENABLE : in std_logic;
RST: in std_logic;
sr_in: in std_logic_vector(7 downto 0);
sr_out: out std_logic_vector(7 downto 0)
);
end entity;
architecture rtl of desloc_1_bit_dir is
signal sr: std_logic_vector (7 downto 0); -- Registrador de N bits
begin
process (CLK, RST)
begin
if (RST = '0') then -- Reset assíncrono do registrador
sr <= (others => '0');
elsif (rising_edge(CLK)) then -- Sinal de clock do registrador (subida)
if (ENABLE = '1') then -- Sinal de enable do registrador
sr(6 downto 0) <= sr_in(7 downto 1);-- Desloca 1 bit para a direita. Bit menos significativo é perdido.
sr(7) <= '1';
end if;
end if;
end process;
sr_out <= sr;
end rtl; | mit |
bertuccio/ARQ | Practica5/alu.vhd | 3 | 1264 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU is
Port ( A : in STD_LOGIC_VECTOR (31 downto 0);
B : in STD_LOGIC_VECTOR (31 downto 0);
resultado : out STD_LOGIC_VECTOR (31 downto 0);
control : in STD_LOGIC_VECTOR (3 downto 0);
igual : out STD_LOGIC);
end ALU;
architecture Behavior of ALU is
begin
process (A,B,control)
variable rAux: std_logic_vector(31 downto 0);
variable igualAux : std_logic;
begin
rAux:= (A) - (B);
if(rAux=x"00000000") then
igualAux:='1';
else
igualAux:='0';
end if;
if (control="0000") then
rAux:= (A) AND (B);
elsif(control="0001") then
rAux:= (A) OR (B);
elsif(control="0010") then
rAux:= (A) XOR (B);
elsif(control="0011") then
rAux:= (A) + (B);
elsif(control="1000") then
rAux:= (A) - (B);
elsif(control="1001") then
raux:=B(15 downto 0)&x"0000";
elsif(control="1010") then
rAux:= (A) - (B);
if(rAux(31)='1') then
rAux:=x"00000001";
else
rAux:=x"00000000";
end if;
else
rAux:=(others=>'0');
igualAux:='0';
end if;
resultado<=rAux;
igual<=igualAux;
end process;
end Behavior; | mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir_picoblaze/ssg_display_top.vhdl | 1 | 9317 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 02:04:07 12/09/2018
-- Design Name:
-- Module Name: top - structural
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
use work.ssg_display_shared_package.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ssg_display_top is
port (clk : in std_logic;
rst : in std_logic;
in_switches_p : in std_logic_vector(7 downto 0);
in_buttons_p : in std_logic_vector(3 downto 0);
out_seg_p : out SEG_T;
out_dp_p : out std_logic;
out_digits_en_p : out DIGITS_EN_T;
out_leds_p : out std_logic_vector(7 downto 0)
);
end ssg_display_top;
architecture structural of ssg_display_top is
--
-------------------------------------------------------------------------------------------
-- Components
-------------------------------------------------------------------------------------------
--
--
-- Seven Segment Display Component
--
component ssg_display is
port (clk : in std_logic;
rst : in std_logic;
in_bcds_p : in std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits
in_dps_p : in DP_T; -- enable/disable input signals for the decimal point of a digit
out_seg_p : out SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit
out_dp_p : out std_logic; -- enable ouput signal for the decimal point of currently refreshed digit
out_digits_en_p : out DIGITS_EN_T -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration
);
end component ssg_display;
--
-- Declaration of the KCPSM6 component including default values for generics.
--
component kcpsm6
generic( hwbuild : std_logic_vector(7 downto 0) := X"00";
interrupt_vector : std_logic_vector(11 downto 0) := X"3FF";
scratch_pad_memory_size : integer := 64);
port ( address : out std_logic_vector(11 downto 0);
instruction : in std_logic_vector(17 downto 0);
bram_enable : out std_logic;
in_port : in std_logic_vector(7 downto 0);
out_port : out std_logic_vector(7 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
k_write_strobe : out std_logic;
read_strobe : out std_logic;
interrupt : in std_logic;
interrupt_ack : out std_logic;
sleep : in std_logic;
reset : in std_logic;
clk : in std_logic);
end component kcpsm6;
--
-- Program ROM
--
component ssg_program is
generic( C_FAMILY : string := "S6";
C_RAM_SIZE_KWORDS : integer := 1;
C_JTAG_LOADER_ENABLE : integer := 0);
Port ( address : in std_logic_vector(11 downto 0);
instruction : out std_logic_vector(17 downto 0);
enable : in std_logic;
rdl : out std_logic;
clk : in std_logic);
end component ssg_program;
--
-- Signals for connection of ssg_display and KCPSM6.
--
signal bcds_reg : std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits
signal dps_reg : DP_T; -- enable/disable input signals for the decimal point of a digit
signal segs_sig : SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit
signal dp_sig : std_logic; -- enable ouput signal for the decimal point of currently refreshed digit
signal digits_en_sig : DIGITS_EN_T; -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration
--
-- Signals for connection of KCPSM6 and Program Memory.
--
signal address : std_logic_vector(11 downto 0);
signal instruction : std_logic_vector(17 downto 0);
signal bram_enable : std_logic;
signal in_port : std_logic_vector(7 downto 0);
signal out_port : std_logic_vector(7 downto 0);
signal port_id : std_logic_vector(7 downto 0);
signal write_strobe : std_logic;
signal k_write_strobe : std_logic;
signal read_strobe : std_logic;
signal interrupt : std_logic;
signal interrupt_ack : std_logic;
signal kcpsm6_sleep : std_logic;
signal kcpsm6_reset : std_logic;
--
-- Some additional signals are required if your system also needs to reset KCPSM6.
--
signal rdl : std_logic;
--
-- When interrupt is to be used then the recommended circuit included below requires
-- the following signal to represent the request made from your system.
--
signal int_request : std_logic;
begin
--
-- Components Instances
--
processor: kcpsm6
generic map ( hwbuild => X"00",
interrupt_vector => X"3FF",
scratch_pad_memory_size => 64)
port map( address => address,
instruction => instruction,
bram_enable => bram_enable,
port_id => port_id,
write_strobe => write_strobe,
k_write_strobe => k_write_strobe,
out_port => out_port,
read_strobe => read_strobe,
in_port => in_port,
interrupt => interrupt,
interrupt_ack => interrupt_ack,
sleep => kcpsm6_sleep,
reset => kcpsm6_reset,
clk => clk);
program_rom: ssg_program --Name to match your PSM file
generic map( C_FAMILY => "S6", --Family 'S6', 'V6' or '7S'
C_RAM_SIZE_KWORDS => 1, --Program size '1', '2' or '4'
C_JTAG_LOADER_ENABLE => 1) --Include JTAG Loader when set to '1'
port map( address => address,
instruction => instruction,
enable => bram_enable,
rdl => rdl,
clk => clk);
ssd: ssg_display
port map ( clk => clk,
rst => rst,
in_bcds_p => bcds_reg,
in_dps_p => dps_reg,
out_seg_p => segs_sig,
out_dp_p => dp_sig,
out_digits_en_p => digits_en_sig
);
--
-- In many designs (especially your first) interrupt and sleep are not used.
-- Tie these inputs Low until you need them. Tying 'interrupt' to 'interrupt_ack'
-- preserves both signals for future use and avoids a warning message.
--
kcpsm6_sleep <= '0';
interrupt <= interrupt_ack;
kcpsm6_reset <= rst or rdl;
in_port(7 downto 4) <= (others => '0');
mux_in_ports: process( clk )
begin
if( clk'event and clk='1') then
if( rst = '1' ) then
in_port(3 downto 0) <= (others => '0');
else
case port_id(1 downto 0) is
-- IN_SWITCH_HLFWRD_X_PORT
when "00" => in_port(3 downto 0) <= in_switches_p(3 downto 0);
-- IN_SWITCH_HLFWRD_Y_PORT
when "01" => in_port(3 downto 0) <= in_switches_p(7 downto 4);
-- IN_BUTTON_PORT
when "10" => in_port(3 downto 0) <= in_buttons_p;
-- Others
when others => in_port(3 downto 0) <= (others => '0');
end case;
end if;
end if;
end process mux_in_ports;
decode_out_ports: process(clk)
begin
if( clk'event and clk='1') then
if( rst = '1') then
bcds_reg <= (others => '0');
dps_reg <= (others => DISABLE_DP);
else
if( write_strobe = '1') then
case port_id(1 downto 0) is
-- OUT_NUM_X_PORT
when "00" => bcds_reg(3 downto 0) <= out_port(3 downto 0);
-- OUT_NUM_Y_PORT
when "01" => bcds_reg(7 downto 4) <= out_port(3 downto 0);
-- OUT_SUM_PORT
when "10" => bcds_reg(15 downto 8) <= out_port;
-- OUT_DP_PORT
when "11" => dps_reg <= out_port(3 downto 0);
when others => bcds_reg <= bcds_reg;
dps_reg <= dps_reg;
end case;
end if;
end if;
end if;
end process decode_out_ports;
out_seg_p <= segs_sig;
out_dp_p <= dp_sig;
out_digits_en_p <= digits_en_sig;
out_leds_p <= in_switches_p;
end structural; | mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.cache/ip/2018.2/653f640df9678210/gcd_zynq_snick_processing_system7_0_0_sim_netlist.vhdl | 1 | 207406 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:44:45 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_zynq_snick_processing_system7_0_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_processing_system7_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2);
M_AXI_GP0_ARCACHE(1) <= \<const1>\;
M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0);
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2);
M_AXI_GP0_AWCACHE(1) <= \<const1>\;
M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2);
M_AXI_GP1_ARCACHE(1) <= \<const1>\;
M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2);
M_AXI_GP1_AWCACHE(1) <= \<const1>\;
M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2),
MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1),
MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2),
MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1),
MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2),
MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1),
MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2),
MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1),
MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK3 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_zynq_snick_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_CLK1 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK1 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK1 : signal is "XIL_INTERFACENAME FCLK_CLK1, FREQ_HZ 99999893, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK1";
attribute X_INTERFACE_INFO of FCLK_CLK2 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK2 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK2 : signal is "XIL_INTERFACENAME FCLK_CLK2, FREQ_HZ 153845993, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK2";
attribute X_INTERFACE_INFO of FCLK_CLK3 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK3 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK3 : signal is "XIL_INTERFACENAME FCLK_CLK3, FREQ_HZ 199999786, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK3";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET1_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET1_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET1_N : signal is "XIL_INTERFACENAME FCLK_RESET1_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET2_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET2_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET2_N : signal is "XIL_INTERFACENAME FCLK_RESET2_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET3_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET3_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET3_N : signal is "XIL_INTERFACENAME FCLK_RESET3_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of GPIO_I : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_I";
attribute X_INTERFACE_INFO of GPIO_O : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_O";
attribute X_INTERFACE_INFO of GPIO_T : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_T";
attribute X_INTERFACE_INFO of IRQ_F2P : signal is "xilinx.com:signal:interrupt:1.0 IRQ_F2P INTERRUPT";
attribute X_INTERFACE_PARAMETER of IRQ_F2P : signal is "XIL_INTERFACENAME IRQ_F2P, SENSITIVITY LEVEL_HIGH, PortWidth 1";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 49999947, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => FCLK_CLK1,
FCLK_CLK2 => FCLK_CLK2,
FCLK_CLK3 => FCLK_CLK3,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => FCLK_RESET1_N,
FCLK_RESET2_N => FCLK_RESET2_N,
FCLK_RESET3_N => FCLK_RESET3_N,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => GPIO_I(63 downto 0),
GPIO_O(63 downto 0) => GPIO_O(63 downto 0),
GPIO_T(63 downto 0) => GPIO_T(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => IRQ_F2P(0),
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => '0',
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_inst_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ip/design_1_rst_ps7_0_50M_0/design_1_rst_ps7_0_50M_0_stub.vhdl | 1 | 1866 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 06:23:47 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top design_1_rst_ps7_0_50M_0 -prefix
-- design_1_rst_ps7_0_50M_0_ design_1_rst_ps7_0_50M_0_stub.vhdl
-- Design : design_1_rst_ps7_0_50M_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity design_1_rst_ps7_0_50M_0 is
Port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end design_1_rst_ps7_0_50M_0;
architecture stub of design_1_rst_ps7_0_50M_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "slowest_sync_clk,ext_reset_in,aux_reset_in,mb_debug_sys_rst,dcm_locked,mb_reset,bus_struct_reset[0:0],peripheral_reset[0:0],interconnect_aresetn[0:0],peripheral_aresetn[0:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "proc_sys_reset,Vivado 2018.2";
begin
end;
| mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_auto_pc_0/gcd_zynq_snick_auto_pc_0_sim_netlist.vhdl | 1 | 518478 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:45:28 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_auto_pc_0/gcd_zynq_snick_auto_pc_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_auto_pc_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair1";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_valid_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel : entity is "axi_protocol_converter_v2_1_17_b2s_b_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel : entity is "axi_protocol_converter_v2_1_17_b2s_r_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice : entity is "axi_register_slice_v2_1_17_axi_register_slice";
end gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel : entity is "axi_protocol_converter_v2_1_17_b2s_ar_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel : entity is "axi_protocol_converter_v2_1_17_b2s_aw_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s : entity is "axi_protocol_converter_v2_1_17_b2s";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter";
attribute P_AXI3 : integer;
attribute P_AXI3 of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_zynq_snick_auto_pc_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_zynq_snick_auto_pc_0 : entity is "gcd_zynq_snick_auto_pc_0,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_zynq_snick_auto_pc_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_zynq_snick_auto_pc_0 : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end gcd_zynq_snick_auto_pc_0;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0 is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 49999947, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 49999947, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
| mit |
besm6/micro-besm | tests/2910/vhdl/funct_block_alg_beh/components/reg/types.vhd | 10 | 31064 | ----------------------------------------------------------------------------
--
-- Copyright (c) 1990, 1991 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
--
-- Package name: TYPES
--
-- Purpose: This package defines the types, logic functions,
-- truth tables, definitions for wired signals, and
-- conversion functions for the Synopsys Standard Logic library.
--
-- Author: JT, PH, GWH
--
-- Modified with attributes for Synopsys synthesis.
--
-- Also synthesis_off and synthesis_on pairs required because
-- synthesis does not fully support or gives warnings about:
-- 1) Multi-dimentional arrays
-- 2) aliases
-- 3) assert
--
--
-- Modified by Champaka Ramachandran on Sept 15th 1992
--
-- Modifications to get rid of the Synopsys specific library and attributes
--
----------------------------------------------------------------------------
--synopsys translate_off
-- library SYNOPSYS;
-- use SYNOPSYS.ATTRIBUTES.all;
--synopsys translate_on
package TYPES is
---------------------------------------------------------------------
--
-- Definitions for Standard Logic types
--
---------------------------------------------------------------------
-- multi-valued logic 7 states:
type MVL7 is ('X', -- strong X (strong unknown)
'0', -- strong 0 (strong low)
'1', -- strong 1 (strong high)
'Z', -- tristate X (high impedance)
'W', -- weak X (weak unknown)
'L', -- weak 0 (weak low)
'H'); -- weak 1 (weak high)
-- attribute ENUM_ENCODING : STRING;
-- attribute ENUM_ENCODING of MVL7 : type is "D 0 1 Z U 0 1";
-- vector of MVL7
type MVL7_VECTOR is array (Natural range <>) of MVL7;
-- output-strength types
type STRENGTH is (X01, X0H, XL1, X0Z, XZ1, WLH, WLZ, WZH, W0H, WL1);
-----------------------------------------------------------------------
--
-- Internal types for table look up
--
----------------------------------------------------------------------
--synopsys synthesis_off
type MVL7_TAB1D is array (MVL7) of MVL7; -- one dimensional
type MVL7_TABLE is array (MVL7, MVL7) of MVL7; -- two dimensional
type STRN_MVL7_TABLE is array (MVL7,STRENGTH) of MVL7;
type MUX_TABLE is array (MVL7 range 'X' to '1',
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type TRISTATE_TABLE is array (STRENGTH,
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type MINOMAX is array (1 to 3) of TIME;
-----------------------------------------------------------------------
--
-- Truth tables for output strength --> MVL7 lookup
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for strength --> MVL7 mapping ('Z' pass through)
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7_Z: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for logical operations
--
-----------------------------------------------------------------------
-- truth table for "and" function
constant tbl_AND: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', '0', 'X', 'X', 'X', '0', 'X'), -- | X |
('0', '0', '0', '0', '0', '0', '0'), -- | 0 |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 1 |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | Z |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | W |
('0', '0', '0', '0', '0', '0', '0'), -- | L |
('X', '0', '1', 'X', 'X', '0', '1')); -- | H |
-- truth table for "or" function
constant tbl_OR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'X', '1'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | Z |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('1', '1', '1', '1', '1', '1', '1')); -- | H |
-- truth table for "xor" function
constant tbl_XOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('X', '1', '0', 'X', 'X', '1', '0'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('X', '1', '0', 'X', 'X', '1', '0')); -- | H |
-- truth table for "not" function
constant tbl_NOT: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '1', '0', 'X', 'X', '1', '0');
-- truth table for "buf" function
constant tbl_BUF: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '0', '1', 'X', 'X', '0', '1');
-- truth table for tristate "buf" function (Enable active High)
constant tbl_BUF3S: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('Z', 'Z', 'Z'), --| 0 X01 |
('X', '0', '1')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('Z', 'Z', 'Z'), --| 0 X0H |
('X', '0', 'H')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('Z', 'Z', 'Z'), --| 0 XL1 |
('X', 'L', '1')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('Z', 'Z', 'Z'), --| 0 X0Z |
('X', '0', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('Z', 'Z', 'Z'), --| 0 XZ1 |
('X', 'Z', '1')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('Z', 'Z', 'Z'), --| 0 WLH |
('W', 'L', 'H')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('Z', 'Z', 'Z'), --| 0 WLZ |
('W', 'L', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('Z', 'Z', 'Z'), --| 0 WZH |
('W', 'Z', 'H')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('Z', 'Z', 'Z'), --| 0 W0H |
('W', '0', 'H')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('Z', 'Z', 'Z'), --| 0 WL1 |
('W', 'L', '1')));--| 1 WL1 |
-- truth table for tristate "buf" function (Enable active Low)
constant tbl_BUF3SL: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('X', '0', '1'), --| 0 X01 |
('Z', 'Z', 'Z')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('X', '0', 'H'), --| 0 X0H |
('Z', 'Z', 'Z')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('X', 'L', '1'), --| 0 XL1 |
('Z', 'Z', 'Z')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('X', '0', 'Z'), --| 0 X0Z |
('Z', 'Z', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('X', 'Z', '1'), --| 0 XZ1 |
('Z', 'Z', 'Z')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('W', 'L', 'H'), --| 0 WLH |
('Z', 'Z', 'Z')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('W', 'L', 'Z'), --| 0 WLZ |
('Z', 'Z', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('W', 'Z', 'H'), --| 0 WZH |
('Z', 'Z', 'Z')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('W', '0', 'H'), --| 0 W0H |
('Z', 'Z', 'Z')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('W', 'L', '1'), --| 0 WL1 |
('Z', 'Z', 'Z')));--| 1 WL1 |
-- truth table for "MUX2x1" function
constant tbl_MUX2x1: MUX_TABLE :=
---------------------------------------
--| In0 'X' '0' '1' | Sel In1 |
---------------------------------------
((('X', 'X', 'X'), --| 'X' 'X' |
('X', '0', '1'), --| '0' 'X' |
('X', 'X', 'X')), --| '1' 'X' |
(('X', '0', 'X'), --| 'X' '0' |
('X', '0', '1'), --| '0' '0' |
('0', '0', '0')), --| '1' '0' |
(('X', 'X', '1'), --| 'X' '1' |
('X', '0', '1'), --| '0' '1' |
('1', '1', '1')));--| '1' '1' |
----------------------------------------------------------------------
--
-- Truth tables for resolution functions
--
----------------------------------------------------------------------
-- truth table for "WiredX" function
constant tbl_WIREDX: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', 'X', '0', '0', '0', '0'), -- | 0 |
('X', 'X', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('X', '0', '1', 'L', 'W', 'L', 'W'), -- | L |
('X', '0', '1', 'H', 'W', 'W', 'H')); -- | H |
-- truth table for "WiredOr" function
constant tbl_WIREDOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'L', 'H'), -- | X |
('X', '0', '1', '0', '0', 'L', 'H'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('L', 'L', '1', 'L', 'W', 'L', 'W'), -- | L |
('H', 'H', '1', 'H', 'W', 'W', 'H')); -- | H |
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7;
function "nand" (L, R: MVL7) return MVL7;
function "or" (L, R: MVL7) return MVL7;
function "nor" (L, R: MVL7) return MVL7;
function "xor" (L, R: MVL7) return MVL7;
function nxor (L, R: MVL7) return MVL7;
function "not" (R: MVL7) return MVL7;
function buf (R: MVL7) return MVL7;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR;
function buf (R: MVL7_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals and its attributes
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7;
function WiredOr (V: MVL7_VECTOR) return MVL7;
--synopsys translate_off
-- attribute REFLEXIVE of WiredX: function is TRUE;
-- attribute RESULT_INITIAL_VALUE of WiredX: function is MVL7'POS('Z');
-- attribute TABLE_NAME of WiredX: function is "TYPES.tbl_WIREDX";
--synopsys translate_on
-----------------------------------------------------------------------
--
-- Definitions for wired signals (scalars and vectors)
--
-----------------------------------------------------------------------
subtype DotX is WiredX MVL7;
type BusX is array (Natural range <>) of DotX;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: MVL7_VECTOR) return BusX;
function Drive (V: BusX) return MVL7_VECTOR;
--synopsys synthesis_on
--synopsys translate_off
-- attribute CLOSELY_RELATED_TCF of Drive: function is TRUE;
--synopsys translate_on
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR;
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- Truth tables for unidirectional transistors
--
-----------------------------------------------------------------------
-- truth table for reduce function
constant tbl_REDUCE: MVL7_TAB1D :=
-- ------------------------------------
-- | X 0 1 Z W L H |
-- ------------------------------------
('W', 'L', 'H', 'Z', 'W', 'L', 'H');
constant tbl_NXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '0'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- 'L'
('X', '0', '1', 'Z', 'W', 'L', 'H')); -- 'H'
constant tbl_PXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '0'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- 'L'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z')); -- 'H'
--synopsys synthesis_on
end TYPES;
package body TYPES is
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_AND
begin
--synopsys synthesis_off
return tbl_AND(L, R);
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NAND
begin
--synopsys synthesis_off
return tbl_NOT(tbl_AND(L, R));
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_OR
begin
--synopsys synthesis_off
return tbl_OR(L, R);
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_OR(L, R));
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XOR
begin
--synopsys synthesis_off
return tbl_XOR(L, R);
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XNOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_XOR(L, R));
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7) return MVL7 is
-- pragma built_in SYN_NOT
begin
--synopsys synthesis_off
return tbl_NOT(R);
--synopsys synthesis_on
end "not";
function buf (R: MVL7) return MVL7 is
-- pragma built_in SYN_BUF
begin
--synopsys synthesis_off
return tbl_BUF(R);
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_AND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_AND(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NAND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_AND(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_OR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_OR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_OR(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_XOR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XNOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result(i) := tbl_NOT(tbl_XOR(LV(i), RV(i)));
end loop;
return result;
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOT
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result (i) := tbl_NOT( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end "not";
function buf (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_BUF
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result(i) := tbl_BUF( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7 is
-- pragma resolution_method three_state
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDX(result, V(i));
exit when result = 'X';
end loop;
return result;
--synopsys synthesis_on
end WiredX;
function WiredOr (V: MVL7_VECTOR) return MVL7 is
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDOr(result, V(i));
exit when result = '1';
end loop;
return result;
--synopsys synthesis_on
end WiredOr;
-- synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: BusX) return MVL7_VECTOR is
begin
return MVL7_VECTOR(V);
end Drive;
function Drive (V: MVL7_VECTOR) return BusX is
begin
return BusX(V);
end Drive;
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
--
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7 is
begin
if V = 'Z' then
return vZ;
else
return V;
end if;
end Sense;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR is
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR is
alias Value: BusX (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
-- synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: BIT_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
if ( Value(i) = '0' ) then
Result(i) := '0';
else
Result(i) := '1';
end if;
end loop;
return Result;
--synopsys synthesis_on
end BVtoMVL7V;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: BIT_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
case Value(i) is
when '0' | 'L' =>
Result(i) := '0';
when '1' | 'H' =>
Result(i) := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result(i) := vZ;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: Z --> 0"
severity WARNING;
end if;
end case;
end loop;
return Result;
--synopsys synthesis_on
end MVL7VtoBV;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7 is
variable Result: MVL7;
-- pragma built_in SYN_FEED_THRU
begin
if ( V = '0' ) then
Result := '0';
else
Result := '1';
end if;
return Result;
end BITtoMVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT is
-- pragma built_in SYN_FEED_THRU
variable Result: BIT;
begin
--synopsys synthesis_off
case V is
when '0' | 'L' =>
Result := '0';
when '1' | 'H' =>
Result := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result := vX;
else
Result := '0';
assert FALSE
report "MVL7toBIT: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result := vZ;
else
Result := '0';
assert FALSE
report "MVL7toBIT: Z --> 0"
severity WARNING;
end if;
end case;
return Result;
--synopsys synthesis_on
end MVL7toBIT;
end TYPES;
| mit |
besm6/micro-besm | tests/2901/vhdl/funct_blocks_alg_beh/components/alu_inputs/types.vhd | 10 | 31064 | ----------------------------------------------------------------------------
--
-- Copyright (c) 1990, 1991 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
--
-- Package name: TYPES
--
-- Purpose: This package defines the types, logic functions,
-- truth tables, definitions for wired signals, and
-- conversion functions for the Synopsys Standard Logic library.
--
-- Author: JT, PH, GWH
--
-- Modified with attributes for Synopsys synthesis.
--
-- Also synthesis_off and synthesis_on pairs required because
-- synthesis does not fully support or gives warnings about:
-- 1) Multi-dimentional arrays
-- 2) aliases
-- 3) assert
--
--
-- Modified by Champaka Ramachandran on Sept 15th 1992
--
-- Modifications to get rid of the Synopsys specific library and attributes
--
----------------------------------------------------------------------------
--synopsys translate_off
-- library SYNOPSYS;
-- use SYNOPSYS.ATTRIBUTES.all;
--synopsys translate_on
package TYPES is
---------------------------------------------------------------------
--
-- Definitions for Standard Logic types
--
---------------------------------------------------------------------
-- multi-valued logic 7 states:
type MVL7 is ('X', -- strong X (strong unknown)
'0', -- strong 0 (strong low)
'1', -- strong 1 (strong high)
'Z', -- tristate X (high impedance)
'W', -- weak X (weak unknown)
'L', -- weak 0 (weak low)
'H'); -- weak 1 (weak high)
-- attribute ENUM_ENCODING : STRING;
-- attribute ENUM_ENCODING of MVL7 : type is "D 0 1 Z U 0 1";
-- vector of MVL7
type MVL7_VECTOR is array (Natural range <>) of MVL7;
-- output-strength types
type STRENGTH is (X01, X0H, XL1, X0Z, XZ1, WLH, WLZ, WZH, W0H, WL1);
-----------------------------------------------------------------------
--
-- Internal types for table look up
--
----------------------------------------------------------------------
--synopsys synthesis_off
type MVL7_TAB1D is array (MVL7) of MVL7; -- one dimensional
type MVL7_TABLE is array (MVL7, MVL7) of MVL7; -- two dimensional
type STRN_MVL7_TABLE is array (MVL7,STRENGTH) of MVL7;
type MUX_TABLE is array (MVL7 range 'X' to '1',
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type TRISTATE_TABLE is array (STRENGTH,
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type MINOMAX is array (1 to 3) of TIME;
-----------------------------------------------------------------------
--
-- Truth tables for output strength --> MVL7 lookup
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for strength --> MVL7 mapping ('Z' pass through)
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7_Z: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for logical operations
--
-----------------------------------------------------------------------
-- truth table for "and" function
constant tbl_AND: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', '0', 'X', 'X', 'X', '0', 'X'), -- | X |
('0', '0', '0', '0', '0', '0', '0'), -- | 0 |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 1 |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | Z |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | W |
('0', '0', '0', '0', '0', '0', '0'), -- | L |
('X', '0', '1', 'X', 'X', '0', '1')); -- | H |
-- truth table for "or" function
constant tbl_OR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'X', '1'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | Z |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('1', '1', '1', '1', '1', '1', '1')); -- | H |
-- truth table for "xor" function
constant tbl_XOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('X', '1', '0', 'X', 'X', '1', '0'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('X', '1', '0', 'X', 'X', '1', '0')); -- | H |
-- truth table for "not" function
constant tbl_NOT: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '1', '0', 'X', 'X', '1', '0');
-- truth table for "buf" function
constant tbl_BUF: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '0', '1', 'X', 'X', '0', '1');
-- truth table for tristate "buf" function (Enable active High)
constant tbl_BUF3S: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('Z', 'Z', 'Z'), --| 0 X01 |
('X', '0', '1')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('Z', 'Z', 'Z'), --| 0 X0H |
('X', '0', 'H')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('Z', 'Z', 'Z'), --| 0 XL1 |
('X', 'L', '1')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('Z', 'Z', 'Z'), --| 0 X0Z |
('X', '0', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('Z', 'Z', 'Z'), --| 0 XZ1 |
('X', 'Z', '1')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('Z', 'Z', 'Z'), --| 0 WLH |
('W', 'L', 'H')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('Z', 'Z', 'Z'), --| 0 WLZ |
('W', 'L', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('Z', 'Z', 'Z'), --| 0 WZH |
('W', 'Z', 'H')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('Z', 'Z', 'Z'), --| 0 W0H |
('W', '0', 'H')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('Z', 'Z', 'Z'), --| 0 WL1 |
('W', 'L', '1')));--| 1 WL1 |
-- truth table for tristate "buf" function (Enable active Low)
constant tbl_BUF3SL: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('X', '0', '1'), --| 0 X01 |
('Z', 'Z', 'Z')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('X', '0', 'H'), --| 0 X0H |
('Z', 'Z', 'Z')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('X', 'L', '1'), --| 0 XL1 |
('Z', 'Z', 'Z')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('X', '0', 'Z'), --| 0 X0Z |
('Z', 'Z', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('X', 'Z', '1'), --| 0 XZ1 |
('Z', 'Z', 'Z')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('W', 'L', 'H'), --| 0 WLH |
('Z', 'Z', 'Z')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('W', 'L', 'Z'), --| 0 WLZ |
('Z', 'Z', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('W', 'Z', 'H'), --| 0 WZH |
('Z', 'Z', 'Z')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('W', '0', 'H'), --| 0 W0H |
('Z', 'Z', 'Z')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('W', 'L', '1'), --| 0 WL1 |
('Z', 'Z', 'Z')));--| 1 WL1 |
-- truth table for "MUX2x1" function
constant tbl_MUX2x1: MUX_TABLE :=
---------------------------------------
--| In0 'X' '0' '1' | Sel In1 |
---------------------------------------
((('X', 'X', 'X'), --| 'X' 'X' |
('X', '0', '1'), --| '0' 'X' |
('X', 'X', 'X')), --| '1' 'X' |
(('X', '0', 'X'), --| 'X' '0' |
('X', '0', '1'), --| '0' '0' |
('0', '0', '0')), --| '1' '0' |
(('X', 'X', '1'), --| 'X' '1' |
('X', '0', '1'), --| '0' '1' |
('1', '1', '1')));--| '1' '1' |
----------------------------------------------------------------------
--
-- Truth tables for resolution functions
--
----------------------------------------------------------------------
-- truth table for "WiredX" function
constant tbl_WIREDX: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', 'X', '0', '0', '0', '0'), -- | 0 |
('X', 'X', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('X', '0', '1', 'L', 'W', 'L', 'W'), -- | L |
('X', '0', '1', 'H', 'W', 'W', 'H')); -- | H |
-- truth table for "WiredOr" function
constant tbl_WIREDOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'L', 'H'), -- | X |
('X', '0', '1', '0', '0', 'L', 'H'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('L', 'L', '1', 'L', 'W', 'L', 'W'), -- | L |
('H', 'H', '1', 'H', 'W', 'W', 'H')); -- | H |
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7;
function "nand" (L, R: MVL7) return MVL7;
function "or" (L, R: MVL7) return MVL7;
function "nor" (L, R: MVL7) return MVL7;
function "xor" (L, R: MVL7) return MVL7;
function nxor (L, R: MVL7) return MVL7;
function "not" (R: MVL7) return MVL7;
function buf (R: MVL7) return MVL7;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR;
function buf (R: MVL7_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals and its attributes
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7;
function WiredOr (V: MVL7_VECTOR) return MVL7;
--synopsys translate_off
-- attribute REFLEXIVE of WiredX: function is TRUE;
-- attribute RESULT_INITIAL_VALUE of WiredX: function is MVL7'POS('Z');
-- attribute TABLE_NAME of WiredX: function is "TYPES.tbl_WIREDX";
--synopsys translate_on
-----------------------------------------------------------------------
--
-- Definitions for wired signals (scalars and vectors)
--
-----------------------------------------------------------------------
subtype DotX is WiredX MVL7;
type BusX is array (Natural range <>) of DotX;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: MVL7_VECTOR) return BusX;
function Drive (V: BusX) return MVL7_VECTOR;
--synopsys synthesis_on
--synopsys translate_off
-- attribute CLOSELY_RELATED_TCF of Drive: function is TRUE;
--synopsys translate_on
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR;
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- Truth tables for unidirectional transistors
--
-----------------------------------------------------------------------
-- truth table for reduce function
constant tbl_REDUCE: MVL7_TAB1D :=
-- ------------------------------------
-- | X 0 1 Z W L H |
-- ------------------------------------
('W', 'L', 'H', 'Z', 'W', 'L', 'H');
constant tbl_NXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '0'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- 'L'
('X', '0', '1', 'Z', 'W', 'L', 'H')); -- 'H'
constant tbl_PXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '0'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- 'L'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z')); -- 'H'
--synopsys synthesis_on
end TYPES;
package body TYPES is
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_AND
begin
--synopsys synthesis_off
return tbl_AND(L, R);
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NAND
begin
--synopsys synthesis_off
return tbl_NOT(tbl_AND(L, R));
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_OR
begin
--synopsys synthesis_off
return tbl_OR(L, R);
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_OR(L, R));
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XOR
begin
--synopsys synthesis_off
return tbl_XOR(L, R);
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XNOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_XOR(L, R));
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7) return MVL7 is
-- pragma built_in SYN_NOT
begin
--synopsys synthesis_off
return tbl_NOT(R);
--synopsys synthesis_on
end "not";
function buf (R: MVL7) return MVL7 is
-- pragma built_in SYN_BUF
begin
--synopsys synthesis_off
return tbl_BUF(R);
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_AND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_AND(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NAND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_AND(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_OR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_OR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_OR(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_XOR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XNOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result(i) := tbl_NOT(tbl_XOR(LV(i), RV(i)));
end loop;
return result;
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOT
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result (i) := tbl_NOT( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end "not";
function buf (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_BUF
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result(i) := tbl_BUF( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7 is
-- pragma resolution_method three_state
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDX(result, V(i));
exit when result = 'X';
end loop;
return result;
--synopsys synthesis_on
end WiredX;
function WiredOr (V: MVL7_VECTOR) return MVL7 is
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDOr(result, V(i));
exit when result = '1';
end loop;
return result;
--synopsys synthesis_on
end WiredOr;
-- synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: BusX) return MVL7_VECTOR is
begin
return MVL7_VECTOR(V);
end Drive;
function Drive (V: MVL7_VECTOR) return BusX is
begin
return BusX(V);
end Drive;
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
--
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7 is
begin
if V = 'Z' then
return vZ;
else
return V;
end if;
end Sense;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR is
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR is
alias Value: BusX (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
-- synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: BIT_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
if ( Value(i) = '0' ) then
Result(i) := '0';
else
Result(i) := '1';
end if;
end loop;
return Result;
--synopsys synthesis_on
end BVtoMVL7V;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: BIT_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
case Value(i) is
when '0' | 'L' =>
Result(i) := '0';
when '1' | 'H' =>
Result(i) := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result(i) := vZ;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: Z --> 0"
severity WARNING;
end if;
end case;
end loop;
return Result;
--synopsys synthesis_on
end MVL7VtoBV;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7 is
variable Result: MVL7;
-- pragma built_in SYN_FEED_THRU
begin
if ( V = '0' ) then
Result := '0';
else
Result := '1';
end if;
return Result;
end BITtoMVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT is
-- pragma built_in SYN_FEED_THRU
variable Result: BIT;
begin
--synopsys synthesis_off
case V is
when '0' | 'L' =>
Result := '0';
when '1' | 'H' =>
Result := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result := vX;
else
Result := '0';
assert FALSE
report "MVL7toBIT: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result := vZ;
else
Result := '0';
assert FALSE
report "MVL7toBIT: Z --> 0"
severity WARNING;
end if;
end case;
return Result;
--synopsys synthesis_on
end MVL7toBIT;
end TYPES;
| mit |
besm6/micro-besm | tests/2901/vhdl/funct_blocks_alg_beh/components/alu/test_vectors.vhdl | 1 | 11338 | --------------------------------------------------------------------------------
--
-- AM2901 Benchmark
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Jan 1, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept 17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept 17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.TYPES.all;
use work.MVL7_functions.all;
entity E is
end;
architecture A of E is
component alu_inst
port (
RE, S : in MVL7_vector(3 downto 0);
I : in MVL7_vector(8 downto 0);
C0 : in MVL7;
C4, OVR, F30, F3, Pbar, Gbar : out MVL7;
F : out MVL7_vector(3 downto 0)
);
end component;
signal RE, S : MVL7_vector(3 downto 0);
signal I : MVL7_vector(8 downto 0);
signal C0 : MVL7;
signal C4, OVR, F30, F3, Pbar, Gbar : MVL7;
signal F : MVL7_vector(3 downto 0);
for all : alu_inst use entity work.alu(alu);
begin
alu_inst1 : alu_inst port map(
RE, S,
I,
C0,
C4, OVR, F30, F3, Pbar, Gbar,
F
);
process
begin
----------------------------------------------------------------------------
RE <= "0001"; --#1
S <= "0001";
C0 <= '0'; -- Compute RE + S. ( RE = 0001, S = 0001)
I <= "000000000";
wait for 1 ns;
assert (F = "0010")
report
"Assert a1 : < F /= '0010'> "
severity warning;
assert (C4 = '0')
report
"Assert a2 : < C4 /= '0'> "
severity warning;
assert (OVR = '0')
report
"Assert a3 : < OVR /= '0'> "
severity warning;
assert (F30 = '0')
report
"Assert a4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert a5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert a6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '1')
report
"Assert a7 : < Gbar /= '1'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "0010"; --#2
S <= "0010";
C0 <= '1'; -- Compute RE + S + 1. ( RE = 0010, S = 0010)
I <= "000000000";
wait for 1 ns;
assert (F = "0101")
report
"Assert b1 : < F /= '0101'> "
severity warning;
assert (C4 = '0')
report
"Assert b2 : < C4 /= '0'> "
severity warning;
assert (OVR = '0')
report
"Assert b3 : < OVR /= '0'> "
severity warning;
assert (F30 = '0')
report
"Assert b4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert b5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert b6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '1')
report
"Assert b7 : < Gbar /= '1'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "0100"; --#3
S <= "0100";
C0 <= '0'; -- Compute RE + S. ( RE = 0100, S = 0100)
I <= "000000000";
wait for 1 ns;
assert (F = "1000")
report
"Assert c1 : < F /= '1000'> "
severity warning;
assert (C4 = '0')
report
"Assert c2 : < C4 /= '0'> "
severity warning;
assert (OVR = '1')
report
"Assert c3 : < OVR /= '1'> "
severity warning;
assert (F30 = '0')
report
"Assert c4 : < F30 /= '0'> "
severity warning;
assert (F3 = '1')
report
"Assert c5 : < F3 /= '1'> "
severity warning;
assert (Pbar = '1')
report
"Assert c6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '1')
report
"Assert c7 : < Gbar /= '1'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "1000"; --#4
S <= "1000";
C0 <= '0'; -- Compute RE + S. ( RE = 1000, S = 1000)
I <= "000000000";
wait for 1 ns;
assert (F = "0000")
report
"Assert d1 : < F /= '0000'> "
severity warning;
assert (C4 = '1')
report
"Assert d2 : < C4 /= '1'> "
severity warning;
assert (OVR = '1')
report
"Assert d3 : < OVR /= '1'> "
severity warning;
assert (F30 = '1')
report
"Assert d4 : < F30 /= '1'> "
severity warning;
assert (F3 = '0')
report
"Assert d5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert d6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert d7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "0001"; --#5
S <= "0010";
C0 <= '1'; -- Compute S - RE. ( RE = 0001, S = 0010)
I <= "000001000";
wait for 1 ns;
assert (F = "0001")
report
"Assert e1 : < F /= '0001'> "
severity warning;
assert (C4 = '1')
report
"Assert e2 : < C4 /= '1'> "
severity warning;
assert (OVR = '0')
report
"Assert e3 : < OVR /= '0'> "
severity warning;
assert (F30 = '0')
report
"Assert e4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert e5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert e6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert e7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "0001"; --#6
S <= "0010";
C0 <= '0'; -- Compute S - RE -1. ( RE = 0001, S = 0010)
I <= "000001000";
wait for 1 ns;
assert (F = "0000")
report
"Assert f1 : < F /= '0000'> "
severity warning;
assert (C4 = '1')
report
"Assert f2 : < C4 /= '1'> "
severity warning;
assert (OVR = '0')
report
"Assert f3 : < OVR /= '0'> "
severity warning;
assert (F30 = '1')
report
"Assert f4 : < F30 /= '1'> "
severity warning;
assert (F3 = '0')
report
"Assert f5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert f6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert f7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "1000"; --#7
S <= "0001";
C0 <= '1'; -- Compute RE - S. ( RE = 1000, S = 0001)
I <= "000010000";
wait for 1 ns;
assert (F = "0111")
report
"Assert g1 : < F /= '0111'> "
severity warning;
assert (C4 = '1')
report
"Assert g2 : < C4 /= '1'> "
severity warning;
assert (OVR = '1')
report
"Assert g3 : < OVR /= '1'> "
severity warning;
assert (F30 = '0')
report
"Assert g4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert g5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert g6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert g7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "1000"; --#8
S <= "0001";
C0 <= '0'; -- Compute RE - S - 1. ( RE = 1000, S = 0001)
I <= "000010000";
wait for 1 ns;
assert (F = "0110")
report
"Assert h1 : < F /= '0110'> "
severity warning;
assert (C4 = '1')
report
"Assert h2 : < C4 /= '1'> "
severity warning;
assert (OVR = '1')
report
"Assert h3 : < OVR /= '1'> "
severity warning;
assert (F30 = '0')
report
"Assert h4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert h5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert h6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert h7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "1010"; --#9
S <= "1001";
C0 <= '0'; -- Compute RE or S. ( RE = 1010, S = 1001)
I <= "000011000";
wait for 1 ns;
assert (C4 = '0')
report
"Assert i2 : < C4 /= '0'> "
severity warning;
assert (OVR = '0')
report
"Assert i3 : < OVR /= '0'> "
severity warning;
assert (F = "1011")
report
"Assert i1 : < F /= '1011'> "
severity warning;
assert (F30 = '0')
report
"Assert i4 : < F30 /= '0'> "
severity warning;
assert (F3 = '1')
report
"Assert i5 : < F3 /= '1'> "
severity warning;
assert (Pbar = '1')
report
"Assert i6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert i7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "1010"; --#10
S <= "1001";
C0 <= '0'; -- Compute RE and S. ( RE = 1010, S = 1001)
I <= "000100000";
wait for 1 ns;
assert (F = "1000")
report
"Assert j1 : < F /= '1000'> "
severity warning;
assert (C4 = '0')
report
"Assert j2 : < C4 /= '0'> "
severity warning;
assert (OVR = '0')
report
"Assert j3 : < OVR /= '0'> "
severity warning;
assert (F30 = '0')
report
"Assert j4 : < F30 /= '0'> "
severity warning;
assert (F3 = '1')
report
"Assert j5 : < F3 /= '1'> "
severity warning;
assert (Pbar = '1')
report
"Assert j6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert j7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "1010"; --#11
S <= "1001";
C0 <= '0'; -- Compute not(RE) and S. ( RE = 1010, S = 1001)
I <= "000101000";
wait for 1 ns;
assert (F = "0001")
report
"Assert k1 : < F /= '0001'> "
severity warning;
assert (C4 = '0')
report
"Assert k2 : < C4 /= '0'> "
severity warning;
assert (OVR = '1')
report
"Assert k3 : < OVR /= '1'> "
severity warning;
assert (F30 = '0')
report
"Assert k4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert k5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert k6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert k7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "1010"; --#12
S <= "1001";
C0 <= '0'; -- Compute RE xor S. ( RE = 1010, S = 1001)
I <= "000110000";
wait for 1 ns;
assert (F = "0011")
report
"Assert l1 : < F /= '0011'> "
severity warning;
assert (C4 = '0')
report
"Assert l2 : < C4 /= '0'> "
severity warning;
assert (OVR = '1')
report
"Assert l3 : < OVR /= '1'> "
severity warning;
assert (F30 = '0')
report
"Assert l4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert l5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert l6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '0')
report
"Assert l7 : < Gbar /= '0'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
RE <= "0101"; --#13
S <= "1001";
C0 <= '0'; -- Compute RE xnor S. ( RE = 0101, S = 1001)
I <= "000111000";
wait for 1 ns;
assert (F = "0011")
report
"Assert m1 : < F /= '0011'> "
severity warning;
assert (C4 = '1')
report
"Assert m2 : < C4 /= '1'> "
severity warning;
assert (OVR = '0')
report
"Assert m3 : < OVR /= '0'> "
severity warning;
assert (F30 = '0')
report
"Assert m4 : < F30 /= '0'> "
severity warning;
assert (F3 = '0')
report
"Assert m5 : < F3 /= '0'> "
severity warning;
assert (Pbar = '1')
report
"Assert m6 : < Pbar /= '1'> "
severity warning;
assert (Gbar = '1')
report
"Assert m7 : < Gbar /= '1'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
end process;
end A; | mit |
besm6/micro-besm | tests/2910/vhdl/funct_block_alg_beh/components/stack/test_vectors_stack.vhdl | 1 | 11892 | --------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark (Functional blocks) (Algorithmic Behaviour of Funct blocks)
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity E is
end;
architecture AA of E is
component cstack
port (
clk : in clock;
pop : in MVL7;
push : in MVL7;
clear : in MVL7;
uPC : in MVL7_VECTOR(11 downto 0);
sp : inout INTEGER range 0 to 5;
reg_file : inout MEMORY_12_BIT(5 downto 0);
FULL_BAR : out MVL7
);
end component;
signal clk : clock;
signal pop : MVL7;
signal push : MVL7;
signal clear : MVL7;
signal uPC : MVL7_VECTOR(11 downto 0);
signal sp : INTEGER range 0 to 5;
signal reg_file : MEMORY_12_BIT(5 downto 0);
signal FULL_BAR : MVL7;
for all : cstack use entity work.stack(stack);
begin
CSTACK1 : cstack port map(
clk,
pop,
push,
clear,
uPC,
sp,
reg_file,
FULL_BAR
);
process
begin
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '0';
clear <= '1';
uPC <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert 0 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 0a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000001";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert 1 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 1a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000001" )
report
"Assert 1b : < reg_file(sp) /= 000000000001 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000010";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert 2 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 2a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000010" )
report
"Assert 2b : < reg_file(sp) /= 000000000010 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000100";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert 3 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 3a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000100" )
report
"Assert 3b : < reg_file(sp) /= 000000000100 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000001000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert 4 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 4a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000001000" )
report
"Assert 4b : < reg_file(sp) /= 000000001000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert 5 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert 5a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "111111111111" )
report
"Assert 5b : < reg_file(sp) /= 111111111111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000010000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert 6 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert 6a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "000000010000" )
report
"Assert 6b : < reg_file(sp) /= 000000010000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert 7 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 7a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000001000" )
report
"Assert 7b : < reg_file(sp) /= 000000001000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert 8 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 8a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000100" )
report
"Assert 8b : < reg_file(sp) /= 000000000100 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert 9 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 9a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000010" )
report
"Assert 9b : < reg_file(sp) /= 000000000010 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert 10 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 10a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000001" )
report
"Assert 10b : < reg_file(sp) /= 000000000001 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert 11 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 11a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert 12 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 12a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111110";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert 13 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 13a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111110" )
report
"Assert 13b : < reg_file(sp) /= 111111111110 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111101";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert A2 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A2a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111101" )
report
"Assert A2b : < reg_file(sp) /= 111111111101 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111011";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert A3 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A3a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111011" )
report
"Assert A3b : < reg_file(sp) /= 111111111011 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111110111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert A4 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A4a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111110111" )
report
"Assert A4b : < reg_file(sp) /= 111111110111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert A5 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert A5a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "000000000000" )
report
"Assert A5b : < reg_file(sp) /= 000000000000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111101111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert A6 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert A6a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "111111101111" )
report
"Assert A6b : < reg_file(sp) /= 111111101111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert A7 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A7a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111110111" )
report
"Assert A7b : < reg_file(sp) /= 111111110111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert A8 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A8a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111011" )
report
"Assert A8b : < reg_file(sp) /= 111111111011 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert A9 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A9a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111101" )
report
"Assert A9b : < reg_file(sp) /= 111111111101 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert A10 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A10a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111110" )
report
"Assert A10b : < reg_file(sp) /= 111111111110 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert A11 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A11a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert A12 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A12a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
end process;
end AA;
| mit |
besm6/micro-besm | tests/2910/vhdl/funct_block_alg_beh/components/control/control.vhdl | 1 | 4056 | --------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark (Functional blocks) (Algorithmic Behaviour of Funct blocks)
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity control is
port (
I : in MVL7_VECTOR(3 downto 0);
CCEN_BAR : in MVL7;
CC_BAR : in MVL7;
Rzero_bar : in MVL7;
PL_BAR : out MVL7;
VECT_BAR : out MVL7;
MAP_BAR : out MVL7;
R_sel : out MVL7;
D_sel : out MVL7;
uPC_sel : out MVL7;
stack_sel : out MVL7;
decr : out MVL7;
load : out MVL7;
clear : out MVL7;
push : out MVL7;
pop : out MVL7
);
end control;
architecture control of control is
begin
------------------------------------------------------------------------------
ctrl:block
signal fail : MVL7;
begin
fail <= cc_bar and not(ccen_bar);
D_sel <= '1' WHEN ( I = "0010") or
( Rzero_bar = '1' and I = "1001") or
( Rzero_bar = '0' and fail = '1' and I = "1111") or
( ( fail = '0') and
( ( I = "0001" ) or ( I = "0011" ) or
( I = "0101" ) or ( I = "0110" ) or
( I = "0111" ) or ( I = "1011" )
)
) ELSE
'0';
uPC_sel <= '1' WHEN ( I = "0100" ) or ( I = "1100" ) or ( I = "1110") or
( ( fail = '1') and ( ( I = "0001" ) or ( I = "0011" ) or
( I = "0110" ) or ( I = "1010" ) or
( I = "1011" ) or ( I = "1110" )
)
) or
( ( Rzero_bar = '0') and
( ( I = "1000" ) or ( I = "1001") )
) or
( (fail = '0') and
( ( I = "1111" ) or ( I = "1101") )
) ELSE
'0';
stack_sel <= '1' WHEN ( Rzero_bar = '1' and I = "1000") or
( fail = '0' and I = "1010") or
( fail = '1' and I = "1101") or
( Rzero_bar = '1' and fail = '1' and I = "1111") ELSE
'0';
R_sel <= '1' WHEN (( fail = '1') and (( I = "0101" ) or ( I = "0111"))) ELSE
'0';
push <= '1' WHEN ( (fail = '0') and ( I = "0001") ) or
( I = "0100" ) or
( I = "0101") ELSE
'0';
pop <= '1' WHEN ( (fail = '0') and ( ( I = "1010" ) or ( I = "1011" ) or
( I = "1101" ) or ( I = "1111" )
)
) or
( (Rzero_bar = '0') and
( (I = "1000" ) or ( I = "1111") )
) ELSE
'0';
load <= '1' WHEN ( (I = "1100") or
( I = "0100" and fail = '0')) ELSE
'0';
decr <= '1' WHEN ( (Rzero_bar = '1') and
( (I = "1000" ) or ( I = "1001" ) or ( I = "1111") )
) ELSE
'0';
MAP_BAR <= '0' WHEN I = "0010" ELSE
'1';
VECT_BAR <= '0' WHEN I = "0110" ELSE
'1';
PL_BAR <= '1' WHEN ( I = "0010" ) or ( I = "0110") ELSE
'0';
clear <= '1' WHEN I = "0000" ELSE
'0';
end block ctrl;
------------------------------------------------------------------------------
end control;
| mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/alu/header.vhdl | 1 | 2800 | library IEEE;
use IEEE.std_logic_1164.all;
entity inv is
port(inb: in STD_logic;
outb: out STD_Logic);
end inv;
architecture structure of inv is
begin
outb <= not (inb);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nand2 is
port(a, b: in STD_logic;
outb: out STD_Logic);
end nand2;
architecture structure of nand2 is
begin
outb <= not(a and b);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nand3 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end nand3 ;
architecture structure of nand3 is
begin
outb <= not(a and b and c);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nand4 is
port(a, b, c, d: in STD_logic;
outb: out STD_Logic);
end nand4 ;
architecture structure of nand4 is
begin
outb <= not(a and b and c and d);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nor2 is
port(a, b: in STD_logic;
outb: out STD_Logic);
end nor2 ;
architecture structure of nor2 is
begin
outb <= not(a or b);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nor3 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end nor3 ;
architecture structure of nor3 is
begin
outb <= not(a or b or c);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity xor2 is
port(a, b: in STD_logic;
outb: out STD_Logic);
end xor2 ;
architecture structure of xor2 is
begin
outb <= (a xor b);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity aoi12 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end aoi12 ;
architecture structure of aoi12 is
begin
outb <= not(a or (b and c));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity aoi22 is
port(a, b, c, d: in STD_logic;
outb: out STD_Logic);
end aoi22 ;
architecture structure of aoi22 is
begin
outb <= not((a and b) or (c and d));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity oai12 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end oai12;
architecture structure of oai12 is
begin
outb <= not(a and (b or c));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity oai22 is
port(a, b, c, d: in STD_logic;
outb: out STD_Logic);
end oai22;
architecture structure of oai22 is
begin
outb <= not((a or b) and (c or d));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity dff is
port(d, gclk, rnot: in STD_logic;
q: out STD_Logic);
end dff;
architecture structure of dff is
begin
start: process(gclk,rnot)
begin
if ( rising_edge(gclk) or rnot = '0') then
if (rnot = '0') then
q <= '0';
else
q <= d;
end if;
end if;
end process;
end structure; | mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.cache/ip/2018.2/744763d6063ca1bf/gcd_block_design_auto_pc_1_stub.vhdl | 1 | 5085 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:34:18 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_auto_pc_1_stub.vhdl
-- Design : gcd_block_design_auto_pc_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
begin
end;
| mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.cache/ip/2018.2/48a4617453e14a7a/gcd_block_design_gcd_0_0_sim_netlist.vhdl | 1 | 128679 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 15:49:30 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_gcd_0_0_sim_netlist.vhdl
-- Design : gcd_block_design_gcd_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi is
port (
\out\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC_VECTOR ( 1 downto 0 );
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
interrupt : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
CO : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\b_read_reg_102_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
\a_read_reg_107_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 15 downto 0 );
ap_clk : in STD_LOGIC;
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BREADY : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
\result_reg_56_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
\p_s_reg_45_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi is
signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \FSM_onehot_rstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \FSM_onehot_rstate_reg_n_0_[0]\ : signal is "yes";
signal \FSM_onehot_wstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[3]_i_2_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP of \FSM_onehot_wstate_reg_n_0_[0]\ : signal is "yes";
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^a_read_reg_107_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ar_hs : STD_LOGIC;
signal \^b_read_reg_102_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_a0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_a[15]_i_1_n_0\ : STD_LOGIC;
signal \int_a[15]_i_3_n_0\ : STD_LOGIC;
signal int_ap_done : STD_LOGIC;
signal int_ap_done1 : STD_LOGIC;
signal int_ap_done_i_1_n_0 : STD_LOGIC;
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_start3_out : STD_LOGIC;
signal int_ap_start_i_10_n_0 : STD_LOGIC;
signal int_ap_start_i_1_n_0 : STD_LOGIC;
signal int_ap_start_i_5_n_0 : STD_LOGIC;
signal int_ap_start_i_6_n_0 : STD_LOGIC;
signal int_ap_start_i_7_n_0 : STD_LOGIC;
signal int_ap_start_i_8_n_0 : STD_LOGIC;
signal int_ap_start_i_9_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_2_n_3 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_1 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_2 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_3 : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_auto_restart_i_1_n_0 : STD_LOGIC;
signal int_b0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_b[15]_i_1_n_0\ : STD_LOGIC;
signal int_gie_i_1_n_0 : STD_LOGIC;
signal int_gie_reg_n_0 : STD_LOGIC;
signal \int_ier[0]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_2_n_0\ : STD_LOGIC;
signal \int_ier_reg_n_0_[0]\ : STD_LOGIC;
signal \int_ier_reg_n_0_[1]\ : STD_LOGIC;
signal int_isr6_out : STD_LOGIC;
signal \int_isr[0]_i_1_n_0\ : STD_LOGIC;
signal \int_isr[1]_i_1_n_0\ : STD_LOGIC;
signal \int_isr_reg_n_0_[0]\ : STD_LOGIC;
signal int_pResult : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_pResult_ap_vld : STD_LOGIC;
signal int_pResult_ap_vld1 : STD_LOGIC;
signal int_pResult_ap_vld_i_1_n_0 : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP of \^out\ : signal is "yes";
signal p_1_in : STD_LOGIC;
signal \rdata[0]_i_1_n_0\ : STD_LOGIC;
signal \rdata[0]_i_2_n_0\ : STD_LOGIC;
signal \rdata[0]_i_3_n_0\ : STD_LOGIC;
signal \rdata[0]_i_4_n_0\ : STD_LOGIC;
signal \rdata[10]_i_1_n_0\ : STD_LOGIC;
signal \rdata[11]_i_1_n_0\ : STD_LOGIC;
signal \rdata[12]_i_1_n_0\ : STD_LOGIC;
signal \rdata[13]_i_1_n_0\ : STD_LOGIC;
signal \rdata[14]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_1_n_0\ : STD_LOGIC;
signal \rdata[1]_i_2_n_0\ : STD_LOGIC;
signal \rdata[1]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_4_n_0\ : STD_LOGIC;
signal \rdata[1]_i_5_n_0\ : STD_LOGIC;
signal \rdata[2]_i_1_n_0\ : STD_LOGIC;
signal \rdata[2]_i_2_n_0\ : STD_LOGIC;
signal \rdata[3]_i_1_n_0\ : STD_LOGIC;
signal \rdata[3]_i_2_n_0\ : STD_LOGIC;
signal \rdata[4]_i_1_n_0\ : STD_LOGIC;
signal \rdata[5]_i_1_n_0\ : STD_LOGIC;
signal \rdata[6]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_2_n_0\ : STD_LOGIC;
signal \rdata[8]_i_1_n_0\ : STD_LOGIC;
signal \rdata[9]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \^s_axi_gcd_bus_rvalid\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \^s_axi_gcd_bus_rvalid\ : signal is "yes";
signal waddr : STD_LOGIC;
signal \waddr_reg_n_0_[0]\ : STD_LOGIC;
signal \waddr_reg_n_0_[1]\ : STD_LOGIC;
signal \waddr_reg_n_0_[2]\ : STD_LOGIC;
signal \waddr_reg_n_0_[3]\ : STD_LOGIC;
signal \waddr_reg_n_0_[4]\ : STD_LOGIC;
signal \waddr_reg_n_0_[5]\ : STD_LOGIC;
signal NLW_int_ap_start_reg_i_2_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_int_ap_start_reg_i_2_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_int_ap_start_reg_i_4_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[0]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP : string;
attribute KEEP of \FSM_onehot_rstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[1]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[2]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[0]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[1]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[2]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[3]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[3]\ : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ap_CS_fsm[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \int_a[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[10]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[11]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[12]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[13]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[14]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[15]_i_2\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[3]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[4]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[6]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[7]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[8]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_a[9]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of int_ap_idle_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of int_ap_start_i_3 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_b[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[10]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[11]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[12]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[13]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[14]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[15]_i_2\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[1]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[2]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[3]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[4]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[5]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[8]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_b[9]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_isr[0]_i_2\ : label is "soft_lutpair0";
begin
CO(0) <= \^co\(0);
SR(0) <= \^sr\(0);
\a_read_reg_107_reg[15]\(15 downto 0) <= \^a_read_reg_107_reg[15]\(15 downto 0);
\b_read_reg_102_reg[15]\(15 downto 0) <= \^b_read_reg_102_reg[15]\(15 downto 0);
\out\(2 downto 0) <= \^out\(2 downto 0);
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RVALID(1 downto 0) <= \^s_axi_gcd_bus_rvalid\(1 downto 0);
\FSM_onehot_rstate[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F747"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[1]_i_1_n_0\
);
\FSM_onehot_rstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"88F8"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[2]_i_1_n_0\
);
\FSM_onehot_rstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_rstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_rstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(0),
R => \^sr\(0)
);
\FSM_onehot_rstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"888BFF8B"
)
port map (
I0 => s_axi_gcd_bus_BREADY,
I1 => \^out\(2),
I2 => \^out\(1),
I3 => \^out\(0),
I4 => s_axi_gcd_bus_AWVALID,
O => \FSM_onehot_wstate[1]_i_1_n_0\
);
\FSM_onehot_wstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_AWVALID,
I1 => \^out\(0),
I2 => s_axi_gcd_bus_WVALID,
I3 => \^out\(1),
O => \FSM_onehot_wstate[2]_i_1_n_0\
);
\FSM_onehot_wstate[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ap_rst_n,
O => \^sr\(0)
);
\FSM_onehot_wstate[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_WVALID,
I1 => \^out\(1),
I2 => s_axi_gcd_bus_BREADY,
I3 => \^out\(2),
O => \FSM_onehot_wstate[3]_i_2_n_0\
);
\FSM_onehot_wstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_wstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_wstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[1]_i_1_n_0\,
Q => \^out\(0),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[2]_i_1_n_0\,
Q => \^out\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[3]_i_2_n_0\,
Q => \^out\(2),
R => \^sr\(0)
);
\ap_CS_fsm[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FA30"
)
port map (
I0 => \^co\(0),
I1 => ap_start,
I2 => Q(0),
I3 => Q(2),
O => D(0)
);
\ap_CS_fsm[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => Q(1),
I1 => Q(3),
I2 => Q(0),
I3 => ap_start,
I4 => Q(2),
O => D(1)
);
\b_read_reg_102[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => E(0)
);
\int_a[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(0),
O => int_a0(0)
);
\int_a[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(10),
O => int_a0(10)
);
\int_a[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(11),
O => int_a0(11)
);
\int_a[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(12),
O => int_a0(12)
);
\int_a[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(13),
O => int_a0(13)
);
\int_a[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(14),
O => int_a0(14)
);
\int_a[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => \waddr_reg_n_0_[4]\,
I1 => \int_a[15]_i_3_n_0\,
I2 => \waddr_reg_n_0_[2]\,
I3 => \waddr_reg_n_0_[3]\,
O => \int_a[15]_i_1_n_0\
);
\int_a[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(15),
O => int_a0(15)
);
\int_a[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => \waddr_reg_n_0_[0]\,
I1 => \waddr_reg_n_0_[5]\,
I2 => \^out\(1),
I3 => s_axi_gcd_bus_WVALID,
I4 => \waddr_reg_n_0_[1]\,
O => \int_a[15]_i_3_n_0\
);
\int_a[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(1),
O => int_a0(1)
);
\int_a[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(2),
O => int_a0(2)
);
\int_a[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(3),
O => int_a0(3)
);
\int_a[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(4),
O => int_a0(4)
);
\int_a[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(5),
O => int_a0(5)
);
\int_a[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(6),
O => int_a0(6)
);
\int_a[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(7),
O => int_a0(7)
);
\int_a[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(8),
O => int_a0(8)
);
\int_a[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(9),
O => int_a0(9)
);
\int_a_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(0),
Q => \^a_read_reg_107_reg[15]\(0),
R => \^sr\(0)
);
\int_a_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(10),
Q => \^a_read_reg_107_reg[15]\(10),
R => \^sr\(0)
);
\int_a_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(11),
Q => \^a_read_reg_107_reg[15]\(11),
R => \^sr\(0)
);
\int_a_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(12),
Q => \^a_read_reg_107_reg[15]\(12),
R => \^sr\(0)
);
\int_a_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(13),
Q => \^a_read_reg_107_reg[15]\(13),
R => \^sr\(0)
);
\int_a_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(14),
Q => \^a_read_reg_107_reg[15]\(14),
R => \^sr\(0)
);
\int_a_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(15),
Q => \^a_read_reg_107_reg[15]\(15),
R => \^sr\(0)
);
\int_a_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(1),
Q => \^a_read_reg_107_reg[15]\(1),
R => \^sr\(0)
);
\int_a_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(2),
Q => \^a_read_reg_107_reg[15]\(2),
R => \^sr\(0)
);
\int_a_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(3),
Q => \^a_read_reg_107_reg[15]\(3),
R => \^sr\(0)
);
\int_a_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(4),
Q => \^a_read_reg_107_reg[15]\(4),
R => \^sr\(0)
);
\int_a_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(5),
Q => \^a_read_reg_107_reg[15]\(5),
R => \^sr\(0)
);
\int_a_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(6),
Q => \^a_read_reg_107_reg[15]\(6),
R => \^sr\(0)
);
\int_a_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(7),
Q => \^a_read_reg_107_reg[15]\(7),
R => \^sr\(0)
);
\int_a_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(8),
Q => \^a_read_reg_107_reg[15]\(8),
R => \^sr\(0)
);
\int_a_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(9),
Q => \^a_read_reg_107_reg[15]\(9),
R => \^sr\(0)
);
int_ap_done_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_ap_done1,
I5 => int_ap_done,
O => int_ap_done_i_1_n_0
);
int_ap_done_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(2),
O => int_ap_done1
);
int_ap_done_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_done_i_1_n_0,
Q => int_ap_done,
R => \^sr\(0)
);
int_ap_idle_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => ap_idle
);
int_ap_idle_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_idle,
Q => int_ap_idle,
R => \^sr\(0)
);
int_ap_ready_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^co\(0),
I1 => Q(2),
O => ap_done
);
int_ap_ready_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_done,
Q => int_ap_ready,
R => \^sr\(0)
);
int_ap_start_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFBFFF80"
)
port map (
I0 => int_auto_restart,
I1 => Q(2),
I2 => \^co\(0),
I3 => int_ap_start3_out,
I4 => ap_start,
O => int_ap_start_i_1_n_0
);
int_ap_start_i_10: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(0),
I1 => \p_s_reg_45_reg[15]\(0),
I2 => \p_s_reg_45_reg[15]\(2),
I3 => \result_reg_56_reg[15]\(2),
I4 => \p_s_reg_45_reg[15]\(1),
I5 => \result_reg_56_reg[15]\(1),
O => int_ap_start_i_10_n_0
);
int_ap_start_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"00000800"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[2]\,
I3 => \int_ier[1]_i_2_n_0\,
I4 => \waddr_reg_n_0_[3]\,
O => int_ap_start3_out
);
int_ap_start_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \p_s_reg_45_reg[15]\(15),
I1 => \result_reg_56_reg[15]\(15),
O => int_ap_start_i_5_n_0
);
int_ap_start_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(12),
I1 => \p_s_reg_45_reg[15]\(12),
I2 => \p_s_reg_45_reg[15]\(14),
I3 => \result_reg_56_reg[15]\(14),
I4 => \p_s_reg_45_reg[15]\(13),
I5 => \result_reg_56_reg[15]\(13),
O => int_ap_start_i_6_n_0
);
int_ap_start_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(9),
I1 => \p_s_reg_45_reg[15]\(9),
I2 => \p_s_reg_45_reg[15]\(11),
I3 => \result_reg_56_reg[15]\(11),
I4 => \p_s_reg_45_reg[15]\(10),
I5 => \result_reg_56_reg[15]\(10),
O => int_ap_start_i_7_n_0
);
int_ap_start_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(6),
I1 => \p_s_reg_45_reg[15]\(6),
I2 => \p_s_reg_45_reg[15]\(8),
I3 => \result_reg_56_reg[15]\(8),
I4 => \p_s_reg_45_reg[15]\(7),
I5 => \result_reg_56_reg[15]\(7),
O => int_ap_start_i_8_n_0
);
int_ap_start_i_9: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(3),
I1 => \p_s_reg_45_reg[15]\(3),
I2 => \p_s_reg_45_reg[15]\(5),
I3 => \result_reg_56_reg[15]\(5),
I4 => \p_s_reg_45_reg[15]\(4),
I5 => \result_reg_56_reg[15]\(4),
O => int_ap_start_i_9_n_0
);
int_ap_start_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_start_i_1_n_0,
Q => ap_start,
R => \^sr\(0)
);
int_ap_start_reg_i_2: unisim.vcomponents.CARRY4
port map (
CI => int_ap_start_reg_i_4_n_0,
CO(3 downto 2) => NLW_int_ap_start_reg_i_2_CO_UNCONNECTED(3 downto 2),
CO(1) => \^co\(0),
CO(0) => int_ap_start_reg_i_2_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_2_O_UNCONNECTED(3 downto 0),
S(3 downto 2) => B"00",
S(1) => int_ap_start_i_5_n_0,
S(0) => int_ap_start_i_6_n_0
);
int_ap_start_reg_i_4: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => int_ap_start_reg_i_4_n_0,
CO(2) => int_ap_start_reg_i_4_n_1,
CO(1) => int_ap_start_reg_i_4_n_2,
CO(0) => int_ap_start_reg_i_4_n_3,
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_4_O_UNCONNECTED(3 downto 0),
S(3) => int_ap_start_i_7_n_0,
S(2) => int_ap_start_i_8_n_0,
S(1) => int_ap_start_i_9_n_0,
S(0) => int_ap_start_i_10_n_0
);
int_auto_restart_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => \waddr_reg_n_0_[3]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => s_axi_gcd_bus_WSTRB(0),
I5 => int_auto_restart,
O => int_auto_restart_i_1_n_0
);
int_auto_restart_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_auto_restart_i_1_n_0,
Q => int_auto_restart,
R => \^sr\(0)
);
\int_b[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(0),
O => int_b0(0)
);
\int_b[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(10),
O => int_b0(10)
);
\int_b[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(11),
O => int_b0(11)
);
\int_b[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(12),
O => int_b0(12)
);
\int_b[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(13),
O => int_b0(13)
);
\int_b[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(14),
O => int_b0(14)
);
\int_b[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \waddr_reg_n_0_[3]\,
I1 => \waddr_reg_n_0_[4]\,
I2 => \int_a[15]_i_3_n_0\,
I3 => \waddr_reg_n_0_[2]\,
O => \int_b[15]_i_1_n_0\
);
\int_b[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(15),
O => int_b0(15)
);
\int_b[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(1),
O => int_b0(1)
);
\int_b[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(2),
O => int_b0(2)
);
\int_b[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(3),
O => int_b0(3)
);
\int_b[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(4),
O => int_b0(4)
);
\int_b[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(5),
O => int_b0(5)
);
\int_b[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(6),
O => int_b0(6)
);
\int_b[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(7),
O => int_b0(7)
);
\int_b[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(8),
O => int_b0(8)
);
\int_b[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(9),
O => int_b0(9)
);
\int_b_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(0),
Q => \^b_read_reg_102_reg[15]\(0),
R => \^sr\(0)
);
\int_b_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(10),
Q => \^b_read_reg_102_reg[15]\(10),
R => \^sr\(0)
);
\int_b_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(11),
Q => \^b_read_reg_102_reg[15]\(11),
R => \^sr\(0)
);
\int_b_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(12),
Q => \^b_read_reg_102_reg[15]\(12),
R => \^sr\(0)
);
\int_b_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(13),
Q => \^b_read_reg_102_reg[15]\(13),
R => \^sr\(0)
);
\int_b_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(14),
Q => \^b_read_reg_102_reg[15]\(14),
R => \^sr\(0)
);
\int_b_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(15),
Q => \^b_read_reg_102_reg[15]\(15),
R => \^sr\(0)
);
\int_b_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(1),
Q => \^b_read_reg_102_reg[15]\(1),
R => \^sr\(0)
);
\int_b_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(2),
Q => \^b_read_reg_102_reg[15]\(2),
R => \^sr\(0)
);
\int_b_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(3),
Q => \^b_read_reg_102_reg[15]\(3),
R => \^sr\(0)
);
\int_b_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(4),
Q => \^b_read_reg_102_reg[15]\(4),
R => \^sr\(0)
);
\int_b_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(5),
Q => \^b_read_reg_102_reg[15]\(5),
R => \^sr\(0)
);
\int_b_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(6),
Q => \^b_read_reg_102_reg[15]\(6),
R => \^sr\(0)
);
\int_b_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(7),
Q => \^b_read_reg_102_reg[15]\(7),
R => \^sr\(0)
);
\int_b_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(8),
Q => \^b_read_reg_102_reg[15]\(8),
R => \^sr\(0)
);
\int_b_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(9),
Q => \^b_read_reg_102_reg[15]\(9),
R => \^sr\(0)
);
int_gie_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFFFFFF08000000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[3]\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \int_ier[1]_i_2_n_0\,
I5 => int_gie_reg_n_0,
O => int_gie_i_1_n_0
);
int_gie_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_gie_i_1_n_0,
Q => int_gie_reg_n_0,
R => \^sr\(0)
);
\int_ier[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[0]\,
O => \int_ier[0]_i_1_n_0\
);
\int_ier[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[1]\,
O => \int_ier[1]_i_1_n_0\
);
\int_ier[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000040"
)
port map (
I0 => \waddr_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_WVALID,
I2 => \^out\(1),
I3 => \waddr_reg_n_0_[5]\,
I4 => \waddr_reg_n_0_[0]\,
I5 => \waddr_reg_n_0_[4]\,
O => \int_ier[1]_i_2_n_0\
);
\int_ier_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[0]_i_1_n_0\,
Q => \int_ier_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_ier_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[1]_i_1_n_0\,
Q => \int_ier_reg_n_0_[1]\,
R => \^sr\(0)
);
\int_isr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[0]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => \int_isr_reg_n_0_[0]\,
O => \int_isr[0]_i_1_n_0\
);
\int_isr[0]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => s_axi_gcd_bus_WSTRB(0),
I1 => \waddr_reg_n_0_[2]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[3]\,
O => int_isr6_out
);
\int_isr[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[1]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => p_1_in,
O => \int_isr[1]_i_1_n_0\
);
\int_isr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[0]_i_1_n_0\,
Q => \int_isr_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_isr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[1]_i_1_n_0\,
Q => p_1_in,
R => \^sr\(0)
);
int_pResult_ap_vld_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_pResult_ap_vld1,
I5 => int_pResult_ap_vld,
O => int_pResult_ap_vld_i_1_n_0
);
int_pResult_ap_vld_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000001000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(5),
I3 => s_axi_gcd_bus_ARADDR(2),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(0),
O => int_pResult_ap_vld1
);
int_pResult_ap_vld_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => int_pResult_ap_vld_i_1_n_0,
Q => int_pResult_ap_vld,
R => \^sr\(0)
);
\int_pResult_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(0),
Q => int_pResult(0),
R => \^sr\(0)
);
\int_pResult_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(10),
Q => int_pResult(10),
R => \^sr\(0)
);
\int_pResult_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(11),
Q => int_pResult(11),
R => \^sr\(0)
);
\int_pResult_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(12),
Q => int_pResult(12),
R => \^sr\(0)
);
\int_pResult_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(13),
Q => int_pResult(13),
R => \^sr\(0)
);
\int_pResult_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(14),
Q => int_pResult(14),
R => \^sr\(0)
);
\int_pResult_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(15),
Q => int_pResult(15),
R => \^sr\(0)
);
\int_pResult_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(1),
Q => int_pResult(1),
R => \^sr\(0)
);
\int_pResult_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(2),
Q => int_pResult(2),
R => \^sr\(0)
);
\int_pResult_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(3),
Q => int_pResult(3),
R => \^sr\(0)
);
\int_pResult_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(4),
Q => int_pResult(4),
R => \^sr\(0)
);
\int_pResult_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(5),
Q => int_pResult(5),
R => \^sr\(0)
);
\int_pResult_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(6),
Q => int_pResult(6),
R => \^sr\(0)
);
\int_pResult_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(7),
Q => int_pResult(7),
R => \^sr\(0)
);
\int_pResult_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(8),
Q => int_pResult(8),
R => \^sr\(0)
);
\int_pResult_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(9),
Q => int_pResult(9),
R => \^sr\(0)
);
interrupt_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"E0"
)
port map (
I0 => p_1_in,
I1 => \int_isr_reg_n_0_[0]\,
I2 => int_gie_reg_n_0,
O => interrupt
);
\rdata[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[0]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[0]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(0),
O => \rdata[0]_i_1_n_0\
);
\rdata[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[0]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[0]_i_4_n_0\,
O => \rdata[0]_i_2_n_0\
);
\rdata[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033223000002230"
)
port map (
I0 => int_pResult_ap_vld,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_gie_reg_n_0,
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \int_isr_reg_n_0_[0]\,
O => \rdata[0]_i_3_n_0\
);
\rdata[0]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(0),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => ap_start,
O => \rdata[0]_i_4_n_0\
);
\rdata[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(10),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(10),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(10),
O => \rdata[10]_i_1_n_0\
);
\rdata[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(11),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(11),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(11),
O => \rdata[11]_i_1_n_0\
);
\rdata[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(12),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(12),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(12),
O => \rdata[12]_i_1_n_0\
);
\rdata[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(13),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(13),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(13),
O => \rdata[13]_i_1_n_0\
);
\rdata[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(14),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(14),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(14),
O => \rdata[14]_i_1_n_0\
);
\rdata[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88888880"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(2),
O => \rdata[15]_i_1_n_0\
);
\rdata[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^s_axi_gcd_bus_rvalid\(0),
I1 => s_axi_gcd_bus_ARVALID,
O => ar_hs
);
\rdata[15]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(15),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(15),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(15),
O => \rdata[15]_i_3_n_0\
);
\rdata[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[1]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[1]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(1),
O => \rdata[1]_i_1_n_0\
);
\rdata[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[1]_i_5_n_0\,
O => \rdata[1]_i_2_n_0\
);
\rdata[1]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(4),
I1 => s_axi_gcd_bus_ARADDR(5),
I2 => s_axi_gcd_bus_ARADDR(3),
I3 => p_1_in,
O => \rdata[1]_i_3_n_0\
);
\rdata[1]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(0),
O => \rdata[1]_i_4_n_0\
);
\rdata[1]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_done,
O => \rdata[1]_i_5_n_0\
);
\rdata[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(2),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[2]_i_2_n_0\,
O => \rdata[2]_i_1_n_0\
);
\rdata[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(2),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(2),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_idle,
O => \rdata[2]_i_2_n_0\
);
\rdata[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(3),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[3]_i_2_n_0\,
O => \rdata[3]_i_1_n_0\
);
\rdata[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(3),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(3),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_ready,
O => \rdata[3]_i_2_n_0\
);
\rdata[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(4),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(4),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(4),
O => \rdata[4]_i_1_n_0\
);
\rdata[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(5),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(5),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(5),
O => \rdata[5]_i_1_n_0\
);
\rdata[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(6),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(6),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(6),
O => \rdata[6]_i_1_n_0\
);
\rdata[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(7),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[7]_i_2_n_0\,
O => \rdata[7]_i_1_n_0\
);
\rdata[7]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(7),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(7),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_auto_restart,
O => \rdata[7]_i_2_n_0\
);
\rdata[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(8),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(8),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(8),
O => \rdata[8]_i_1_n_0\
);
\rdata[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(9),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(9),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(9),
O => \rdata[9]_i_1_n_0\
);
\rdata_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[0]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(0),
R => '0'
);
\rdata_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[10]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(10),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[11]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(11),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[12]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(12),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[13]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(13),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[14]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(14),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[15]_i_3_n_0\,
Q => \^s_axi_gcd_bus_rdata\(15),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(1),
R => '0'
);
\rdata_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(2),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[3]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(3),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[4]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(4),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[5]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(5),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[6]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(6),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[7]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(7),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[8]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(8),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[9]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(9),
R => \rdata[15]_i_1_n_0\
);
\waddr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^out\(0),
I1 => s_axi_gcd_bus_AWVALID,
O => waddr
);
\waddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(0),
Q => \waddr_reg_n_0_[0]\,
R => '0'
);
\waddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(1),
Q => \waddr_reg_n_0_[1]\,
R => '0'
);
\waddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(2),
Q => \waddr_reg_n_0_[2]\,
R => '0'
);
\waddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(3),
Q => \waddr_reg_n_0_[3]\,
R => '0'
);
\waddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(4),
Q => \waddr_reg_n_0_[4]\,
R => '0'
);
\waddr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(5),
Q => \waddr_reg_n_0_[5]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd is
port (
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
interrupt : out STD_LOGIC
);
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b1000";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd is
signal \<const0>\ : STD_LOGIC;
signal a : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_fu_78_p21_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_121 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_1210 : STD_LOGIC;
signal \a_assign_reg_121[11]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_3\ : STD_LOGIC;
signal a_read_reg_107 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \ap_CS_fsm_reg_n_0_[0]\ : STD_LOGIC;
signal ap_CS_fsm_state2 : STD_LOGIC;
signal ap_CS_fsm_state3 : STD_LOGIC;
signal ap_CS_fsm_state4 : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ap_NS_fsm1 : STD_LOGIC;
signal ap_rst_n_inv : STD_LOGIC;
signal b : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_fu_84_p20_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_reg_126 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \b_assign_reg_126[11]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_3\ : STD_LOGIC;
signal b_read_reg_102 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_1_in : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_s_reg_45 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \p_s_reg_45[0]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[10]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[11]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[12]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[13]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[14]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_2_n_0\ : STD_LOGIC;
signal \p_s_reg_45[1]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[2]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[3]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[4]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[5]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[6]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[7]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[8]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[9]_i_1_n_0\ : STD_LOGIC;
signal result_reg_56 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \result_reg_56[15]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal tmp_2_fu_66_p2 : STD_LOGIC;
signal tmp_3_fu_72_p2 : STD_LOGIC;
signal tmp_3_reg_115 : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_10_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_11_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_12_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_13_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_14_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_15_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_16_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_17_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_18_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_3_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_4_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_5_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_6_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_7_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_8_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_9_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_3\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODING : string;
attribute FSM_ENCODING of \ap_CS_fsm_reg[0]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[1]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[2]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[3]\ : label is "none";
begin
s_axi_gcd_bus_BRESP(1) <= \<const0>\;
s_axi_gcd_bus_BRESP(0) <= \<const0>\;
s_axi_gcd_bus_RDATA(31) <= \<const0>\;
s_axi_gcd_bus_RDATA(30) <= \<const0>\;
s_axi_gcd_bus_RDATA(29) <= \<const0>\;
s_axi_gcd_bus_RDATA(28) <= \<const0>\;
s_axi_gcd_bus_RDATA(27) <= \<const0>\;
s_axi_gcd_bus_RDATA(26) <= \<const0>\;
s_axi_gcd_bus_RDATA(25) <= \<const0>\;
s_axi_gcd_bus_RDATA(24) <= \<const0>\;
s_axi_gcd_bus_RDATA(23) <= \<const0>\;
s_axi_gcd_bus_RDATA(22) <= \<const0>\;
s_axi_gcd_bus_RDATA(21) <= \<const0>\;
s_axi_gcd_bus_RDATA(20) <= \<const0>\;
s_axi_gcd_bus_RDATA(19) <= \<const0>\;
s_axi_gcd_bus_RDATA(18) <= \<const0>\;
s_axi_gcd_bus_RDATA(17) <= \<const0>\;
s_axi_gcd_bus_RDATA(16) <= \<const0>\;
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RRESP(1) <= \<const0>\;
s_axi_gcd_bus_RRESP(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\a_assign_reg_121[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(11),
I1 => p_s_reg_45(11),
O => \a_assign_reg_121[11]_i_2_n_0\
);
\a_assign_reg_121[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
O => \a_assign_reg_121[11]_i_3_n_0\
);
\a_assign_reg_121[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(9),
I1 => p_s_reg_45(9),
O => \a_assign_reg_121[11]_i_4_n_0\
);
\a_assign_reg_121[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
O => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(15),
I1 => p_s_reg_45(15),
O => \a_assign_reg_121[15]_i_2_n_0\
);
\a_assign_reg_121[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
O => \a_assign_reg_121[15]_i_3_n_0\
);
\a_assign_reg_121[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(13),
I1 => p_s_reg_45(13),
O => \a_assign_reg_121[15]_i_4_n_0\
);
\a_assign_reg_121[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
O => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(3),
I1 => p_s_reg_45(3),
O => \a_assign_reg_121[3]_i_2_n_0\
);
\a_assign_reg_121[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
O => \a_assign_reg_121[3]_i_3_n_0\
);
\a_assign_reg_121[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(1),
I1 => p_s_reg_45(1),
O => \a_assign_reg_121[3]_i_4_n_0\
);
\a_assign_reg_121[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
O => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(7),
I1 => p_s_reg_45(7),
O => \a_assign_reg_121[7]_i_2_n_0\
);
\a_assign_reg_121[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
O => \a_assign_reg_121[7]_i_3_n_0\
);
\a_assign_reg_121[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(5),
I1 => p_s_reg_45(5),
O => \a_assign_reg_121[7]_i_4_n_0\
);
\a_assign_reg_121[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
O => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(0),
Q => a_assign_reg_121(0),
R => '0'
);
\a_assign_reg_121_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(10),
Q => a_assign_reg_121(10),
R => '0'
);
\a_assign_reg_121_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(11),
Q => a_assign_reg_121(11),
R => '0'
);
\a_assign_reg_121_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[11]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[11]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(11 downto 8),
O(3 downto 0) => a_assign_fu_78_p21_out(11 downto 8),
S(3) => \a_assign_reg_121[11]_i_2_n_0\,
S(2) => \a_assign_reg_121[11]_i_3_n_0\,
S(1) => \a_assign_reg_121[11]_i_4_n_0\,
S(0) => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(12),
Q => a_assign_reg_121(12),
R => '0'
);
\a_assign_reg_121_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(13),
Q => a_assign_reg_121(13),
R => '0'
);
\a_assign_reg_121_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(14),
Q => a_assign_reg_121(14),
R => '0'
);
\a_assign_reg_121_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(15),
Q => a_assign_reg_121(15),
R => '0'
);
\a_assign_reg_121_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(3) => \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \a_assign_reg_121_reg[15]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[15]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => result_reg_56(14 downto 12),
O(3 downto 0) => a_assign_fu_78_p21_out(15 downto 12),
S(3) => \a_assign_reg_121[15]_i_2_n_0\,
S(2) => \a_assign_reg_121[15]_i_3_n_0\,
S(1) => \a_assign_reg_121[15]_i_4_n_0\,
S(0) => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(1),
Q => a_assign_reg_121(1),
R => '0'
);
\a_assign_reg_121_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(2),
Q => a_assign_reg_121(2),
R => '0'
);
\a_assign_reg_121_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(3),
Q => a_assign_reg_121(3),
R => '0'
);
\a_assign_reg_121_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[3]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[3]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => result_reg_56(3 downto 0),
O(3 downto 0) => a_assign_fu_78_p21_out(3 downto 0),
S(3) => \a_assign_reg_121[3]_i_2_n_0\,
S(2) => \a_assign_reg_121[3]_i_3_n_0\,
S(1) => \a_assign_reg_121[3]_i_4_n_0\,
S(0) => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(4),
Q => a_assign_reg_121(4),
R => '0'
);
\a_assign_reg_121_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(5),
Q => a_assign_reg_121(5),
R => '0'
);
\a_assign_reg_121_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(6),
Q => a_assign_reg_121(6),
R => '0'
);
\a_assign_reg_121_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(7),
Q => a_assign_reg_121(7),
R => '0'
);
\a_assign_reg_121_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[7]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[7]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(7 downto 4),
O(3 downto 0) => a_assign_fu_78_p21_out(7 downto 4),
S(3) => \a_assign_reg_121[7]_i_2_n_0\,
S(2) => \a_assign_reg_121[7]_i_3_n_0\,
S(1) => \a_assign_reg_121[7]_i_4_n_0\,
S(0) => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(8),
Q => a_assign_reg_121(8),
R => '0'
);
\a_assign_reg_121_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(9),
Q => a_assign_reg_121(9),
R => '0'
);
\a_read_reg_107_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(0),
Q => a_read_reg_107(0),
R => '0'
);
\a_read_reg_107_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(10),
Q => a_read_reg_107(10),
R => '0'
);
\a_read_reg_107_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(11),
Q => a_read_reg_107(11),
R => '0'
);
\a_read_reg_107_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(12),
Q => a_read_reg_107(12),
R => '0'
);
\a_read_reg_107_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(13),
Q => a_read_reg_107(13),
R => '0'
);
\a_read_reg_107_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(14),
Q => a_read_reg_107(14),
R => '0'
);
\a_read_reg_107_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(15),
Q => a_read_reg_107(15),
R => '0'
);
\a_read_reg_107_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(1),
Q => a_read_reg_107(1),
R => '0'
);
\a_read_reg_107_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(2),
Q => a_read_reg_107(2),
R => '0'
);
\a_read_reg_107_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(3),
Q => a_read_reg_107(3),
R => '0'
);
\a_read_reg_107_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(4),
Q => a_read_reg_107(4),
R => '0'
);
\a_read_reg_107_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(5),
Q => a_read_reg_107(5),
R => '0'
);
\a_read_reg_107_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(6),
Q => a_read_reg_107(6),
R => '0'
);
\a_read_reg_107_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(7),
Q => a_read_reg_107(7),
R => '0'
);
\a_read_reg_107_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(8),
Q => a_read_reg_107(8),
R => '0'
);
\a_read_reg_107_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(9),
Q => a_read_reg_107(9),
R => '0'
);
\ap_CS_fsm[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => ap_CS_fsm_state2,
I1 => ap_CS_fsm_state4,
O => ap_NS_fsm(2)
);
\ap_CS_fsm[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => ap_CS_fsm_state3,
I1 => tmp_2_fu_66_p2,
O => a_assign_reg_1210
);
\ap_CS_fsm_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(0),
Q => \ap_CS_fsm_reg_n_0_[0]\,
S => ap_rst_n_inv
);
\ap_CS_fsm_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(1),
Q => ap_CS_fsm_state2,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(2),
Q => ap_CS_fsm_state3,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => a_assign_reg_1210,
Q => ap_CS_fsm_state4,
R => ap_rst_n_inv
);
\b_assign_reg_126[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(11),
I1 => result_reg_56(11),
O => \b_assign_reg_126[11]_i_2_n_0\
);
\b_assign_reg_126[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(10),
I1 => result_reg_56(10),
O => \b_assign_reg_126[11]_i_3_n_0\
);
\b_assign_reg_126[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(9),
I1 => result_reg_56(9),
O => \b_assign_reg_126[11]_i_4_n_0\
);
\b_assign_reg_126[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(8),
I1 => result_reg_56(8),
O => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(15),
I1 => result_reg_56(15),
O => \b_assign_reg_126[15]_i_2_n_0\
);
\b_assign_reg_126[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(14),
I1 => result_reg_56(14),
O => \b_assign_reg_126[15]_i_3_n_0\
);
\b_assign_reg_126[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(13),
I1 => result_reg_56(13),
O => \b_assign_reg_126[15]_i_4_n_0\
);
\b_assign_reg_126[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(12),
I1 => result_reg_56(12),
O => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(3),
I1 => result_reg_56(3),
O => \b_assign_reg_126[3]_i_2_n_0\
);
\b_assign_reg_126[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(2),
I1 => result_reg_56(2),
O => \b_assign_reg_126[3]_i_3_n_0\
);
\b_assign_reg_126[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(1),
I1 => result_reg_56(1),
O => \b_assign_reg_126[3]_i_4_n_0\
);
\b_assign_reg_126[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(0),
I1 => result_reg_56(0),
O => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(7),
I1 => result_reg_56(7),
O => \b_assign_reg_126[7]_i_2_n_0\
);
\b_assign_reg_126[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(6),
I1 => result_reg_56(6),
O => \b_assign_reg_126[7]_i_3_n_0\
);
\b_assign_reg_126[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(5),
I1 => result_reg_56(5),
O => \b_assign_reg_126[7]_i_4_n_0\
);
\b_assign_reg_126[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(4),
I1 => result_reg_56(4),
O => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(0),
Q => b_assign_reg_126(0),
R => '0'
);
\b_assign_reg_126_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(10),
Q => b_assign_reg_126(10),
R => '0'
);
\b_assign_reg_126_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(11),
Q => b_assign_reg_126(11),
R => '0'
);
\b_assign_reg_126_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[11]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[11]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(11 downto 8),
O(3 downto 0) => b_assign_fu_84_p20_out(11 downto 8),
S(3) => \b_assign_reg_126[11]_i_2_n_0\,
S(2) => \b_assign_reg_126[11]_i_3_n_0\,
S(1) => \b_assign_reg_126[11]_i_4_n_0\,
S(0) => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(12),
Q => b_assign_reg_126(12),
R => '0'
);
\b_assign_reg_126_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(13),
Q => b_assign_reg_126(13),
R => '0'
);
\b_assign_reg_126_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(14),
Q => b_assign_reg_126(14),
R => '0'
);
\b_assign_reg_126_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(15),
Q => b_assign_reg_126(15),
R => '0'
);
\b_assign_reg_126_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(3) => \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \b_assign_reg_126_reg[15]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[15]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => p_s_reg_45(14 downto 12),
O(3 downto 0) => b_assign_fu_84_p20_out(15 downto 12),
S(3) => \b_assign_reg_126[15]_i_2_n_0\,
S(2) => \b_assign_reg_126[15]_i_3_n_0\,
S(1) => \b_assign_reg_126[15]_i_4_n_0\,
S(0) => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(1),
Q => b_assign_reg_126(1),
R => '0'
);
\b_assign_reg_126_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(2),
Q => b_assign_reg_126(2),
R => '0'
);
\b_assign_reg_126_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(3),
Q => b_assign_reg_126(3),
R => '0'
);
\b_assign_reg_126_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[3]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[3]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => p_s_reg_45(3 downto 0),
O(3 downto 0) => b_assign_fu_84_p20_out(3 downto 0),
S(3) => \b_assign_reg_126[3]_i_2_n_0\,
S(2) => \b_assign_reg_126[3]_i_3_n_0\,
S(1) => \b_assign_reg_126[3]_i_4_n_0\,
S(0) => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(4),
Q => b_assign_reg_126(4),
R => '0'
);
\b_assign_reg_126_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(5),
Q => b_assign_reg_126(5),
R => '0'
);
\b_assign_reg_126_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(6),
Q => b_assign_reg_126(6),
R => '0'
);
\b_assign_reg_126_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(7),
Q => b_assign_reg_126(7),
R => '0'
);
\b_assign_reg_126_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[7]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[7]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(7 downto 4),
O(3 downto 0) => b_assign_fu_84_p20_out(7 downto 4),
S(3) => \b_assign_reg_126[7]_i_2_n_0\,
S(2) => \b_assign_reg_126[7]_i_3_n_0\,
S(1) => \b_assign_reg_126[7]_i_4_n_0\,
S(0) => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(8),
Q => b_assign_reg_126(8),
R => '0'
);
\b_assign_reg_126_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(9),
Q => b_assign_reg_126(9),
R => '0'
);
\b_read_reg_102_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(0),
Q => b_read_reg_102(0),
R => '0'
);
\b_read_reg_102_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(10),
Q => b_read_reg_102(10),
R => '0'
);
\b_read_reg_102_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(11),
Q => b_read_reg_102(11),
R => '0'
);
\b_read_reg_102_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(12),
Q => b_read_reg_102(12),
R => '0'
);
\b_read_reg_102_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(13),
Q => b_read_reg_102(13),
R => '0'
);
\b_read_reg_102_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(14),
Q => b_read_reg_102(14),
R => '0'
);
\b_read_reg_102_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(15),
Q => b_read_reg_102(15),
R => '0'
);
\b_read_reg_102_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(1),
Q => b_read_reg_102(1),
R => '0'
);
\b_read_reg_102_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(2),
Q => b_read_reg_102(2),
R => '0'
);
\b_read_reg_102_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(3),
Q => b_read_reg_102(3),
R => '0'
);
\b_read_reg_102_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(4),
Q => b_read_reg_102(4),
R => '0'
);
\b_read_reg_102_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(5),
Q => b_read_reg_102(5),
R => '0'
);
\b_read_reg_102_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(6),
Q => b_read_reg_102(6),
R => '0'
);
\b_read_reg_102_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(7),
Q => b_read_reg_102(7),
R => '0'
);
\b_read_reg_102_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(8),
Q => b_read_reg_102(8),
R => '0'
);
\b_read_reg_102_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(9),
Q => b_read_reg_102(9),
R => '0'
);
gcd_gcd_bus_s_axi_U: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi
port map (
CO(0) => tmp_2_fu_66_p2,
D(1 downto 0) => ap_NS_fsm(1 downto 0),
E(0) => ap_NS_fsm1,
Q(3) => ap_CS_fsm_state4,
Q(2) => ap_CS_fsm_state3,
Q(1) => ap_CS_fsm_state2,
Q(0) => \ap_CS_fsm_reg_n_0_[0]\,
SR(0) => ap_rst_n_inv,
\a_read_reg_107_reg[15]\(15 downto 0) => a(15 downto 0),
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
\b_read_reg_102_reg[15]\(15 downto 0) => b(15 downto 0),
interrupt => interrupt,
\out\(2) => s_axi_gcd_bus_BVALID,
\out\(1) => s_axi_gcd_bus_WREADY,
\out\(0) => s_axi_gcd_bus_AWREADY,
\p_s_reg_45_reg[15]\(15 downto 0) => p_s_reg_45(15 downto 0),
\result_reg_56_reg[15]\(15 downto 0) => result_reg_56(15 downto 0),
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_RDATA(15 downto 0) => \^s_axi_gcd_bus_rdata\(15 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RVALID(1) => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_RVALID(0) => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_WDATA(15 downto 0) => s_axi_gcd_bus_WDATA(15 downto 0),
s_axi_gcd_bus_WSTRB(1 downto 0) => s_axi_gcd_bus_WSTRB(1 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
\p_s_reg_45[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(0),
I1 => b_read_reg_102(0),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[0]_i_1_n_0\
);
\p_s_reg_45[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(10),
I1 => b_read_reg_102(10),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[10]_i_1_n_0\
);
\p_s_reg_45[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(11),
I1 => b_read_reg_102(11),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[11]_i_1_n_0\
);
\p_s_reg_45[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(12),
I1 => b_read_reg_102(12),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[12]_i_1_n_0\
);
\p_s_reg_45[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(13),
I1 => b_read_reg_102(13),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[13]_i_1_n_0\
);
\p_s_reg_45[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(14),
I1 => b_read_reg_102(14),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[14]_i_1_n_0\
);
\p_s_reg_45[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"74"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \p_s_reg_45[15]_i_1_n_0\
);
\p_s_reg_45[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(15),
I1 => b_read_reg_102(15),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[15]_i_2_n_0\
);
\p_s_reg_45[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(1),
I1 => b_read_reg_102(1),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[1]_i_1_n_0\
);
\p_s_reg_45[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(2),
I1 => b_read_reg_102(2),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[2]_i_1_n_0\
);
\p_s_reg_45[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(3),
I1 => b_read_reg_102(3),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[3]_i_1_n_0\
);
\p_s_reg_45[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(4),
I1 => b_read_reg_102(4),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[4]_i_1_n_0\
);
\p_s_reg_45[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(5),
I1 => b_read_reg_102(5),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[5]_i_1_n_0\
);
\p_s_reg_45[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(6),
I1 => b_read_reg_102(6),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[6]_i_1_n_0\
);
\p_s_reg_45[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(7),
I1 => b_read_reg_102(7),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[7]_i_1_n_0\
);
\p_s_reg_45[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(8),
I1 => b_read_reg_102(8),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[8]_i_1_n_0\
);
\p_s_reg_45[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(9),
I1 => b_read_reg_102(9),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[9]_i_1_n_0\
);
\p_s_reg_45_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[0]_i_1_n_0\,
Q => p_s_reg_45(0),
R => '0'
);
\p_s_reg_45_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[10]_i_1_n_0\,
Q => p_s_reg_45(10),
R => '0'
);
\p_s_reg_45_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[11]_i_1_n_0\,
Q => p_s_reg_45(11),
R => '0'
);
\p_s_reg_45_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[12]_i_1_n_0\,
Q => p_s_reg_45(12),
R => '0'
);
\p_s_reg_45_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[13]_i_1_n_0\,
Q => p_s_reg_45(13),
R => '0'
);
\p_s_reg_45_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[14]_i_1_n_0\,
Q => p_s_reg_45(14),
R => '0'
);
\p_s_reg_45_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[15]_i_2_n_0\,
Q => p_s_reg_45(15),
R => '0'
);
\p_s_reg_45_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[1]_i_1_n_0\,
Q => p_s_reg_45(1),
R => '0'
);
\p_s_reg_45_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[2]_i_1_n_0\,
Q => p_s_reg_45(2),
R => '0'
);
\p_s_reg_45_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[3]_i_1_n_0\,
Q => p_s_reg_45(3),
R => '0'
);
\p_s_reg_45_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[4]_i_1_n_0\,
Q => p_s_reg_45(4),
R => '0'
);
\p_s_reg_45_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[5]_i_1_n_0\,
Q => p_s_reg_45(5),
R => '0'
);
\p_s_reg_45_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[6]_i_1_n_0\,
Q => p_s_reg_45(6),
R => '0'
);
\p_s_reg_45_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[7]_i_1_n_0\,
Q => p_s_reg_45(7),
R => '0'
);
\p_s_reg_45_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[8]_i_1_n_0\,
Q => p_s_reg_45(8),
R => '0'
);
\p_s_reg_45_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[9]_i_1_n_0\,
Q => p_s_reg_45(9),
R => '0'
);
\result_reg_56[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(0),
I1 => a_read_reg_107(0),
I2 => ap_CS_fsm_state4,
O => p_1_in(0)
);
\result_reg_56[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(10),
I1 => a_read_reg_107(10),
I2 => ap_CS_fsm_state4,
O => p_1_in(10)
);
\result_reg_56[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(11),
I1 => a_read_reg_107(11),
I2 => ap_CS_fsm_state4,
O => p_1_in(11)
);
\result_reg_56[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(12),
I1 => a_read_reg_107(12),
I2 => ap_CS_fsm_state4,
O => p_1_in(12)
);
\result_reg_56[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(13),
I1 => a_read_reg_107(13),
I2 => ap_CS_fsm_state4,
O => p_1_in(13)
);
\result_reg_56[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(14),
I1 => a_read_reg_107(14),
I2 => ap_CS_fsm_state4,
O => p_1_in(14)
);
\result_reg_56[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \result_reg_56[15]_i_1_n_0\
);
\result_reg_56[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(15),
I1 => a_read_reg_107(15),
I2 => ap_CS_fsm_state4,
O => p_1_in(15)
);
\result_reg_56[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(1),
I1 => a_read_reg_107(1),
I2 => ap_CS_fsm_state4,
O => p_1_in(1)
);
\result_reg_56[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(2),
I1 => a_read_reg_107(2),
I2 => ap_CS_fsm_state4,
O => p_1_in(2)
);
\result_reg_56[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(3),
I1 => a_read_reg_107(3),
I2 => ap_CS_fsm_state4,
O => p_1_in(3)
);
\result_reg_56[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(4),
I1 => a_read_reg_107(4),
I2 => ap_CS_fsm_state4,
O => p_1_in(4)
);
\result_reg_56[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(5),
I1 => a_read_reg_107(5),
I2 => ap_CS_fsm_state4,
O => p_1_in(5)
);
\result_reg_56[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(6),
I1 => a_read_reg_107(6),
I2 => ap_CS_fsm_state4,
O => p_1_in(6)
);
\result_reg_56[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(7),
I1 => a_read_reg_107(7),
I2 => ap_CS_fsm_state4,
O => p_1_in(7)
);
\result_reg_56[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(8),
I1 => a_read_reg_107(8),
I2 => ap_CS_fsm_state4,
O => p_1_in(8)
);
\result_reg_56[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(9),
I1 => a_read_reg_107(9),
I2 => ap_CS_fsm_state4,
O => p_1_in(9)
);
\result_reg_56_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(0),
Q => result_reg_56(0),
R => '0'
);
\result_reg_56_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(10),
Q => result_reg_56(10),
R => '0'
);
\result_reg_56_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(11),
Q => result_reg_56(11),
R => '0'
);
\result_reg_56_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(12),
Q => result_reg_56(12),
R => '0'
);
\result_reg_56_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(13),
Q => result_reg_56(13),
R => '0'
);
\result_reg_56_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(14),
Q => result_reg_56(14),
R => '0'
);
\result_reg_56_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(15),
Q => result_reg_56(15),
R => '0'
);
\result_reg_56_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(1),
Q => result_reg_56(1),
R => '0'
);
\result_reg_56_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(2),
Q => result_reg_56(2),
R => '0'
);
\result_reg_56_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(3),
Q => result_reg_56(3),
R => '0'
);
\result_reg_56_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(4),
Q => result_reg_56(4),
R => '0'
);
\result_reg_56_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(5),
Q => result_reg_56(5),
R => '0'
);
\result_reg_56_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(6),
Q => result_reg_56(6),
R => '0'
);
\result_reg_56_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(7),
Q => result_reg_56(7),
R => '0'
);
\result_reg_56_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(8),
Q => result_reg_56(8),
R => '0'
);
\result_reg_56_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(9),
Q => result_reg_56(9),
R => '0'
);
\tmp_3_reg_115[0]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => result_reg_56(9),
I3 => p_s_reg_45(9),
O => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115[0]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => p_s_reg_45(7),
I3 => result_reg_56(7),
O => \tmp_3_reg_115[0]_i_11_n_0\
);
\tmp_3_reg_115[0]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => p_s_reg_45(5),
I3 => result_reg_56(5),
O => \tmp_3_reg_115[0]_i_12_n_0\
);
\tmp_3_reg_115[0]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => p_s_reg_45(3),
I3 => result_reg_56(3),
O => \tmp_3_reg_115[0]_i_13_n_0\
);
\tmp_3_reg_115[0]_i_14\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => p_s_reg_45(1),
I3 => result_reg_56(1),
O => \tmp_3_reg_115[0]_i_14_n_0\
);
\tmp_3_reg_115[0]_i_15\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => result_reg_56(7),
I3 => p_s_reg_45(7),
O => \tmp_3_reg_115[0]_i_15_n_0\
);
\tmp_3_reg_115[0]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => result_reg_56(5),
I3 => p_s_reg_45(5),
O => \tmp_3_reg_115[0]_i_16_n_0\
);
\tmp_3_reg_115[0]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => result_reg_56(3),
I3 => p_s_reg_45(3),
O => \tmp_3_reg_115[0]_i_17_n_0\
);
\tmp_3_reg_115[0]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => result_reg_56(1),
I3 => p_s_reg_45(1),
O => \tmp_3_reg_115[0]_i_18_n_0\
);
\tmp_3_reg_115[0]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => result_reg_56(15),
I3 => p_s_reg_45(15),
O => \tmp_3_reg_115[0]_i_3_n_0\
);
\tmp_3_reg_115[0]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => p_s_reg_45(13),
I3 => result_reg_56(13),
O => \tmp_3_reg_115[0]_i_4_n_0\
);
\tmp_3_reg_115[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => p_s_reg_45(11),
I3 => result_reg_56(11),
O => \tmp_3_reg_115[0]_i_5_n_0\
);
\tmp_3_reg_115[0]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => p_s_reg_45(9),
I3 => result_reg_56(9),
O => \tmp_3_reg_115[0]_i_6_n_0\
);
\tmp_3_reg_115[0]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => p_s_reg_45(15),
I3 => result_reg_56(15),
O => \tmp_3_reg_115[0]_i_7_n_0\
);
\tmp_3_reg_115[0]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => result_reg_56(13),
I3 => p_s_reg_45(13),
O => \tmp_3_reg_115[0]_i_8_n_0\
);
\tmp_3_reg_115[0]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => result_reg_56(11),
I3 => p_s_reg_45(11),
O => \tmp_3_reg_115[0]_i_9_n_0\
);
\tmp_3_reg_115_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => tmp_3_fu_72_p2,
Q => tmp_3_reg_115,
R => '0'
);
\tmp_3_reg_115_reg[0]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(3) => tmp_3_fu_72_p2,
CO(2) => \tmp_3_reg_115_reg[0]_i_1_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_1_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_1_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_3_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_4_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_5_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_6_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_7_n_0\,
S(2) => \tmp_3_reg_115[0]_i_8_n_0\,
S(1) => \tmp_3_reg_115[0]_i_9_n_0\,
S(0) => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(2) => \tmp_3_reg_115_reg[0]_i_2_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_2_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_11_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_12_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_13_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_14_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_15_n_0\,
S(2) => \tmp_3_reg_115[0]_i_16_n_0\,
S(1) => \tmp_3_reg_115[0]_i_17_n_0\,
S(0) => \tmp_3_reg_115[0]_i_18_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_block_design_gcd_0_0,gcd,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute IP_DEFINITION_SOURCE : string;
attribute IP_DEFINITION_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "HLS";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd,Vivado 2018.2";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of inst : label is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of inst : label is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of inst : label is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of inst : label is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of inst : label is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of inst : label is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of inst : label is "4'b1000";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of ap_clk : signal is "xilinx.com:signal:clock:1.0 ap_clk CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of ap_clk : signal is "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF s_axi_gcd_bus, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of ap_rst_n : signal is "xilinx.com:signal:reset:1.0 ap_rst_n RST";
attribute X_INTERFACE_PARAMETER of ap_rst_n : signal is "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}";
attribute X_INTERFACE_INFO of interrupt : signal is "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
attribute X_INTERFACE_PARAMETER of interrupt : signal is "XIL_INTERFACENAME interrupt, SENSITIVITY LEVEL_HIGH, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {INTERRUPT {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, PortWidth 1";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_gcd_bus_RREADY : signal is "XIL_INTERFACENAME s_axi_gcd_bus, ADDR_WIDTH 6, DATA_WIDTH 32, PROTOCOL AXI4LITE, READ_WRITE_MODE READ_WRITE, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 50000000, ID_WIDTH 0, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WSTRB : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd
port map (
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARREADY => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWREADY => s_axi_gcd_bus_AWREADY,
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_BRESP(1 downto 0) => s_axi_gcd_bus_BRESP(1 downto 0),
s_axi_gcd_bus_BVALID => s_axi_gcd_bus_BVALID,
s_axi_gcd_bus_RDATA(31 downto 0) => s_axi_gcd_bus_RDATA(31 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RRESP(1 downto 0) => s_axi_gcd_bus_RRESP(1 downto 0),
s_axi_gcd_bus_RVALID => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_WDATA(31 downto 0) => s_axi_gcd_bus_WDATA(31 downto 0),
s_axi_gcd_bus_WREADY => s_axi_gcd_bus_WREADY,
s_axi_gcd_bus_WSTRB(3 downto 0) => s_axi_gcd_bus_WSTRB(3 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
end STRUCTURE;
| mit |
varunnagpaal/Digital-Hardware-Modelling | tutorials/xilinx/hls/ug871-design-files/Using_IP_with_Zynq/lab1/hls_macc/vhls_prj/solution1/impl/vhdl/hls_macc_mul_32s_bkb.vhd | 3 | 2990 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity hls_macc_mul_32s_bkb_MulnS_0 is
port (
clk: in std_logic;
ce: in std_logic;
a: in std_logic_vector(32 - 1 downto 0);
b: in std_logic_vector(32 - 1 downto 0);
p: out std_logic_vector(32 - 1 downto 0));
end entity;
architecture behav of hls_macc_mul_32s_bkb_MulnS_0 is
signal tmp_product : std_logic_vector(32 - 1 downto 0);
signal a_i : std_logic_vector(32 - 1 downto 0);
signal b_i : std_logic_vector(32 - 1 downto 0);
signal p_tmp : std_logic_vector(32 - 1 downto 0);
signal a_reg0 : std_logic_vector(32 - 1 downto 0);
signal b_reg0 : std_logic_vector(32 - 1 downto 0);
signal buff0 : std_logic_vector(32 - 1 downto 0);
signal buff1 : std_logic_vector(32 - 1 downto 0);
signal buff2 : std_logic_vector(32 - 1 downto 0);
signal buff3 : std_logic_vector(32 - 1 downto 0);
signal buff4 : std_logic_vector(32 - 1 downto 0);
begin
a_i <= a;
b_i <= b;
p <= p_tmp;
p_tmp <= buff4;
tmp_product <= std_logic_vector(resize(unsigned(std_logic_vector(signed(a_reg0) * signed(b_reg0))), 32));
process(clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
a_reg0 <= a_i;
b_reg0 <= b_i;
buff0 <= tmp_product;
buff1 <= buff0;
buff2 <= buff1;
buff3 <= buff2;
buff4 <= buff3;
end if;
end if;
end process;
end architecture;
Library IEEE;
use IEEE.std_logic_1164.all;
entity hls_macc_mul_32s_bkb is
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
ce : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0);
din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0));
end entity;
architecture arch of hls_macc_mul_32s_bkb is
component hls_macc_mul_32s_bkb_MulnS_0 is
port (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR;
b : IN STD_LOGIC_VECTOR;
p : OUT STD_LOGIC_VECTOR);
end component;
begin
hls_macc_mul_32s_bkb_MulnS_0_U : component hls_macc_mul_32s_bkb_MulnS_0
port map (
clk => clk,
ce => ce,
a => din0,
b => din1,
p => dout);
end architecture;
| mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/aluseq/testbench_syn.vhdl | 2 | 2246 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Testbench is
end Testbench;
architecture test of testbench is
signal data_a : std_logic_vector(3 downto 0) := (others => '0'); -- input data A
signal data_b : std_logic_vector(3 downto 0) := (others => '0'); -- input data B
signal data_cin : std_logic := '0'; -- carry in or borrow in
signal ctrl : std_logic_vector(2 downto 0) := (others => '0'); -- control signals
signal data_c : std_logic_vector(3 downto 0) := (others => '0'); -- output data C
signal data_cout : std_logic := '0'; -- carry out or borrow out
signal data_comp : std_logic_vector(1 downto 0) := (others => '0'); -- output comparison
begin
-- create instance of ALU (DUT)
DUT_ALU: entity work.top(SYN_behavioral)
port map ( in_data_a => data_a,
in_data_b => data_b,
in_data_carry => data_cin,
in_ctrl => ctrl,
out_data_c => data_c,
out_data_carry => data_cout,
out_data_comp => data_comp
);
-- test vectors
stimulus : process
begin
-- initialize to 0 to all inputs
data_a <= x"0";
data_b <= x"0";
data_cin <= '0';
ctrl <= "000";
wait for 200 ns;
-- test stimulus 1: c = a, cout = cin
data_a <= x"A";
data_cin <= '1';
ctrl <= "000";
wait for 200 ns;
-- test stimulus 2: {sum, cout} = a+b+cin
data_a <= x"2";
data_b <= x"C";
data_cin <= '1';
ctrl <= "001";
wait for 200 ns;
-- test stimulus 3 : {diff, cout} = a-b-bin
data_a <= x"F";
data_b <= x"C";
data_cin <= '1';
ctrl <= "010";
wait for 200 ns;
-- test stimulus 4: A > B
data_a <= x"B";
data_b <= x"F";
ctrl <= "011";
wait for 200 ns;
-- test stimulus 5: A < B
data_a <= x"A";
data_b <= x"E";
ctrl <= "100";
wait for 200 ns;
-- test stimulus 6 : A == B
data_a <= x"D";
data_b <= x"D";
ctrl <= "101";
wait for 200 ns;
-- test stimulus 7: right shift
data_a <= x"F";
data_b <= x"2";
ctrl <= "110";
wait for 200 ns;
-- test stimulus 8: left shift
data_a <= x"9";
data_b <= x"1";
ctrl <= "111";
wait for 200 ns;
end process stimulus;
end architecture test; | mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/alu/testbench_syn.vhdl | 2 | 2246 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Testbench is
end Testbench;
architecture test of testbench is
signal data_a : std_logic_vector(3 downto 0) := (others => '0'); -- input data A
signal data_b : std_logic_vector(3 downto 0) := (others => '0'); -- input data B
signal data_cin : std_logic := '0'; -- carry in or borrow in
signal ctrl : std_logic_vector(2 downto 0) := (others => '0'); -- control signals
signal data_c : std_logic_vector(3 downto 0) := (others => '0'); -- output data C
signal data_cout : std_logic := '0'; -- carry out or borrow out
signal data_comp : std_logic_vector(1 downto 0) := (others => '0'); -- output comparison
begin
-- create instance of ALU (DUT)
DUT_ALU: entity work.top(SYN_behavioral)
port map ( in_data_a => data_a,
in_data_b => data_b,
in_data_carry => data_cin,
in_ctrl => ctrl,
out_data_c => data_c,
out_data_carry => data_cout,
out_data_comp => data_comp
);
-- test vectors
stimulus : process
begin
-- initialize to 0 to all inputs
data_a <= x"0";
data_b <= x"0";
data_cin <= '0';
ctrl <= "000";
wait for 200 ns;
-- test stimulus 1: c = a, cout = cin
data_a <= x"A";
data_cin <= '1';
ctrl <= "000";
wait for 200 ns;
-- test stimulus 2: {sum, cout} = a+b+cin
data_a <= x"2";
data_b <= x"C";
data_cin <= '1';
ctrl <= "001";
wait for 200 ns;
-- test stimulus 3 : {diff, cout} = a-b-bin
data_a <= x"F";
data_b <= x"C";
data_cin <= '1';
ctrl <= "010";
wait for 200 ns;
-- test stimulus 4: A > B
data_a <= x"B";
data_b <= x"F";
ctrl <= "011";
wait for 200 ns;
-- test stimulus 5: A < B
data_a <= x"A";
data_b <= x"E";
ctrl <= "100";
wait for 200 ns;
-- test stimulus 6 : A == B
data_a <= x"D";
data_b <= x"D";
ctrl <= "101";
wait for 200 ns;
-- test stimulus 7: right shift
data_a <= x"F";
data_b <= x"2";
ctrl <= "110";
wait for 200 ns;
-- test stimulus 8: left shift
data_a <= x"9";
data_b <= x"1";
ctrl <= "111";
wait for 200 ns;
end process stimulus;
end architecture test; | mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir/testbench.vhdl | 1 | 3180 | -- Author: Varun Nagpal
-- Net Id: vxn180010
-- VLSI Design Homework 1
-- 3rd Sept, 2018
--
-- Design: Testbench for the Generic Nth order (L = N+1 taps) Transposed Direct-form FIR-filter
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_signed.all;
use work.fir_filter_shared_package.all;
entity Testbench is
end Testbench;
architecture test of testbench is
-- clock and asynchronous reset
signal clk : std_logic := '1';
signal rst : std_logic := '0';
-- Handshaking interface as source
signal valid_x_out : std_logic := '0';
signal ready_x_in : std_logic;
signal valid_h_out : std_logic := '0';
signal ready_h_in : std_logic;
-- Handshaking interface as sink
signal valid_in : std_logic;
signal ready_out : std_logic := '0';
-- Signals for Input samples & coefficients to filter and reading Output samples of filter
signal x_data_out : signed(X_BIT_SIZE-1 downto 0) := (others => '0');
signal h_data_out : signed(H_BIT_SIZE-1 downto 0) := (others => '0');
signal y_data_in : signed(Y_BIT_SIZE-1 downto 0);
begin
-- Create an instance of the FIR filter
DUT: entity work.fir_generic_transposed_filter(fir_rtl_arch) port map ( clk => clk,
rst => rst,
valid_x_in => valid_x_out,
ready_x_out => ready_x_in,
valid_h_in => valid_h_out,
ready_h_out => ready_h_in,
valid_out => valid_in,
ready_in => ready_out,
x_data_in => x_data_out,
h_data_in => h_data_out,
y_data_out => y_data_in );
-- Clock generation
clk_gen: process
begin
clk <= '0';
wait for CLK_HIGH_TIME;
clk <= '1';
wait for CLK_LOW_TIME;
end process clk_gen;
-- Reset generation
rst <= '1',
'0' after CLK_HIGH_TIME;
valid_h_out <= '0',
'1' after 1 * CLK_CYCLE_TIME,
'0' after 5 * CLK_CYCLE_TIME;
h_data_out <= ( others => '0' ),
( 0 => '1', others => '0' ) after 1 * CLK_CYCLE_TIME,
( others => '0' ) after 5 * CLK_CYCLE_TIME;
valid_x_out <= '0',
'1' after 5 * CLK_CYCLE_TIME;
x_data_out <= ( others => '0' ),
( 0 => '1', others => '0' ) after 5 * CLK_CYCLE_TIME,
( others => '1' ) after 15 * CLK_CYCLE_TIME;
print_messages: process begin
report "ready_h = " & to_string( ready_h_in ) &
" | valid_h = " & to_string( valid_h_out ) &
" | h_data = " & to_string( to_integer( signed( h_data_out ) ) ) &
" | ready_x = " & to_string( ready_x_in ) &
" | valid_x = " & to_string( valid_x_out ) &
" | x_data = " & to_string( to_integer( signed( x_data_out ) ) ) &
" | ready_y = " & to_string( ready_out ) &
" | valid_y = " & to_string( valid_in ) &
" | y_data = " & to_string( to_integer( signed( y_data_in ) ) );
wait for CLK_CYCLE_TIME;
end process print_messages;
stop_sim: process begin
wait for 24*CLK_CYCLE_TIME;
std.env.stop;
end process stop_sim;
end architecture test;
| mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/aluseq/testbench.vhdl | 1 | 4928 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Testbench is
end Testbench;
architecture test of Testbench is
constant W : natural := 4;
constant CLK_CYCLE_TIME : time := 100 ns;
signal sim_end : boolean := false;
signal clk : std_logic := '0';
signal rst : std_logic := '1';
signal data_a : std_logic_vector(W-1 downto 0) := (others => '0'); -- input data A
signal data_b : std_logic_vector(W-1 downto 0) := (others => '0'); -- input data B
signal data_cin : std_logic := '0'; -- carry in or borrow in
signal ctrl : std_logic_vector(3 downto 0) := (others => '0'); -- control signals
signal data_c : std_logic_vector(W-1 downto 0) := (others => '0'); -- output data C
signal data_cout : std_logic := '0'; -- carry out or borrow out
signal data_comp : std_logic_vector(1 downto 0) := (others => '0'); -- output comparison
signal valid : std_logic := '0'; -- output valid
begin
-- create instance of ALU (DUT)
DUT_ALU: entity work.top(rtl)
generic map( W => W )
port map ( clk => clk,
rst => rst,
i_data_a => data_a,
i_data_b => data_b,
i_data_carry => data_cin,
i_ctrl => ctrl,
o_data_c => data_c,
o_data_carry => data_cout,
o_data_comp => data_comp,
o_valid => valid
);
-- Clock generation
clk_gen: process
begin
if( not sim_end ) then
clk <= '0';
wait for CLK_CYCLE_TIME/2;
clk <= '1';
wait for CLK_CYCLE_TIME/2;
else
wait;
end if;
end process clk_gen;
-- Reset generation
rst <= '1',
'0' after 2*CLK_CYCLE_TIME;
-- Apply Stimulus to DUT
stimulus : process
procedure apply_stim( constant A : in natural;
constant B : in natural;
constant CIN: in std_logic;
constant INSTR: in natural;
constant DELAY: in time ) is
begin
data_a <= std_logic_vector( to_unsigned( A, data_a'LENGTH ) );
data_a <= std_logic_vector( to_unsigned( B, data_b'LENGTH ) );
data_cin <= CIN;
ctrl <= std_logic_vector( to_unsigned( INSTR, ctrl'LENGTH ) );
wait for DELAY;
end procedure apply_stim;
begin
-- Initialize all to 0
apply_stim( 0, 0, '0', 0, 2 * CLK_CYCLE_TIME );
--data_a <= x"0";
--data_b <= x"0";
--data_cin <= '0';
--ctrl <= ( others => '0' ); -- NOP0
--wait for 2 * CLK_CYCLE_TIME;
-- test stimulus 1: NOP
--apply_stim( x"A", x"2", '0', x"F", CLK_CYCLE_TIME );
data_a <= x"A";
data_b <= x"2";
ctrl <= ( others => '1' ); -- NOPF
wait for CLK_CYCLE_TIME;
-- test stimulus 2: {sum, cout} = a+b+cin
data_a <= x"2";
data_b <= x"C";
data_cin <= '1';
ctrl <= "0001";
wait for CLK_CYCLE_TIME;
-- test stimulus 3 : {diff, cout} = a-b-bin
data_a <= x"F";
data_b <= x"C";
data_cin <= '1';
ctrl <= "0010";
wait for CLK_CYCLE_TIME;
-- test stimulus 4: A > B
data_a <= x"B";
data_b <= x"F";
ctrl <= "0011";
wait for CLK_CYCLE_TIME;
-- test stimulus 5: A < B
data_a <= x"A";
data_b <= x"E";
ctrl <= "0100";
wait for CLK_CYCLE_TIME;
-- test stimulus 6 : A == B
data_a <= x"D";
data_b <= x"D";
ctrl <= "0101";
wait for CLK_CYCLE_TIME;
-- test stimulus 7: right shift
data_a <= x"F";
data_b <= x"2";
ctrl <= "0110";
wait for CLK_CYCLE_TIME;
-- test stimulus 8: left shift
data_a <= x"9";
data_b <= x"1";
ctrl <= "0111";
wait for CLK_CYCLE_TIME;
-- NOP
data_a <= x"0";
data_b <= x"0";
data_cin <= '0';
ctrl <= ( others => '0' ); -- NOP0
wait for 4 * CLK_CYCLE_TIME;
sim_end <= true;
wait;
end process stimulus;
-- Check response of the DUT
checker: process
procedure check_resp( constant EXP_C : in natural;
constant EXP_COUT: in std_logic;
constant EXP_COMP : in natural;
constant DELAY: in time ) is
variable res_c: natural;
variable res_cout: std_logic;
variable res_comp: natural;
variable res_valid: std_logic;
begin
-- TBU
wait for DELAY;
end procedure check_resp;
begin
wait;
end process checker;
end architecture test; | mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.cache/ip/2018.2/0141074d64e361c1/gcd_block_design_processing_system7_0_0_stub.vhdl | 1 | 5663 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 15:49:39 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_processing_system7_0_0_stub.vhdl
-- Design : gcd_block_design_processing_system7_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "USB0_PORT_INDCTL[1:0],USB0_VBUS_PWRSELECT,USB0_VBUS_PWRFAULT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],IRQ_F2P[0:0],FCLK_CLK0,FCLK_RESET0_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
begin
end;
| mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir_picoblaze/top_testbench.vhdl | 1 | 2072 | -- Author: Varun Nagpal
-- Net Id: vxn180010
-- Microprocessor Systems Project
-- December, 6th 2018
--
-- Design: Testbench for the Generic Nth order (L = N+1 taps) Transposed Direct-form FIR-filter
-- controlled using Xilinx Picoblaze processor and whose output is displayed on seven segment
-- display
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_signed.all;
use work.fir_filter_shared_package.all;
use work.ssg_display_shared_package.all;
entity top_testbench is
end top_testbench;
architecture top_test of top_testbench is
component top is
port (clk : in std_logic;
rst : in std_logic;
out_seg_p : out SEG_T;
out_dp_p : out std_logic;
out_digits_en_p : out DIGITS_EN_T
);
end component top;
-- clock and asynchronous reset
signal clk : std_logic := '0';
signal rst : std_logic := '1';
signal seg_sig : SEG_T := (others => DISABLE_SEG);
signal dp_out_sig : std_logic := DISABLE_DP;
signal digits_enable_sig : DIGITS_EN_T := (others => DISABLE_DIGIT);
begin
-- Create an instance of the FIR filter controlled
-- using Xilinx Picoblaze and whose output is displayed
-- using seven segment display
DUT: top
port map ( clk => clk,
rst => rst,
out_seg_p => seg_sig,
out_dp_p => dp_out_sig,
out_digits_en_p => digits_enable_sig
);
-- Clock generation
clk_gen: process
begin
clk <= '0';
wait for CLK_LOW_TIME;
clk <= '1';
wait for CLK_HIGH_TIME;
end process clk_gen;
-- Reset generation
rst <= '1',
'0' after CLK_CYCLE_TIME;
process
begin
wait for 100 * CLK_CYCLE_TIME;
end process;
-- print_messages: process begin
-- end process print_messages;
-- stop_sim: process begin
-- wait for 24*CLK_CYCLE_TIME;
-- std.env.stop;
-- end process stop_sim;
end architecture top_test;
| mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.cache/ip/2018.2/5aea95b49c8de87e/design_1_rst_ps7_0_50M_0_sim_netlist.vhdl | 1 | 35448 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 04:56:41 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_rst_ps7_0_50M_0_sim_netlist.vhdl
-- Design : design_1_rst_ps7_0_50M_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
port (
lpf_asr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_asr : in STD_LOGIC;
p_1_in : in STD_LOGIC;
p_2_in : in STD_LOGIC;
asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 );
aux_reset_in : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
signal asr_d1 : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => asr_d1,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aux_reset_in,
O => asr_d1
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_asr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_asr,
I1 => p_1_in,
I2 => p_2_in,
I3 => \^scndry_out\,
I4 => asr_lpf(0),
O => lpf_asr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
port (
lpf_exr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_exr : in STD_LOGIC;
p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 );
mb_debug_sys_rst : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 : entity is "cdc_sync";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
signal exr_d1 : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => exr_d1,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => mb_debug_sys_rst,
I1 => ext_reset_in,
O => exr_d1
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_exr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_exr,
I1 => p_3_out(1),
I2 => p_3_out(2),
I3 => \^scndry_out\,
I4 => p_3_out(0),
O => lpf_exr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
port (
Q : out STD_LOGIC_VECTOR ( 5 downto 0 );
seq_clr : in STD_LOGIC;
seq_cnt_en : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 );
signal clear : STD_LOGIC;
signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0";
begin
Q(5 downto 0) <= \^q\(5 downto 0);
\q_int[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => q_int0(0)
);
\q_int[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => q_int0(1)
);
\q_int[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => \^q\(2),
O => q_int0(2)
);
\q_int[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => q_int0(3)
);
\q_int[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => q_int0(4)
);
\q_int[5]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => seq_clr,
O => clear
);
\q_int[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(4),
I5 => \^q\(5),
O => q_int0(5)
);
\q_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(0),
Q => \^q\(0),
R => clear
);
\q_int_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(1),
Q => \^q\(1),
R => clear
);
\q_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(2),
Q => \^q\(2),
R => clear
);
\q_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(3),
Q => \^q\(3),
R => clear
);
\q_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(4),
Q => \^q\(4),
R => clear
);
\q_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(5),
Q => \^q\(5),
R => clear
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
port (
lpf_int : out STD_LOGIC;
slowest_sync_clk : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC;
signal \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\ : STD_LOGIC;
signal Q : STD_LOGIC;
signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 );
signal lpf_asr : STD_LOGIC;
signal lpf_exr : STD_LOGIC;
signal \lpf_int0__0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal p_2_in : STD_LOGIC;
signal p_3_in1_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16";
attribute box_type : string;
attribute box_type of POR_SRL_I : label is "PRIMITIVE";
attribute srl_name : string;
attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I ";
begin
\ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync
port map (
asr_lpf(0) => asr_lpf(0),
aux_reset_in => aux_reset_in,
lpf_asr => lpf_asr,
lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
p_1_in => p_1_in,
p_2_in => p_2_in,
scndry_out => p_3_in1_in,
slowest_sync_clk => slowest_sync_clk
);
\ACTIVE_LOW_EXT.ACT_LO_EXT\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0
port map (
ext_reset_in => ext_reset_in,
lpf_exr => lpf_exr,
lpf_exr_reg => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\,
mb_debug_sys_rst => mb_debug_sys_rst,
p_3_out(2 downto 0) => p_3_out(2 downto 0),
scndry_out => p_3_out(3),
slowest_sync_clk => slowest_sync_clk
);
\AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_in1_in,
Q => p_2_in,
R => '0'
);
\AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_2_in,
Q => p_1_in,
R => '0'
);
\AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_1_in,
Q => asr_lpf(0),
R => '0'
);
\EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(3),
Q => p_3_out(2),
R => '0'
);
\EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => p_3_out(1),
R => '0'
);
\EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(1),
Q => p_3_out(0),
R => '0'
);
POR_SRL_I: unisim.vcomponents.SRL16E
generic map(
INIT => X"FFFF"
)
port map (
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
CE => '1',
CLK => slowest_sync_clk,
D => '0',
Q => Q
);
lpf_asr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
Q => lpf_asr,
R => '0'
);
lpf_exr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\,
Q => lpf_exr,
R => '0'
);
lpf_int0: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFD"
)
port map (
I0 => dcm_locked,
I1 => lpf_exr,
I2 => lpf_asr,
I3 => Q,
O => \lpf_int0__0\
);
lpf_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \lpf_int0__0\,
Q => lpf_int,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
port (
MB_out : out STD_LOGIC;
Bsr_out : out STD_LOGIC;
Pr_out : out STD_LOGIC;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : out STD_LOGIC;
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : out STD_LOGIC;
lpf_int : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
signal \^bsr_out\ : STD_LOGIC;
signal Core_i_1_n_0 : STD_LOGIC;
signal \^mb_out\ : STD_LOGIC;
signal \^pr_out\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal bsr_i_1_n_0 : STD_LOGIC;
signal \core_dec[0]_i_1_n_0\ : STD_LOGIC;
signal \core_dec[2]_i_1_n_0\ : STD_LOGIC;
signal \core_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \core_dec_reg_n_0_[1]\ : STD_LOGIC;
signal from_sys_i_1_n_0 : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \pr_dec0__0\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal pr_i_1_n_0 : STD_LOGIC;
signal seq_clr : STD_LOGIC;
signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 );
signal seq_cnt_en : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4";
begin
Bsr_out <= \^bsr_out\;
MB_out <= \^mb_out\;
Pr_out <= \^pr_out\;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^bsr_out\,
O => \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^pr_out\,
O => \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\
);
Core_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^mb_out\,
I1 => p_0_in,
O => Core_i_1_n_0
);
Core_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Core_i_1_n_0,
Q => \^mb_out\,
S => lpf_int
);
SEQ_COUNTER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n
port map (
Q(5 downto 0) => seq_cnt(5 downto 0),
seq_clr => seq_clr,
seq_cnt_en => seq_cnt_en,
slowest_sync_clk => slowest_sync_clk
);
\bsr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0090"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(4),
I2 => seq_cnt(3),
I3 => seq_cnt(5),
O => p_5_out(0)
);
\bsr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \bsr_dec_reg_n_0_[0]\,
O => p_5_out(2)
);
\bsr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(0),
Q => \bsr_dec_reg_n_0_[0]\,
R => '0'
);
\bsr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(2),
Q => \bsr_dec_reg_n_0_[2]\,
R => '0'
);
bsr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^bsr_out\,
I1 => \bsr_dec_reg_n_0_[2]\,
O => bsr_i_1_n_0
);
bsr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => bsr_i_1_n_0,
Q => \^bsr_out\,
S => lpf_int
);
\core_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9000"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(4),
I2 => seq_cnt(3),
I3 => seq_cnt(5),
O => \core_dec[0]_i_1_n_0\
);
\core_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \core_dec_reg_n_0_[0]\,
O => \core_dec[2]_i_1_n_0\
);
\core_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[0]_i_1_n_0\,
Q => \core_dec_reg_n_0_[0]\,
R => '0'
);
\core_dec_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \pr_dec0__0\,
Q => \core_dec_reg_n_0_[1]\,
R => '0'
);
\core_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[2]_i_1_n_0\,
Q => p_0_in,
R => '0'
);
from_sys_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^mb_out\,
I1 => seq_cnt_en,
O => from_sys_i_1_n_0
);
from_sys_reg: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => from_sys_i_1_n_0,
Q => seq_cnt_en,
S => lpf_int
);
pr_dec0: unisim.vcomponents.LUT4
generic map(
INIT => X"0018"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(0),
I2 => seq_cnt(2),
I3 => seq_cnt(1),
O => \pr_dec0__0\
);
\pr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0480"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(3),
I2 => seq_cnt(5),
I3 => seq_cnt(4),
O => p_3_out(0)
);
\pr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \pr_dec_reg_n_0_[0]\,
O => p_3_out(2)
);
\pr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(0),
Q => \pr_dec_reg_n_0_[0]\,
R => '0'
);
\pr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => \pr_dec_reg_n_0_[2]\,
R => '0'
);
pr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^pr_out\,
I1 => \pr_dec_reg_n_0_[2]\,
O => pr_i_1_n_0
);
pr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => pr_i_1_n_0,
Q => \^pr_out\,
S => lpf_int
);
seq_clr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => '1',
Q => seq_clr,
R => lpf_int
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
signal Bsr_out : STD_LOGIC;
signal MB_out : STD_LOGIC;
signal Pr_out : STD_LOGIC;
signal SEQ_n_3 : STD_LOGIC;
signal SEQ_n_4 : STD_LOGIC;
signal lpf_int : STD_LOGIC;
attribute box_type : string;
attribute box_type of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : label is "PRIMITIVE";
attribute box_type of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : label is "PRIMITIVE";
attribute box_type of \BSR_OUT_DFF[0].FDRE_BSR\ : label is "PRIMITIVE";
attribute box_type of FDRE_inst : label is "PRIMITIVE";
attribute box_type of \PR_OUT_DFF[0].FDRE_PER\ : label is "PRIMITIVE";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of bus_struct_reset : signal is "no";
attribute equivalent_register_removal of interconnect_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_reset : signal is "no";
begin
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_3,
Q => interconnect_aresetn(0),
R => '0'
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_4,
Q => peripheral_aresetn(0),
R => '0'
);
\BSR_OUT_DFF[0].FDRE_BSR\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Bsr_out,
Q => bus_struct_reset(0),
R => '0'
);
EXT_LPF: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf
port map (
aux_reset_in => aux_reset_in,
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
lpf_int => lpf_int,
mb_debug_sys_rst => mb_debug_sys_rst,
slowest_sync_clk => slowest_sync_clk
);
FDRE_inst: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => MB_out,
Q => mb_reset,
R => '0'
);
\PR_OUT_DFF[0].FDRE_PER\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Pr_out,
Q => peripheral_reset(0),
R => '0'
);
SEQ: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr
port map (
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ => SEQ_n_3,
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ => SEQ_n_4,
Bsr_out => Bsr_out,
MB_out => MB_out,
Pr_out => Pr_out,
lpf_int => lpf_int,
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "design_1_rst_ps7_0_50M_0,proc_sys_reset,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "proc_sys_reset,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of U0 : label is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of U0 : label is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of U0 : label is "1'b0";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of U0 : label is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of U0 : label is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of U0 : label is 1;
attribute x_interface_info : string;
attribute x_interface_info of aux_reset_in : signal is "xilinx.com:signal:reset:1.0 aux_reset RST";
attribute x_interface_parameter : string;
attribute x_interface_parameter of aux_reset_in : signal is "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
attribute x_interface_info of ext_reset_in : signal is "xilinx.com:signal:reset:1.0 ext_reset RST";
attribute x_interface_parameter of ext_reset_in : signal is "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_LOW";
attribute x_interface_info of mb_debug_sys_rst : signal is "xilinx.com:signal:reset:1.0 dbg_reset RST";
attribute x_interface_parameter of mb_debug_sys_rst : signal is "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
attribute x_interface_info of mb_reset : signal is "xilinx.com:signal:reset:1.0 mb_rst RST";
attribute x_interface_parameter of mb_reset : signal is "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
attribute x_interface_info of slowest_sync_clk : signal is "xilinx.com:signal:clock:1.0 clock CLK";
attribute x_interface_parameter of slowest_sync_clk : signal is "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0";
attribute x_interface_info of bus_struct_reset : signal is "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
attribute x_interface_parameter of bus_struct_reset : signal is "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
attribute x_interface_info of interconnect_aresetn : signal is "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
attribute x_interface_parameter of interconnect_aresetn : signal is "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute x_interface_info of peripheral_aresetn : signal is "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
attribute x_interface_parameter of peripheral_aresetn : signal is "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
attribute x_interface_info of peripheral_reset : signal is "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
attribute x_interface_parameter of peripheral_reset : signal is "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset
port map (
aux_reset_in => aux_reset_in,
bus_struct_reset(0) => bus_struct_reset(0),
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
interconnect_aresetn(0) => interconnect_aresetn(0),
mb_debug_sys_rst => mb_debug_sys_rst,
mb_reset => mb_reset,
peripheral_aresetn(0) => peripheral_aresetn(0),
peripheral_reset(0) => peripheral_reset(0),
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
| mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ip/design_1_processing_system7_0_2/design_1_processing_system7_0_2_stub.vhdl | 1 | 5597 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 05:33:33 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top design_1_processing_system7_0_2 -prefix
-- design_1_processing_system7_0_2_ design_1_processing_system7_0_2_stub.vhdl
-- Design : design_1_processing_system7_0_2
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity design_1_processing_system7_0_2 is
Port (
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end design_1_processing_system7_0_2;
architecture stub of design_1_processing_system7_0_2 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "USB0_PORT_INDCTL[1:0],USB0_VBUS_PWRSELECT,USB0_VBUS_PWRFAULT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],IRQ_F2P[0:0],FCLK_CLK0,FCLK_RESET0_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
begin
end;
| mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado-hls/gcd/solution1/impl/vhdl/gcd.vhd | 3 | 12561 | -- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity gcd is
generic (
C_S_AXI_GCD_BUS_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_GCD_BUS_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_gcd_bus_AWVALID : IN STD_LOGIC;
s_axi_gcd_bus_AWREADY : OUT STD_LOGIC;
s_axi_gcd_bus_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_ADDR_WIDTH-1 downto 0);
s_axi_gcd_bus_WVALID : IN STD_LOGIC;
s_axi_gcd_bus_WREADY : OUT STD_LOGIC;
s_axi_gcd_bus_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_DATA_WIDTH-1 downto 0);
s_axi_gcd_bus_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_DATA_WIDTH/8-1 downto 0);
s_axi_gcd_bus_ARVALID : IN STD_LOGIC;
s_axi_gcd_bus_ARREADY : OUT STD_LOGIC;
s_axi_gcd_bus_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_ADDR_WIDTH-1 downto 0);
s_axi_gcd_bus_RVALID : OUT STD_LOGIC;
s_axi_gcd_bus_RREADY : IN STD_LOGIC;
s_axi_gcd_bus_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_DATA_WIDTH-1 downto 0);
s_axi_gcd_bus_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_gcd_bus_BVALID : OUT STD_LOGIC;
s_axi_gcd_bus_BREADY : IN STD_LOGIC;
s_axi_gcd_bus_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of gcd is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"gcd,hls_ip_2018_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=1,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=3.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.429000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=203,HLS_SYN_LUT=285,HLS_VERSION=2018_2}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (3 downto 0) := "0001";
constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (3 downto 0) := "0010";
constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (3 downto 0) := "0100";
constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (3 downto 0) := "1000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_boolean_1 : BOOLEAN := true;
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal ap_ready : STD_LOGIC;
signal a : STD_LOGIC_VECTOR (15 downto 0);
signal b : STD_LOGIC_VECTOR (15 downto 0);
signal pResult_ap_vld : STD_LOGIC;
signal b_read_reg_102 : STD_LOGIC_VECTOR (15 downto 0);
signal a_read_reg_107 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_3_fu_72_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_3_reg_115 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_CS_fsm_state3 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none";
signal tmp_2_fu_66_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal a_assign_fu_78_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal a_assign_reg_121 : STD_LOGIC_VECTOR (15 downto 0);
signal b_assign_fu_84_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal b_assign_reg_126 : STD_LOGIC_VECTOR (15 downto 0);
signal b_assign_1_fu_90_p3 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_CS_fsm_state4 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none";
signal a_assign_1_fu_96_p3 : STD_LOGIC_VECTOR (15 downto 0);
signal p_s_reg_45 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_CS_fsm_state2 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none";
signal result_reg_56 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0);
component gcd_gcd_bus_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
a : OUT STD_LOGIC_VECTOR (15 downto 0);
b : OUT STD_LOGIC_VECTOR (15 downto 0);
pResult : IN STD_LOGIC_VECTOR (15 downto 0);
pResult_ap_vld : IN STD_LOGIC );
end component;
begin
gcd_gcd_bus_s_axi_U : component gcd_gcd_bus_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_GCD_BUS_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_GCD_BUS_DATA_WIDTH)
port map (
AWVALID => s_axi_gcd_bus_AWVALID,
AWREADY => s_axi_gcd_bus_AWREADY,
AWADDR => s_axi_gcd_bus_AWADDR,
WVALID => s_axi_gcd_bus_WVALID,
WREADY => s_axi_gcd_bus_WREADY,
WDATA => s_axi_gcd_bus_WDATA,
WSTRB => s_axi_gcd_bus_WSTRB,
ARVALID => s_axi_gcd_bus_ARVALID,
ARREADY => s_axi_gcd_bus_ARREADY,
ARADDR => s_axi_gcd_bus_ARADDR,
RVALID => s_axi_gcd_bus_RVALID,
RREADY => s_axi_gcd_bus_RREADY,
RDATA => s_axi_gcd_bus_RDATA,
RRESP => s_axi_gcd_bus_RRESP,
BVALID => s_axi_gcd_bus_BVALID,
BREADY => s_axi_gcd_bus_BREADY,
BRESP => s_axi_gcd_bus_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ap_const_logic_1,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
a => a,
b => b,
pResult => p_s_reg_45,
pResult_ap_vld => pResult_ap_vld);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
p_s_reg_45_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
p_s_reg_45 <= b_assign_1_fu_90_p3;
elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then
p_s_reg_45 <= b_read_reg_102;
end if;
end if;
end process;
result_reg_56_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
result_reg_56 <= a_assign_1_fu_96_p3;
elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then
result_reg_56 <= a_read_reg_107;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_2_fu_66_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
a_assign_reg_121 <= a_assign_fu_78_p2;
b_assign_reg_126 <= b_assign_fu_84_p2;
tmp_3_reg_115 <= tmp_3_fu_72_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
a_read_reg_107 <= a;
b_read_reg_102 <= b;
end if;
end if;
end process;
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_state2;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_state2 =>
ap_NS_fsm <= ap_ST_fsm_state3;
when ap_ST_fsm_state3 =>
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
ap_NS_fsm <= ap_ST_fsm_state1;
else
ap_NS_fsm <= ap_ST_fsm_state4;
end if;
when ap_ST_fsm_state4 =>
ap_NS_fsm <= ap_ST_fsm_state3;
when others =>
ap_NS_fsm <= "XXXX";
end case;
end process;
a_assign_1_fu_96_p3 <=
a_assign_reg_121 when (tmp_3_reg_115(0) = '1') else
result_reg_56;
a_assign_fu_78_p2 <= std_logic_vector(unsigned(result_reg_56) - unsigned(p_s_reg_45));
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state2 <= ap_CS_fsm(1);
ap_CS_fsm_state3 <= ap_CS_fsm(2);
ap_CS_fsm_state4 <= ap_CS_fsm(3);
ap_done_assign_proc : process(ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
b_assign_1_fu_90_p3 <=
p_s_reg_45 when (tmp_3_reg_115(0) = '1') else
b_assign_reg_126;
b_assign_fu_84_p2 <= std_logic_vector(unsigned(p_s_reg_45) - unsigned(result_reg_56));
pResult_ap_vld_assign_proc : process(ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
pResult_ap_vld <= ap_const_logic_1;
else
pResult_ap_vld <= ap_const_logic_0;
end if;
end process;
tmp_2_fu_66_p2 <= "1" when (result_reg_56 = p_s_reg_45) else "0";
tmp_3_fu_72_p2 <= "1" when (signed(result_reg_56) > signed(p_s_reg_45)) else "0";
end behav;
| mit |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir/fir_filter_shared_package.vhdl | 1 | 2943 | -- Author: Varun Nagpal
-- Net Id: vxn180010
-- VLSI Design Homework 1
-- 3rd Sept, 2018
--
-- Package: Modifiable Paramaters, non-modifiable constants and types (ports)
-- for the Generic Nth order (L = N+1 taps) Transposed Direct-form FIR-filter
--
-- Modifiable variables for Design of the FIR Filter:
-- FIR_ORDER = order of the filter (N). Note L = N+1 = taps
-- X_BIT_SIZE = bit width (n) of input samples (signed 2's complement)
-- H_BIT_SIZE = bit width (m) of coefficients (signed 2's complement)
--
-- Modifiable variables for testbench of the FIR Filter:
-- CLK_CYCLE_TIME = clock cycle time
-- CLK_HIGH_TIME = time for which clock is high
--
-- All remaining parameters in the package are non-modifiable constants which
-- must not be modified manually as there values are calculated during using values
-- of modifiable variables during compilation of VHDL files
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
package fir_filter_shared_package is
-- modifiable variables for design of FIR filter
constant FIR_ORDER : natural := 3; -- order of the filter (N). Note L = N+1 = taps
constant X_BIT_SIZE : natural := 16; -- bit width (n) of input samples (signed 2's complement)
constant H_BIT_SIZE : natural := 16; -- bit width (m) of coefficients (signed 2's complement)
-- modifiable variables for testbench of FIR filter
constant CLK_CYCLE_TIME : time := 100 ns;
constant CLK_HIGH_TIME : time := 50 ns;
-- modifiable constants for testbench of FIR filter
constant CLK_LOW_TIME : time := CLK_CYCLE_TIME - CLK_HIGH_TIME;
-- non-modifiable constants
constant MULT_BIT_SIZE : natural := X_BIT_SIZE+H_BIT_SIZE; -- bit width (n+m) of signed multiplier
constant EXTR_BIT_SIZE : natural := natural(ceil(log2(real(FIR_ORDER+1))))-1; -- extra bits for accumulation = ceil(log2(L))-1
constant Y_BIT_SIZE : natural := MULT_BIT_SIZE+EXTR_BIT_SIZE; -- bit width of output samples (signed 2's complement) or signed adder
-- N = no. of register delays or additions
subtype ADD_REG_TYPE is signed(Y_BIT_SIZE-1 downto 0);
type ADD_REG_ARRAY is array (0 to FIR_ORDER) of ADD_REG_TYPE;
-- L = N+1 no. of taps or coefficients or multiplications
subtype MULT_SIG_TYPE is signed(MULT_BIT_SIZE-1 downto 0);
type MULT_SIG_ARRAY is array (0 to FIR_ORDER) of MULT_SIG_TYPE;
subtype COEFF_REG_TYPE is signed(H_BIT_SIZE-1 downto 0);
type COEFF_REG_ARRAY is array (0 to FIR_ORDER) of COEFF_REG_TYPE;
end fir_filter_shared_package;
package body fir_filter_shared_package is
-- empty
end fir_filter_shared_package; | mit |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado-hls/gcd/solution1/impl/vhdl/gcd_gcd_bus_s_axi.vhd | 3 | 16375 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity gcd_gcd_bus_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
a :out STD_LOGIC_VECTOR(15 downto 0);
b :out STD_LOGIC_VECTOR(15 downto 0);
pResult :in STD_LOGIC_VECTOR(15 downto 0);
pResult_ap_vld :in STD_LOGIC
);
end entity gcd_gcd_bus_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of a
-- bit 15~0 - a[15:0] (Read/Write)
-- others - reserved
-- 0x14 : reserved
-- 0x18 : Data signal of b
-- bit 15~0 - b[15:0] (Read/Write)
-- others - reserved
-- 0x1c : reserved
-- 0x20 : Data signal of pResult
-- bit 15~0 - pResult[15:0] (Read)
-- others - reserved
-- 0x24 : Control signal of pResult
-- bit 0 - pResult_ap_vld (Read/COR)
-- others - reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of gcd_gcd_bus_s_axi is
type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states
signal wstate : states := wrreset;
signal rstate : states := rdreset;
signal wnext, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_A_DATA_0 : INTEGER := 16#10#;
constant ADDR_A_CTRL : INTEGER := 16#14#;
constant ADDR_B_DATA_0 : INTEGER := 16#18#;
constant ADDR_B_CTRL : INTEGER := 16#1c#;
constant ADDR_PRESULT_DATA_0 : INTEGER := 16#20#;
constant ADDR_PRESULT_CTRL : INTEGER := 16#24#;
constant ADDR_BITS : INTEGER := 6;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC := '0';
signal int_ap_start : STD_LOGIC := '0';
signal int_auto_restart : STD_LOGIC := '0';
signal int_gie : STD_LOGIC := '0';
signal int_ier : UNSIGNED(1 downto 0) := (others => '0');
signal int_isr : UNSIGNED(1 downto 0) := (others => '0');
signal int_a : UNSIGNED(15 downto 0) := (others => '0');
signal int_b : UNSIGNED(15 downto 0) := (others => '0');
signal int_pResult : UNSIGNED(15 downto 0) := (others => '0');
signal int_pResult_ap_vld : STD_LOGIC;
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wrreset;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdreset;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_A_DATA_0 =>
rdata_data <= RESIZE(int_a(15 downto 0), 32);
when ADDR_B_DATA_0 =>
rdata_data <= RESIZE(int_b(15 downto 0), 32);
when ADDR_PRESULT_DATA_0 =>
rdata_data <= RESIZE(int_pResult(15 downto 0), 32);
when ADDR_PRESULT_CTRL =>
rdata_data <= (0 => int_pResult_ap_vld, others => '0');
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
a <= STD_LOGIC_VECTOR(int_a);
b <= STD_LOGIC_VECTOR(int_b);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_idle <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_idle <= ap_idle;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_ready <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_ready <= ap_ready;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_A_DATA_0) then
int_a(15 downto 0) <= (UNSIGNED(WDATA(15 downto 0)) and wmask(15 downto 0)) or ((not wmask(15 downto 0)) and int_a(15 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_B_DATA_0) then
int_b(15 downto 0) <= (UNSIGNED(WDATA(15 downto 0)) and wmask(15 downto 0)) or ((not wmask(15 downto 0)) and int_b(15 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_pResult <= (others => '0');
elsif (ACLK_EN = '1') then
if (pResult_ap_vld = '1') then
int_pResult <= UNSIGNED(pResult); -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_pResult_ap_vld <= '0';
elsif (ACLK_EN = '1') then
if (pResult_ap_vld = '1') then
int_pResult_ap_vld <= '1';
elsif (ar_hs = '1' and raddr = ADDR_PRESULT_CTRL) then
int_pResult_ap_vld <= '0'; -- clear on read
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
| mit |
minijackson/school-vhdl | E2/TP1/laclock.vhd | 1 | 1097 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity clockCounter is
generic (
n : natural := 4;
max : natural := 9
);
port (
dataOut : out std_logic_vector(n-1 downto 0);
equalMax : out std_logic;
enable : in std_logic;
razs : in std_logic;
clk : in std_logic;
reset : in std_logic
);
end clockCounter;
architecture clockCounterArch of clockCounter is
signal inc, eno, D, Q : std_logic_vector(n-1 downto 0);
begin
dataOut <= Q;
process (clk, reset) is
begin
if reset = '1' then Q <= (others => '0');
elsif rising_edge(clk) then Q <= D;
end if;
end process;
inc <= (others => '0') when unsigned(Q) = max else
std_logic_vector(unsigned(Q)+1);
eno <= inc when enable = '1' else
Q;
D <= (others => '0') when razs = '1' else
eno;
equalMax <= '1' when unsigned(Q) = max else
'0';
end clockCounterArch;
| mit |
diecaptain/fuzzy_kalman_mppt | kr_fuzman_Ut.vhd | 1 | 1585 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity kr_fuzman_Ut is
port (clock : in std_logic;
Ut_enable : in std_logic;
Ut : out std_logic_vector(31 downto 0)
);
end kr_fuzman_Ut;
architecture behav of kr_fuzman_Ut is
signal i : integer range 0 to 19:=0; -- change the range value
signal enable : std_logic:='0';
type lut is array ( 0 to 3**3 - 8) of std_logic_vector(31 downto 0);
constant my_lut : lut := (
0 => "00111110110101110000101000111101",
1 => "01000001100011110111000010100100",
2 => "01000000111111000100111010100101",
3 => "01000001000001110001000011001011",
4 => "11000000001000010100011110101110",
5 => "01000000101101010111000010100100",
6 => "01000001000101101110000101001000",
7 => "01000001011111000011110101110001",
8 => "01000001001101100101110000101001",
9 => "01000000100100100011110101110001",
10 => "01000000010001101101010000101100",
11 => "01000000111001110000011000100101",
12 => "01000000101101000111000100001101",
13 => "01000000111101110101111010011110",
14 => "01000000100110001011100100100100",
15 => "01000001011011101011001011111111",
16 => "01000000110101100111111111001100",
17 => "11000001111001100111000010100100",
18 => "11000001001100001010110000001000",
19 => "01000010000111111010111000010100"
);
begin
process (Ut_enable)
begin
if Ut_enable'event and Ut_enable = '1' then
enable <= '1';
end if;
end process;
process (clock)
begin
if rising_edge (clock) then
if (enable = '1') then
if (i <= 19) then
Ut <= my_lut(i);
i <= i + 1;
end if;
end if;
end if;
end process;
end behav;
| mit |
airlog/vhdl-rc4 | src/rc4_crypto_tb.vhd | 1 | 3834 | LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
ENTITY rc4_crypto_tb IS
END rc4_crypto_tb;
ARCHITECTURE behavior OF rc4_crypto_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT rc4_crypto
PORT(
enc_input : IN std_logic_vector(7 downto 0);
perm_input : IN std_logic_vector(7 downto 0);
go : IN std_logic;
clk : IN std_logic;
enc_output : OUT std_logic_vector(7 downto 0);
perm_ctrl : OUT std_logic;
perm_index : OUT std_logic_vector(7 downto 0);
perm_output : OUT std_logic_vector(7 downto 0);
rdy : OUT std_logic
);
END COMPONENT;
--Inputs
signal enc_input : std_logic_vector(7 downto 0) := (others => '0');
signal perm_input : std_logic_vector(7 downto 0);
signal go : std_logic := '0';
signal clk : std_logic := '0';
--Outputs
signal enc_output : std_logic_vector(7 downto 0);
signal perm_ctrl : std_logic;
signal perm_index : std_logic_vector(7 downto 0);
signal perm_output : std_logic_vector(7 downto 0);
signal rdy : std_logic;
-- Clock period definitions
constant clk_period : time := 10 ns;
subtype rc4int is integer range 0 to 255;
type my_array is array (0 to 255) of rc4int;
shared variable sarr : my_array := (
185, 126, 115, 175, 200, 169, 108, 155,
013, 041, 091, 189, 046, 116, 109, 163,
120, 020, 078, 049, 012, 038, 213, 142,
096, 094, 001, 178, 206, 067, 105, 148,
156, 055, 158, 073, 081, 145, 009, 132,
002, 050, 039, 172, 244, 243, 139, 166,
040, 201, 063, 164, 165, 207, 170, 167,
159, 118, 061, 010, 222, 247, 104, 089,
223, 087, 193, 110, 099, 071, 031, 128,
203, 135, 034, 015, 161, 174, 029, 225,
019, 103, 080, 162, 056, 154, 058, 133,
234, 209, 236, 023, 151, 051, 060, 232,
090, 176, 113, 121, 230, 212, 251, 093,
026, 245, 097, 003, 035, 191, 238, 199,
249, 181, 188, 192, 205, 182, 027, 146,
184, 195, 119, 028, 112, 235, 079, 048,
086, 018, 171, 198, 007, 130, 043, 254,
092, 076, 025, 147, 054, 150, 014, 123,
030, 211, 084, 229, 037, 237, 000, 168,
044, 157, 083, 246, 088, 137, 253, 064,
075, 069, 017, 057, 047, 036, 059, 220,
242, 006, 153, 129, 004, 052, 202, 042,
085, 144, 106, 177, 190, 117, 187, 008,
204, 070, 226, 194, 186, 127, 033, 138,
136, 024, 100, 124, 180, 095, 173, 045,
239, 072, 005, 219, 066, 149, 228, 179,
210, 141, 143, 082, 208, 217, 215, 218,
053, 125, 021, 131, 214, 231, 022, 250,
074, 224, 252, 102, 107, 221, 077, 240,
140, 068, 062, 248, 255, 233, 227, 122,
114, 016, 065, 160, 111, 101, 196, 098,
197, 032, 183, 152, 216, 241, 011, 134);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: rc4_crypto PORT MAP (
enc_input => enc_input,
perm_input => perm_input,
go => go,
clk => clk,
enc_output => enc_output,
perm_ctrl => perm_ctrl,
perm_index => perm_index,
perm_output => perm_output,
rdy => rdy
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
array_proc: process(clk, perm_ctrl, perm_index, perm_output)
begin
if rising_edge(clk) then
if perm_ctrl = '1' then
sarr(conv_integer(unsigned(perm_index))) := conv_integer(unsigned(perm_output));
else
perm_input <= conv_std_logic_vector(sarr(conv_integer(unsigned(perm_index))), 8);
end if;
end if;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
go <= '1';
wait;
end process;
END;
| mit |
yahniukov/AES-128_VHDL | Design Sources/SBox_module.vhd | 1 | 6139 | ----------------------------------------------------------------------
---- ----
---- Pipelined Aes IP Core ----
---- ----
---- This file is part of the Pipelined AES project ----
---- http://www.opencores.org/cores/aes_pipe/ ----
---- ----
---- Description ----
---- Implementation of AES IP core according to ----
---- FIPS PUB 197 specification document. ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Subhasis Das, [email protected] ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2009 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------
------------------------------------------------------
-- Project: AESFast
-- Author: Subhasis
-- Last Modified: 25/03/10
-- Email: [email protected]
------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity SBox_module is
Generic ( BYTE_LENGTH : integer := 8 );
Port ( data_out : out STD_LOGIC_VECTOR (BYTE_LENGTH-1 downto 0);
finish : out STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (BYTE_LENGTH-1 downto 0);
start : in STD_LOGIC);
end SBox_module;
architecture RTL of SBox_module is
-----------------------------
----------- TYPES -----------
-----------------------------
type ram_type is array(natural range<>) of std_logic_vector(7 downto 0);
-----------------------------
--------- CONSTANTS ---------
-----------------------------
constant sbox_ram: ram_type(255 downto 0) :=
(
X"16", X"bb", X"54", X"b0", X"0f", X"2d", X"99", X"41", X"68", X"42", X"e6", X"bf", X"0d", X"89", X"a1", X"8c",
X"df", X"28", X"55", X"ce", X"e9", X"87", X"1e", X"9b", X"94", X"8e", X"d9", X"69", X"11", X"98", X"f8", X"e1",
X"9e", X"1d", X"c1", X"86", X"b9", X"57", X"35", X"61", X"0e", X"f6", X"03", X"48", X"66", X"b5", X"3e", X"70",
X"8a", X"8b", X"bd", X"4b", X"1f", X"74", X"dd", X"e8", X"c6", X"b4", X"a6", X"1c", X"2e", X"25", X"78", X"ba",
X"08", X"ae", X"7a", X"65", X"ea", X"f4", X"56", X"6c", X"a9", X"4e", X"d5", X"8d", X"6d", X"37", X"c8", X"e7",
X"79", X"e4", X"95", X"91", X"62", X"ac", X"d3", X"c2", X"5c", X"24", X"06", X"49", X"0a", X"3a", X"32", X"e0",
X"db", X"0b", X"5e", X"de", X"14", X"b8", X"ee", X"46", X"88", X"90", X"2a", X"22", X"dc", X"4f", X"81", X"60",
X"73", X"19", X"5d", X"64", X"3d", X"7e", X"a7", X"c4", X"17", X"44", X"97", X"5f", X"ec", X"13", X"0c", X"cd",
X"d2", X"f3", X"ff", X"10", X"21", X"da", X"b6", X"bc", X"f5", X"38", X"9d", X"92", X"8f", X"40", X"a3", X"51",
X"a8", X"9f", X"3c", X"50", X"7f", X"02", X"f9", X"45", X"85", X"33", X"4d", X"43", X"fb", X"aa", X"ef", X"d0",
X"cf", X"58", X"4c", X"4a", X"39", X"be", X"cb", X"6a", X"5b", X"b1", X"fc", X"20", X"ed", X"00", X"d1", X"53",
X"84", X"2f", X"e3", X"29", X"b3", X"d6", X"3b", X"52", X"a0", X"5a", X"6e", X"1b", X"1a", X"2c", X"83", X"09",
X"75", X"b2", X"27", X"eb", X"e2", X"80", X"12", X"07", X"9a", X"05", X"96", X"18", X"c3", X"23", X"c7", X"04",
X"15", X"31", X"d8", X"71", X"f1", X"e5", X"a5", X"34", X"cc", X"f7", X"3f", X"36", X"26", X"93", X"fd", X"b7",
X"c0", X"72", X"a4", X"9c", X"af", X"a2", X"d4", X"ad", X"f0", X"47", X"59", X"fa", X"7d", X"c9", X"82", X"ca",
X"76", X"ab", X"d7", X"fe", X"2b", X"67", X"01", X"30", X"c5", X"6f", X"6b", X"f2", X"7b", X"77", X"7c", X"63"
);
begin
replace: process(start)
begin
if(rising_edge(start)) then
data_out <= sbox_ram(conv_integer(data_in));
finish <= '1';
end if;
end process replace;
end RTL;
| mit |
alifazel/16-bit-risc | vhdl/reg8.vhd | 4 | 428 | -- REG8
-- 8 bit register file
library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity reg8 is
port(D: in std_logic_vector(7 downto 0);
EN: in std_logic;
CLK: in std_logic;
Q: out std_logic_vector(7 downto 0)
);
end reg8;
architecture logic of reg8 is
begin
process(CLK, EN)
begin
if (CLK'event and CLK = '1') and EN = '1' then
Q <= D;
end if;
end process;
end logic; | mit |
diecaptain/fuzzy_kalman_mppt | kr_fuzman_Vref.vhd | 1 | 1602 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity kr_fuzman_Vref is
port (clock : in std_logic;
Vref_enable : in std_logic;
Vref : out std_logic_vector(31 downto 0)
);
end kr_fuzman_Vref;
architecture behav of kr_fuzman_Vref is
signal i : integer range 0 to 19:=0; -- change the range value
signal enable : std_logic:='0';
type lut is array ( 0 to 3**3 - 8) of std_logic_vector(31 downto 0);
constant my_lut : lut := (
0 => "01000001101000000000000000000000",
1 => "01000001101001001100110011001101",
2 => "01000001100110100010100011110110",
3 => "01000001101000001100110011001101",
4 => "01000001100111100110011001100110",
5 => "01000001100110101110000101001000",
6 => "01000001100110110101110000101001",
7 => "01000001100111001111010111000011",
8 => "01000001100110110000101000111101",
9 => "01000001101000110011001100110011",
10 => "01000001100110101000111101011100",
11 => "01000001100100001100110011001101",
12 => "01000001100111010001111010111000",
13 => "01000001100110100000000000000000",
14 => "01000001100110111000010100011111",
15 => "01000001100110011010111000010100",
16 => "01000001100111011000010100011111",
17 => "01000001100111010101110000101001",
18 => "01000001100111001111010111000011",
19 => "01000001100111001010001111010111"
);
begin
process (Vref_enable)
begin
if Vref_enable'event and Vref_enable = '1' then
enable <= '1';
end if;
end process;
process (clock)
begin
if rising_edge (clock) then
if (enable = '1') then
if (i <= 19) then
Vref <= my_lut(i);
i <= i + 1;
end if;
end if;
end if;
end process;
end behav; | mit |
alifazel/16-bit-risc | vhdl/pcjmp.vhd | 4 | 349 | library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity pcjmp is
port( PC_ADD : in std_logic_vector(15 downto 0);
JMP_ADD : in std_logic_vector(11 downto 0);
OUT_ADD : out std_logic_vector(15 downto 0));
end pcjmp;
architecture Logic of pcjmp is
begin
OUT_ADD <= PC_ADD(15 downto 13) & JMP_ADD & '0';
end Logic;
| mit |
airlog/vhdl-rc4 | src/rc4_crypto.vhd | 1 | 4331 | --
-- rc4_crypto
-- urz¹dzenie szyfruj¹co/deszyfruj¹ce strumieñ bajtów przy pomocy RC4
--
-- Urz¹dzenie nie posiada swojej pamiêci na aktualny stan permutacji RC4, posiada natomiast
-- zestaw wejæ i wyjæ umo¿liwiaj¹cych kontakt z zewnêtrzn¹ pamiêci¹.
--
-- Urz¹dzenie rozpoczyna dzia³anie wtedy i tylko wtedy gdy wartoæ sygna³u go = 1. Powoduje to zaszyfrowanie
-- dok³adnie jednego bajtu z wejcia. Bajt na wejciu powinien byæ trzymany tak d³ugo a¿ sygna³ rdy = 1. Oznacza
-- to, ¿e bajt na wyjciu jest poprawnie zaszyfrowany/odszyfrowany.
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.ALL;
entity rc4_crypto is
generic (
width: integer := 8
);
port (
enc_input: in std_logic_vector((width - 1) downto 0); -- bajt do zaszyfrowania/deszyfrowania
perm_input: in std_logic_vector((width - 1) downto 0); -- wejscie wartosci z pamieci
go: in std_logic; -- dzialac/nie dzialac
clk: in std_logic;
enc_output: out std_logic_vector((width - 1) downto 0); -- zaszyfrowany/deszyfrowany bajt
perm_ctrl: out std_logic; -- zapis/odczyt z pamieci
perm_index: out std_logic_vector((width - 1) downto 0); -- indeks bajtu w pamieci
perm_output: out std_logic_vector((width - 1) downto 0);-- perm_ctrl=1 => zapisz te wartosc
rdy: out std_logic -- wartosc na enc_output jest poprawna
);
end rc4_crypto;
architecture Behavioral of rc4_crypto is
type rc4_crypto_state is (
WHILE_GO_TEST, WHILE_GO_RET,
MAIN_BODY, MAIN_BODY_OUTPUT,
WHILE_0_RET
);
subtype rc4int is integer range 0 to 255;
shared variable cstate : rc4_crypto_state := WHILE_GO_TEST;
shared variable i, j, tmp, si, sj, sm : rc4int := 0;
begin
process (clk)
variable clk_ctr : integer := 0;
begin
if rising_edge(clk) then
rdy <= '0';
perm_ctrl <= '0';
case cstate is
when WHILE_GO_TEST =>
if go = '1' then
cstate := MAIN_BODY;
end if;
when WHILE_GO_RET =>
cstate := WHILE_GO_TEST;
when MAIN_BODY =>
case clk_ctr is
when 0 =>
clk_ctr := 0;
i := i + 1;
perm_index <= conv_std_logic_vector(i, width);
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
-- utrzymaj stan sygna³u perm_index ¿eby otrzymaæ poprawn¹ wartoæ
when 1 =>
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
when 2 =>
si := conv_integer(unsigned(perm_input));
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
when 3 =>
j := j + si;
perm_index <= conv_std_logic_vector(j, width);
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
-- utrzymaj stan sygna³u perm_index ¿eby otrzymaæ poprawn¹ wartoæ
when 4 =>
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
when 5 =>
sj := conv_integer(unsigned(perm_input));
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
when 6 =>
perm_ctrl <= '0';
perm_index <= conv_std_logic_vector(i, width);
perm_output <= conv_std_logic_vector(sj, width);
perm_ctrl <= '1';
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
when 7 =>
perm_ctrl <= '0';
perm_index <= conv_std_logic_vector(j, width);
perm_output <= conv_std_logic_vector(si, width);
perm_ctrl <= '1';
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
when 8 =>
perm_ctrl <= '0';
tmp := (si + sj) mod 256;
perm_index <= conv_std_logic_vector(tmp, width);
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
-- utrzymaj stan sygna³u perm_index ¿eby otrzymaæ poprawn¹ wartoæ
when 9 =>
clk_ctr := clk_ctr + 1;
cstate := MAIN_BODY;
when 10 =>
sm := conv_integer(unsigned(perm_input));
clk_ctr := 0;
cstate := MAIN_BODY_OUTPUT;
when others =>
end case;
when MAIN_BODY_OUTPUT =>
enc_output <= enc_input xor conv_std_logic_vector(sm, width);
rdy <= '1';
cstate := WHILE_0_RET;
when WHILE_0_RET =>
cstate := WHILE_GO_TEST;
end case;
end if;
end process;
end Behavioral;
| mit |
alifazel/16-bit-risc | vhdl/control.vhd | 4 | 3052 | library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity control is
port( op : in std_logic_vector(3 downto 0);
funct : in std_logic_vector(2 downto 0);
RegDst, Jump, Branch, MemRead, MemtoReg, MemWrite, ALUSrc, RegWrite : out std_logic;
ALUOp : out std_logic_vector(2 downto 0)
);
end control;
architecture logic of control is
begin
process(op, funct)
begin
if op = "0000" then
RegDst <= '1';
case funct is
when "010" => Jump <= '0';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '1';
ALUOp <= "010"; --add
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '1';
when "110" => Jump <= '0';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '1';
ALUOp <= "110"; --sub
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '1';
when "000" => Jump <= '0';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '1';
ALUOp <= "000"; --and
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '1';
when "001" => Jump <= '0';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '1';
ALUOp <= "001"; --or
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '1';
when "111" => Jump <= '0';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '1';
ALUOp <= "111"; --slt
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '1';
when others => RegDst <= 'X';
Jump <= 'X';
Branch <= 'X';
MemRead <= 'X';
MemtoReg <= 'X';
ALUOp <= "XXX"; --others
MemWrite <= 'X';
ALUSrc <= 'X';
RegWrite <= 'X';
end case;
else
case op is
when "1011" => RegDst <= '1';
Jump <= '0';
Branch <= '0';
MemRead <= '1';
MemtoReg <= '0';
ALUOp <= "010"; --lw
MemWrite <= '0';
ALUSrc <= '1';
RegWrite <= '1';
when "1111" => RegDst <= '0';
Jump <= '0';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '0';
ALUOp <= "010"; --sw
MemWrite <= '1';
ALUSrc <= '1';
RegWrite <= '0';
when "0100" => RegDst <= '0';
Jump <= '0';
Branch <= '1';
MemRead <= '0';
MemtoReg <= '0';
ALUOp <= "110"; --beq
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '0';
when "0010" => RegDst <= '0';
Jump <= '1';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '0';
ALUOp <= "000"; --j
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '0';
when others => RegDst <= 'X';
Jump <= 'X';
Branch <= 'X';
MemRead <= 'X';
MemtoReg <= 'X';
ALUOp <= "XXX"; --others
MemWrite <= 'X';
ALUSrc <= 'X';
RegWrite <= 'X';
end case;
end if;
end process;
end logic; | mit |
yahniukov/AES-128_VHDL | Design Sources/SubBytes_module.vhd | 1 | 9916 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity SubBytes_module is
Generic ( DATA_LENGTH : integer := 128 );
Port ( data_out : out STD_LOGIC_VECTOR (DATA_LENGTH-1 downto 0);
finish : out STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (DATA_LENGTH-1 downto 0);
start : in STD_LOGIC;
clock : in STD_LOGIC;
reset : in STD_LOGIC);
end SubBytes_module;
architecture RTL of SubBytes_module is
-----------------------------
--------- CONSTANTS ---------
-----------------------------
constant BYTES_COUNT : integer := 16;
constant BYTE_LENGTH : integer := 8;
-----------------------------
----------- TYPES -----------
-----------------------------
type REG_SBOX_VALUES is array (BYTES_COUNT-1 downto 0) of std_logic_vector (BYTE_LENGTH-1 downto 0);
-----------------------------
---------- SIGNALS ----------
-----------------------------
-- Memory to store 16 bytes
signal bytes_memory_in : REG_SBOX_VALUES;
signal bytes_memory_out : REG_SBOX_VALUES;
-- Managed signal
signal start_sbox_module : std_logic;
signal finish_sbox_module_0 : std_logic;
signal finish_sbox_module_1 : std_logic;
signal finish_sbox_module_2 : std_logic;
signal finish_sbox_module_3 : std_logic;
signal finish_sbox_module_4 : std_logic;
signal finish_sbox_module_5 : std_logic;
signal finish_sbox_module_6 : std_logic;
signal finish_sbox_module_7 : std_logic;
signal finish_sbox_module_8 : std_logic;
signal finish_sbox_module_9 : std_logic;
signal finish_sbox_module_10 : std_logic;
signal finish_sbox_module_11 : std_logic;
signal finish_sbox_module_12 : std_logic;
signal finish_sbox_module_13 : std_logic;
signal finish_sbox_module_14 : std_logic;
signal finish_sbox_module_15 : std_logic;
signal finish_all_sbox : std_logic;
-----------------------------
--------- COMPONENTS --------
-----------------------------
component SBox_module is
Generic ( BYTE_LENGTH : integer := 8 );
Port ( data_out : out STD_LOGIC_VECTOR (BYTE_LENGTH-1 downto 0);
finish : out STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (BYTE_LENGTH-1 downto 0);
start : in STD_LOGIC);
end component SBox_module;
begin
-- Initialize and Reset process
reset_n_init_process : process(reset)
begin
if(rising_edge(reset)) then
bytes_memory_in(BYTES_COUNT-1 downto 0) <= (others => X"0");
start_sbox_module <= '0';
finish_sbox_module_0 <= '0';
finish_sbox_module_1 <= '0';
finish_sbox_module_2 <= '0';
finish_sbox_module_3 <= '0';
finish_sbox_module_4 <= '0';
finish_sbox_module_5 <= '0';
finish_sbox_module_6 <= '0';
finish_sbox_module_7 <= '0';
finish_sbox_module_8 <= '0';
finish_sbox_module_9 <= '0';
finish_sbox_module_10 <= '0';
finish_sbox_module_11 <= '0';
finish_sbox_module_12 <= '0';
finish_sbox_module_13 <= '0';
finish_sbox_module_14 <= '0';
finish_sbox_module_15 <= '0';
end if;
end process reset_n_init_process;
-- Structure of signals transmission
bytes_memory_in(0) <= data_in(BYTE_LENGTH-1 downto BYTE_LENGTH-8) when rising_edge(start);
bytes_memory_in(1) <= data_in(BYTE_LENGTH*2-1 downto BYTE_LENGTH*2-8) when rising_edge(start);
bytes_memory_in(2) <= data_in(BYTE_LENGTH*3-1 downto BYTE_LENGTH*3-8) when rising_edge(start);
bytes_memory_in(3) <= data_in(BYTE_LENGTH*4-1 downto BYTE_LENGTH*4-8) when rising_edge(start);
bytes_memory_in(4) <= data_in(BYTE_LENGTH*5-1 downto BYTE_LENGTH*5-8) when rising_edge(start);
bytes_memory_in(5) <= data_in(BYTE_LENGTH*6-1 downto BYTE_LENGTH*6-8) when rising_edge(start);
bytes_memory_in(6) <= data_in(BYTE_LENGTH*7-1 downto BYTE_LENGTH*7-8) when rising_edge(start);
bytes_memory_in(7) <= data_in(BYTE_LENGTH*8-1 downto BYTE_LENGTH*8-8) when rising_edge(start);
bytes_memory_in(8) <= data_in(BYTE_LENGTH*9-1 downto BYTE_LENGTH*9-8) when rising_edge(start);
bytes_memory_in(9) <= data_in(BYTE_LENGTH*10-1 downto BYTE_LENGTH*10-8) when rising_edge(start);
bytes_memory_in(10) <= data_in(BYTE_LENGTH*11-1 downto BYTE_LENGTH*11-8) when rising_edge(start);
bytes_memory_in(11) <= data_in(BYTE_LENGTH*12-1 downto BYTE_LENGTH*12-8) when rising_edge(start);
bytes_memory_in(12) <= data_in(BYTE_LENGTH*13-1 downto BYTE_LENGTH*13-8) when rising_edge(start);
bytes_memory_in(13) <= data_in(BYTE_LENGTH*14-1 downto BYTE_LENGTH*14-8) when rising_edge(start);
bytes_memory_in(14) <= data_in(BYTE_LENGTH*15-1 downto BYTE_LENGTH*15-8) when rising_edge(start);
bytes_memory_in(15) <= data_in(BYTE_LENGTH*16-1 downto BYTE_LENGTH*16-8) when rising_edge(start);
start_sbox_module <= '1' when rising_edge(start);
data_out(BYTE_LENGTH-1 downto BYTE_LENGTH-8) <= bytes_memory_out(0) when clock = '1' and finish_sbox_module_0 = '1';
data_out(BYTE_LENGTH*2-1 downto BYTE_LENGTH*2-8) <= bytes_memory_out(1) when clock = '1' and finish_sbox_module_1 = '1';
data_out(BYTE_LENGTH*3-1 downto BYTE_LENGTH*3-8) <= bytes_memory_out(2) when clock = '1' and finish_sbox_module_2 = '1';
data_out(BYTE_LENGTH*4-1 downto BYTE_LENGTH*4-8) <= bytes_memory_out(3) when clock = '1' and finish_sbox_module_3 = '1';
data_out(BYTE_LENGTH*5-1 downto BYTE_LENGTH*5-8) <= bytes_memory_out(4) when clock = '1' and finish_sbox_module_4 = '1';
data_out(BYTE_LENGTH*6-1 downto BYTE_LENGTH*6-8) <= bytes_memory_out(5) when clock = '1' and finish_sbox_module_5 = '1';
data_out(BYTE_LENGTH*7-1 downto BYTE_LENGTH*7-8) <= bytes_memory_out(6) when clock = '1' and finish_sbox_module_6 = '1';
data_out(BYTE_LENGTH*8-1 downto BYTE_LENGTH*8-8) <= bytes_memory_out(7) when clock = '1' and finish_sbox_module_7 = '1';
data_out(BYTE_LENGTH*9-1 downto BYTE_LENGTH*9-8) <= bytes_memory_out(8) when clock = '1' and finish_sbox_module_8 = '1';
data_out(BYTE_LENGTH*10-1 downto BYTE_LENGTH*10-8) <= bytes_memory_out(9) when clock = '1' and finish_sbox_module_9 = '1';
data_out(BYTE_LENGTH*11-1 downto BYTE_LENGTH*11-8) <= bytes_memory_out(10) when clock = '1' and finish_sbox_module_10 = '1';
data_out(BYTE_LENGTH*12-1 downto BYTE_LENGTH*12-8) <= bytes_memory_out(11) when clock = '1' and finish_sbox_module_11 = '1';
data_out(BYTE_LENGTH*13-1 downto BYTE_LENGTH*13-8) <= bytes_memory_out(12) when clock = '1' and finish_sbox_module_12 = '1';
data_out(BYTE_LENGTH*14-1 downto BYTE_LENGTH*14-8) <= bytes_memory_out(13) when clock = '1' and finish_sbox_module_13 = '1';
data_out(BYTE_LENGTH*15-1 downto BYTE_LENGTH*15-8) <= bytes_memory_out(14) when clock = '1' and finish_sbox_module_14 = '1';
data_out(BYTE_LENGTH*16-1 downto BYTE_LENGTH*16-8) <= bytes_memory_out(15) when clock = '1' and finish_sbox_module_15 = '1';
SBox_module_0 : SBox_module
port map (bytes_memory_out(0), finish_sbox_module_0, bytes_memory_in(0), start_sbox_module);
SBox_module_1 : SBox_module
port map (bytes_memory_out(1), finish_sbox_module_1, bytes_memory_in(1), start_sbox_module);
SBox_module_2 : SBox_module
port map (bytes_memory_out(2), finish_sbox_module_2, bytes_memory_in(2), start_sbox_module);
SBox_module_3 : SBox_module
port map (bytes_memory_out(3), finish_sbox_module_3, bytes_memory_in(3), start_sbox_module);
SBox_module_4 : SBox_module
port map (bytes_memory_out(4), finish_sbox_module_4, bytes_memory_in(4), start_sbox_module);
SBox_module_5 : SBox_module
port map (bytes_memory_out(5), finish_sbox_module_5, bytes_memory_in(5), start_sbox_module);
SBox_module_6 : SBox_module
port map (bytes_memory_out(6), finish_sbox_module_6, bytes_memory_in(6), start_sbox_module);
SBox_module_7 : SBox_module
port map (bytes_memory_out(7), finish_sbox_module_7, bytes_memory_in(7), start_sbox_module);
SBox_module_8 : SBox_module
port map (bytes_memory_out(8), finish_sbox_module_8, bytes_memory_in(8), start_sbox_module);
SBox_module_9 : SBox_module
port map (bytes_memory_out(9), finish_sbox_module_9, bytes_memory_in(9), start_sbox_module);
SBox_module_10 : SBox_module
port map (bytes_memory_out(10), finish_sbox_module_10, bytes_memory_in(10), start_sbox_module);
SBox_module_11 : SBox_module
port map (bytes_memory_out(11), finish_sbox_module_11, bytes_memory_in(11), start_sbox_module);
SBox_module_12 : SBox_module
port map (bytes_memory_out(12), finish_sbox_module_12, bytes_memory_in(12), start_sbox_module);
SBox_module_13 : SBox_module
port map (bytes_memory_out(13), finish_sbox_module_13, bytes_memory_in(13), start_sbox_module);
SBox_module_14 : SBox_module
port map (bytes_memory_out(14), finish_sbox_module_14, bytes_memory_in(14), start_sbox_module);
SBox_module_15 : SBox_module
port map (bytes_memory_out(15), finish_sbox_module_15, bytes_memory_in(15), start_sbox_module);
finish_all_sbox <= finish_sbox_module_0 and finish_sbox_module_1 and finish_sbox_module_2 and
finish_sbox_module_3 and finish_sbox_module_4 and finish_sbox_module_5 and
finish_sbox_module_6 and finish_sbox_module_7 and finish_sbox_module_8 and
finish_sbox_module_9 and finish_sbox_module_10 and finish_sbox_module_11 and
finish_sbox_module_12 and finish_sbox_module_13 and finish_sbox_module_14 and
finish_sbox_module_15;
finish <= finish_all_sbox;
end RTL;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/family_support.vhd | 12 | 329235 | --------------------------------------------------------------------------------
-- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $
--------------------------------------------------------------------------------
-- family_support.vhd - package
--------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
--------------------------------------------------------------------------------
-- Filename: family_support.vhd
--
-- Description:
--
-- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS
--
-- This package allows to determine whether a given primitive
-- or set of primitives is available in an FPGA family of interest.
--
-- The key element is the function, 'supported', which is
-- available in four variants (overloads). Here are examples
-- of each:
--
-- supported(virtex2, u_RAMB16_S2)
--
-- supported("Virtex2", u_RAMB16_S2)
--
-- supported(spartan3, (u_MUXCY, u_XORCY, u_FD))
--
-- supported("spartan3", (u_MUXCY, u_XORCY, u_FD))
--
-- The 'supported' function returns true if and only
-- if all of the primitives being tested, as given in the
-- second argument, are available in the FPGA family that
-- is given in the first argument.
--
-- The first argument can be either one of the FPGA family
-- names from the enumeration type, 'families_type', or a
-- (case insensitive) string giving the same information.
-- The family name 'nofamily' is special and supports
-- none of the primitives.
--
-- The second argument is either a primitive or a list of
-- primitives. The set of primitive names that can be
-- tested is defined by the declaration of the
-- enumeration type, 'primitives_type'. The names are
-- the UNISIM-library names for the primitives, prefixed
-- by "u_". (The prefix avoids introducing a name that
-- conflicts with the component declaration for the primitive.)
--
-- The array type, 'primitive_array_type' is the basis for
-- forming lists of primitives. Typically, a fixed list
-- of primitves is expressed as a VHDL aggregate, a
-- comma separated list of primitives enclosed in
-- parentheses. (See the last two examples, above.)
--
-- The 'supported' function can be used as a guard
-- condition for a piece of code that depends on primitives
-- (primitive availability guard). Here is an example:
--
--
-- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate
-- begin
-- ... Here, an implementation that depends on
-- ... MUXCY and XORCY.
-- end generate;
--
--
-- It can also be used in an assertion statement
-- to give warnings about problems that can arise from
-- attempting to implement into a family that does not
-- support all of the required primitives:
--
--
-- assert supported(C_FAMILY, <primtive list>)
-- report "This module cannot be implemnted " &
-- "into family, " & C_FAMILY &
-- ", because one or more of the primitives, " &
-- "<primitive_list>" & ", is not supported."
-- severity error;
--
--
-- A NOTE ON USAGE
--
-- It is probably best to take an exception to the coding
-- guidelines and make the names that are needed
-- from this package visible to a VHDL compilation unit by
--
-- library <libname>;
-- use <libname>.family_support.all;
--
-- rather than by calling out individual names in use clauses.
-- (VHDL tools do not have a common interpretation at present
-- on whether
--
-- use <libname>.family_support.primitives_type"
--
-- makes the enumeration literals visible.)
--
-- ADDITIONAL FEATURES
--
-- - A function, native_lut_size, is available to allow
-- the caller to query the largest sized LUT available in a given
-- FPGA family.
--
-- - A function, equalIgnoringCase, is available to compare strings
-- with case insensitivity. While this can be used to establish
-- whether the target family is some particular family, such
-- usage is discouraged and should be limited to legacy
-- situations or the rare situations where primitive
-- availability guards will not suffice.
--
--------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 2005Mar24 - First Version
--
-- FLO 11/30/05
-- ^^^^^^
-- Virtex5 added.
-- ~~~~~~
-- TK 03/17/06 Corrected a Spartan3e issue in myimage
-- ~~~~~~
-- FLO 04/26/06
-- ^^^^^^
-- Added the native_lut_size function.
-- ~~~~~~
-- FLO 08/10/06
-- ^^^^^^
-- Added support for families virtex, spartan2 and spartan2e.
-- ~~~~~~
-- FLO 08/25/06
-- ^^^^^^
-- Enhanced the warning in function str2fam. Now when a string that is
-- passed in the call as a parameter does not correspond to a supported fpga
-- family, the string value of the passed string is mentioned in the warning
-- and it is explicitly stated that the returned value is 'nofamily'.
-- ~~~~~~
-- FLO 08/26/06
-- ^^^^^^
-- - Updated the virtex5 primitive set to a more recent list and
-- removed primitives (TEMAC, PCIE, etc.) that are not present
-- in all virtex5 family members.
-- - Added function equalIgnoringCase and an admonition to use it
-- as little as possible.
-- - Made some improvements to descriptions inside comments.
-- ~~~~~~
-- FLO 08/28/06
-- ^^^^^^
-- Added support for families spartan3a and spartan3an. These are initially
-- taken to have the same primitives as spartan3e.
-- ~~~~~~
-- FLO 10/28/06
-- ^^^^^^
-- Changed function str2fam so that it no longer depends on the VHDL
-- attribute, 'VAL. This is an XST workaround.
-- ~~~~~~
-- FLO 03/08/07
-- ^^^^^^
-- Updated spartan3a and sparan3an.
-- Added spartan3adsp.
-- ~~~~~~
-- FLO 08/31/07
-- ^^^^^^
-- A performance XST workaround was implemented to address slowness
-- associated with primitive availability guards. The workaround changes
-- the way that the fam_has_prim constant is initialized (aggregate
-- rather than a system of function and procedure calls).
-- ~~~~~~
-- FLO 04/11/08
-- ^^^^^^
-- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp
-- ~~~~~~
-- FLO 04/14/08
-- ^^^^^^
-- Removed family: aspartan3an
-- ~~~~~~
-- FLO 06/25/08
-- ^^^^^^
-- Added these families: qvirtex4, qrvirtex4
-- ~~~~~~
-- FLO 07/26/08
-- ^^^^^^
-- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead
-- of BSCAN_SPARTAN3E.
-- ~~~~~~
-- FLO 09/02/06
-- ^^^^^^
-- Added an initial approximation of primitives for spartan6 and virtex6.
-- ~~~~~~
-- FLO 09/04/28
-- ^^^^^^
-- -Removed primitive u_BSCAN_SPARTAN3A from spartan6.
-- -Added the 5 and 6 LUTs to spartan6.
-- ~~~~~~
-- FLO 02/09/10 (back to MM/DD/YY)
-- ^^^^^^
-- -Removed primitive u_BSCAN_VIRTEX5 from virtex6.
-- -Added families spartan6l, qspartan6, aspartan6 and virtex6l.
-- ~~~~~~
-- FLO 04/26/10 (MM/DD/YY)
-- ^^^^^^
-- -Added families qspartan6l, qvirtex5 and qvirtex6.
-- ~~~~~~
-- FLO 06/21/10 (MM/DD/YY)
-- ^^^^^^
-- -Added family qrvirtex5.
-- ~~~~~~
--
-- DET 9/7/2010 For 12.4
-- ~~~~~~
-- -- Per CR573867
-- - Added the function get_root_family() as part of the derivative part
-- support improvements.
-- - Added the Virtex7 and Kintex7 device families
-- ^^^^^^
-- ~~~~~~
-- FLO 10/28/10 (MM/DD/YY)
-- ^^^^^^
-- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828)
-- ~~~~~~
-- FLO 12/15/10 (MM/DD/YY)
-- ^^^^^^
-- -Changed virtex6cx to be equal to virtex6 (instead of virtex5)
-- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file
-- -Added artix7 from the primitives in the Rodin unisim.btl file
-- ~~~~~~
--
-- DET 3/2/2011 EDk 13.2
-- ~~~~~~
-- -- Per CR595477
-- - Added zynq support in the get_root_family function.
-- ^^^^^^
--
-- DET 03/18/2011
-- ^^^^^^
-- Per CR602290
-- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a.
-- - This change was lost from 13.1 O.40d to 13.2 branch.
-- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info)
-- ~~~~~~
--
-- DET 4/4/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR604652
-- - Added kintex7l and virtex7l
-- ^^^^^^
--
--------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinational signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports:- Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
--------------------------------------------------------------------------------
package family_support is
type families_type is
(
nofamily
, kintex8
, kintex7
, kintex7l
, qkintex7
, qkintex7l
, virtex8
, virtex7
, virtex7l
, qvirtex7
, qvirtex7l
, artix8
, artix7
, aartix7
, artix7l
, qartix7
, qartix7l
, zynq
, azynq
, qzynq
);
type primitives_type is range 0 to 865;
constant u_AND2: primitives_type := 0;
constant u_AND2B1L: primitives_type := u_AND2 + 1;
constant u_AND3: primitives_type := u_AND2B1L + 1;
constant u_AND4: primitives_type := u_AND3 + 1;
constant u_AUTOBUF: primitives_type := u_AND4 + 1;
constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1;
constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1;
constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1;
constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1;
constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1;
constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1;
constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1;
constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1;
constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1;
constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1;
constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1;
constant u_BUFCF: primitives_type := u_BUF + 1;
constant u_BUFE: primitives_type := u_BUFCF + 1;
constant u_BUFG: primitives_type := u_BUFE + 1;
constant u_BUFGCE: primitives_type := u_BUFG + 1;
constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1;
constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1;
constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1;
constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1;
constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1;
constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1;
constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1;
constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1;
constant u_BUFH: primitives_type := u_BUFGP + 1;
constant u_BUFHCE: primitives_type := u_BUFH + 1;
constant u_BUFIO: primitives_type := u_BUFHCE + 1;
constant u_BUFIO2: primitives_type := u_BUFIO + 1;
constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1;
constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1;
constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1;
constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1;
constant u_BUFPLL: primitives_type := u_BUFIODQS + 1;
constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1;
constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1;
constant u_BUFT: primitives_type := u_BUFR + 1;
constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1;
constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1;
constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1;
constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1;
constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1;
constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1;
constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1;
constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1;
constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1;
constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1;
constant u_CFGLUT5: primitives_type := u_CARRY4 + 1;
constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1;
constant u_CLKDLLE: primitives_type := u_CLKDLL + 1;
constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1;
constant u_CRC32: primitives_type := u_CLKDLLHF + 1;
constant u_CRC64: primitives_type := u_CRC32 + 1;
constant u_DCIRESET: primitives_type := u_CRC64 + 1;
constant u_DCM: primitives_type := u_DCIRESET + 1;
constant u_DCM_ADV: primitives_type := u_DCM + 1;
constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1;
constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1;
constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1;
constant u_DNA_PORT: primitives_type := u_DCM_PS + 1;
constant u_DSP48: primitives_type := u_DNA_PORT + 1;
constant u_DSP48A: primitives_type := u_DSP48 + 1;
constant u_DSP48A1: primitives_type := u_DSP48A + 1;
constant u_DSP48E: primitives_type := u_DSP48A1 + 1;
constant u_DSP48E1: primitives_type := u_DSP48E + 1;
constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1;
constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1;
constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1;
constant u_EMAC: primitives_type := u_EFUSE_USR + 1;
constant u_FD: primitives_type := u_EMAC + 1;
constant u_FD_1: primitives_type := u_FD + 1;
constant u_FDC: primitives_type := u_FD_1 + 1;
constant u_FDC_1: primitives_type := u_FDC + 1;
constant u_FDCE: primitives_type := u_FDC_1 + 1;
constant u_FDCE_1: primitives_type := u_FDCE + 1;
constant u_FDCP: primitives_type := u_FDCE_1 + 1;
constant u_FDCP_1: primitives_type := u_FDCP + 1;
constant u_FDCPE: primitives_type := u_FDCP_1 + 1;
constant u_FDCPE_1: primitives_type := u_FDCPE + 1;
constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1;
constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1;
constant u_FDE: primitives_type := u_FDDRRSE + 1;
constant u_FDE_1: primitives_type := u_FDE + 1;
constant u_FDP: primitives_type := u_FDE_1 + 1;
constant u_FDP_1: primitives_type := u_FDP + 1;
constant u_FDPE: primitives_type := u_FDP_1 + 1;
constant u_FDPE_1: primitives_type := u_FDPE + 1;
constant u_FDR: primitives_type := u_FDPE_1 + 1;
constant u_FDR_1: primitives_type := u_FDR + 1;
constant u_FDRE: primitives_type := u_FDR_1 + 1;
constant u_FDRE_1: primitives_type := u_FDRE + 1;
constant u_FDRS: primitives_type := u_FDRE_1 + 1;
constant u_FDRS_1: primitives_type := u_FDRS + 1;
constant u_FDRSE: primitives_type := u_FDRS_1 + 1;
constant u_FDRSE_1: primitives_type := u_FDRSE + 1;
constant u_FDS: primitives_type := u_FDRSE_1 + 1;
constant u_FDS_1: primitives_type := u_FDS + 1;
constant u_FDSE: primitives_type := u_FDS_1 + 1;
constant u_FDSE_1: primitives_type := u_FDSE + 1;
constant u_FIFO16: primitives_type := u_FDSE_1 + 1;
constant u_FIFO18: primitives_type := u_FIFO16 + 1;
constant u_FIFO18_36: primitives_type := u_FIFO18 + 1;
constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1;
constant u_FIFO36: primitives_type := u_FIFO18E1 + 1;
constant u_FIFO36_72: primitives_type := u_FIFO36 + 1;
constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1;
constant u_FMAP: primitives_type := u_FIFO36E1 + 1;
constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1;
constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1;
constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1;
constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1;
constant u_GT10_10GE_4: primitives_type := u_GND + 1;
constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1;
constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1;
constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1;
constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1;
constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1;
constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1;
constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1;
constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1;
constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1;
constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1;
constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1;
constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1;
constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1;
constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1;
constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1;
constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1;
constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1;
constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1;
constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1;
constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1;
constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1;
constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1;
constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1;
constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1;
constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1;
constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1;
constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1;
constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1;
constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1;
constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1;
constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1;
constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1;
constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1;
constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1;
constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1;
constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1;
constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1;
constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1;
constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1;
constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1;
constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1;
constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1;
constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1;
constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1;
constant u_IBUF: primitives_type := u_GTXE1 + 1;
constant u_IBUF_AGP: primitives_type := u_IBUF + 1;
constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1;
constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1;
constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1;
constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1;
constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1;
constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1;
constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1;
constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1;
constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1;
constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1;
constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1;
constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1;
constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1;
constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1;
constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1;
constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1;
constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1;
constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1;
constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1;
constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1;
constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1;
constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1;
constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1;
constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1;
constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1;
constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1;
constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1;
constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1;
constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1;
constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1;
constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1;
constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1;
constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1;
constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1;
constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1;
constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1;
constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1;
constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1;
constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1;
constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1;
constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1;
constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1;
constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1;
constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1;
constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1;
constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1;
constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1;
constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1;
constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1;
constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1;
constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1;
constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1;
constant u_IDDR2: primitives_type := u_IDDR + 1;
constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1;
constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1;
constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1;
constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1;
constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1;
constant u_INV: primitives_type := u_IFDDRRSE + 1;
constant u_IOBUF: primitives_type := u_INV + 1;
constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1;
constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1;
constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1;
constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1;
constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1;
constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1;
constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1;
constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1;
constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1;
constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1;
constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1;
constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1;
constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1;
constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1;
constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1;
constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1;
constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1;
constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1;
constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1;
constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1;
constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1;
constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1;
constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1;
constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1;
constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1;
constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1;
constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1;
constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1;
constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1;
constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1;
constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1;
constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1;
constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1;
constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1;
constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1;
constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1;
constant u_IODELAY2: primitives_type := u_IODELAY + 1;
constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1;
constant u_IODRP2: primitives_type := u_IODELAYE1 + 1;
constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1;
constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1;
constant u_ISERDES2: primitives_type := u_ISERDES + 1;
constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1;
constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1;
constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1;
constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1;
constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1;
constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1;
constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1;
constant u_LD: primitives_type := u_KEY_CLEAR + 1;
constant u_LD_1: primitives_type := u_LD + 1;
constant u_LDC: primitives_type := u_LD_1 + 1;
constant u_LDC_1: primitives_type := u_LDC + 1;
constant u_LDCE: primitives_type := u_LDC_1 + 1;
constant u_LDCE_1: primitives_type := u_LDCE + 1;
constant u_LDCP: primitives_type := u_LDCE_1 + 1;
constant u_LDCP_1: primitives_type := u_LDCP + 1;
constant u_LDCPE: primitives_type := u_LDCP_1 + 1;
constant u_LDCPE_1: primitives_type := u_LDCPE + 1;
constant u_LDE: primitives_type := u_LDCPE_1 + 1;
constant u_LDE_1: primitives_type := u_LDE + 1;
constant u_LDP: primitives_type := u_LDE_1 + 1;
constant u_LDP_1: primitives_type := u_LDP + 1;
constant u_LDPE: primitives_type := u_LDP_1 + 1;
constant u_LDPE_1: primitives_type := u_LDPE + 1;
constant u_LUT1: primitives_type := u_LDPE_1 + 1;
constant u_LUT1_D: primitives_type := u_LUT1 + 1;
constant u_LUT1_L: primitives_type := u_LUT1_D + 1;
constant u_LUT2: primitives_type := u_LUT1_L + 1;
constant u_LUT2_D: primitives_type := u_LUT2 + 1;
constant u_LUT2_L: primitives_type := u_LUT2_D + 1;
constant u_LUT3: primitives_type := u_LUT2_L + 1;
constant u_LUT3_D: primitives_type := u_LUT3 + 1;
constant u_LUT3_L: primitives_type := u_LUT3_D + 1;
constant u_LUT4: primitives_type := u_LUT3_L + 1;
constant u_LUT4_D: primitives_type := u_LUT4 + 1;
constant u_LUT4_L: primitives_type := u_LUT4_D + 1;
constant u_LUT5: primitives_type := u_LUT4_L + 1;
constant u_LUT5_D: primitives_type := u_LUT5 + 1;
constant u_LUT5_L: primitives_type := u_LUT5_D + 1;
constant u_LUT6: primitives_type := u_LUT5_L + 1;
constant u_LUT6_D: primitives_type := u_LUT6 + 1;
constant u_LUT6_L: primitives_type := u_LUT6_D + 1;
constant u_MCB: primitives_type := u_LUT6_L + 1;
constant u_MMCM_ADV: primitives_type := u_MCB + 1;
constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1;
constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1;
constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1;
constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1;
constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1;
constant u_MUXCY: primitives_type := u_MULT_AND + 1;
constant u_MUXCY_D: primitives_type := u_MUXCY + 1;
constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1;
constant u_MUXF5: primitives_type := u_MUXCY_L + 1;
constant u_MUXF5_D: primitives_type := u_MUXF5 + 1;
constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1;
constant u_MUXF6: primitives_type := u_MUXF5_L + 1;
constant u_MUXF6_D: primitives_type := u_MUXF6 + 1;
constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1;
constant u_MUXF7: primitives_type := u_MUXF6_L + 1;
constant u_MUXF7_D: primitives_type := u_MUXF7 + 1;
constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1;
constant u_MUXF8: primitives_type := u_MUXF7_L + 1;
constant u_MUXF8_D: primitives_type := u_MUXF8 + 1;
constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1;
constant u_NAND2: primitives_type := u_MUXF8_L + 1;
constant u_NAND3: primitives_type := u_NAND2 + 1;
constant u_NAND4: primitives_type := u_NAND3 + 1;
constant u_NOR2: primitives_type := u_NAND4 + 1;
constant u_NOR3: primitives_type := u_NOR2 + 1;
constant u_NOR4: primitives_type := u_NOR3 + 1;
constant u_OBUF: primitives_type := u_NOR4 + 1;
constant u_OBUF_AGP: primitives_type := u_OBUF + 1;
constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1;
constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1;
constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1;
constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1;
constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1;
constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1;
constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1;
constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1;
constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1;
constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1;
constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1;
constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1;
constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1;
constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1;
constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1;
constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1;
constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1;
constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1;
constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1;
constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1;
constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1;
constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1;
constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1;
constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1;
constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1;
constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1;
constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1;
constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1;
constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1;
constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1;
constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1;
constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1;
constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1;
constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1;
constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1;
constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1;
constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1;
constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1;
constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1;
constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1;
constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1;
constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1;
constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1;
constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1;
constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1;
constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1;
constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1;
constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1;
constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1;
constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1;
constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1;
constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1;
constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1;
constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1;
constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1;
constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1;
constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1;
constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1;
constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1;
constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1;
constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1;
constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1;
constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1;
constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1;
constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1;
constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1;
constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1;
constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1;
constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1;
constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1;
constant u_ODDR2: primitives_type := u_ODDR + 1;
constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1;
constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1;
constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1;
constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1;
constant u_OR2: primitives_type := u_OFDDRTRSE + 1;
constant u_OR2L: primitives_type := u_OR2 + 1;
constant u_OR3: primitives_type := u_OR2L + 1;
constant u_OR4: primitives_type := u_OR3 + 1;
constant u_ORCY: primitives_type := u_OR4 + 1;
constant u_OSERDES: primitives_type := u_ORCY + 1;
constant u_OSERDES2: primitives_type := u_OSERDES + 1;
constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1;
constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1;
constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1;
constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1;
constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1;
constant u_PMCD: primitives_type := u_PLL_BASE + 1;
constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1;
constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1;
constant u_PPC405_ADV: primitives_type := u_PPC405 + 1;
constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1;
constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1;
constant u_PULLUP: primitives_type := u_PULLDOWN + 1;
constant u_RAM128X1D: primitives_type := u_PULLUP + 1;
constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1;
constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1;
constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1;
constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1;
constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1;
constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1;
constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1;
constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1;
constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1;
constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1;
constant u_RAM32M: primitives_type := u_RAM256X1S + 1;
constant u_RAM32X1D: primitives_type := u_RAM32M + 1;
constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1;
constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1;
constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1;
constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1;
constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1;
constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1;
constant u_RAM64M: primitives_type := u_RAM32X8S + 1;
constant u_RAM64X1D: primitives_type := u_RAM64M + 1;
constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1;
constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1;
constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1;
constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1;
constant u_RAMB16: primitives_type := u_RAM64X2S + 1;
constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1;
constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1;
constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1;
constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1;
constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1;
constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1;
constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1;
constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1;
constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1;
constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1;
constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1;
constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1;
constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1;
constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1;
constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1;
constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1;
constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1;
constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1;
constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1;
constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1;
constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1;
constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1;
constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1;
constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1;
constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1;
constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1;
constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1;
constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1;
constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1;
constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1;
constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1;
constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1;
constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1;
constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1;
constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1;
constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1;
constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1;
constant u_RAMB18E1: primitives_type := u_RAMB18 + 1;
constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1;
constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1;
constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1;
constant u_RAMB36E1: primitives_type := u_RAMB36 + 1;
constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1;
constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1;
constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1;
constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1;
constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1;
constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1;
constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1;
constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1;
constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1;
constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1;
constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1;
constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1;
constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1;
constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1;
constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1;
constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1;
constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1;
constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1;
constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1;
constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1;
constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1;
constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1;
constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1;
constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1;
constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1;
constant u_ROM16X1: primitives_type := u_ROM128X1 + 1;
constant u_ROM256X1: primitives_type := u_ROM16X1 + 1;
constant u_ROM32X1: primitives_type := u_ROM256X1 + 1;
constant u_ROM64X1: primitives_type := u_ROM32X1 + 1;
constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1;
constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1;
constant u_SRL16: primitives_type := u_SPI_ACCESS + 1;
constant u_SRL16_1: primitives_type := u_SRL16 + 1;
constant u_SRL16E: primitives_type := u_SRL16_1 + 1;
constant u_SRL16E_1: primitives_type := u_SRL16E + 1;
constant u_SRLC16: primitives_type := u_SRL16E_1 + 1;
constant u_SRLC16_1: primitives_type := u_SRLC16 + 1;
constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1;
constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1;
constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1;
constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1;
constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1;
constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1;
constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1;
constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1;
constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1;
constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1;
constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1;
constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1;
constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1;
constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1;
constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1;
constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1;
constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1;
constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1;
constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1;
constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1;
constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1;
constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1;
constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1;
constant u_TOCBUF: primitives_type := u_TOC + 1;
constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1;
constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1;
constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1;
constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1;
constant u_XNOR2: primitives_type := u_VCC + 1;
constant u_XNOR3: primitives_type := u_XNOR2 + 1;
constant u_XNOR4: primitives_type := u_XNOR3 + 1;
constant u_XOR2: primitives_type := u_XNOR4 + 1;
constant u_XOR3: primitives_type := u_XOR2 + 1;
constant u_XOR4: primitives_type := u_XOR3 + 1;
constant u_XORCY: primitives_type := u_XOR4 + 1;
constant u_XORCY_D: primitives_type := u_XORCY + 1;
constant u_XORCY_L: primitives_type := u_XORCY_D + 1;
-- Primitives added for artix7, kintex6, virtex7, and zynq
constant u_AND2B1: primitives_type := u_XORCY_L + 1;
constant u_AND2B2: primitives_type := u_AND2B1 + 1;
constant u_AND3B1: primitives_type := u_AND2B2 + 1;
constant u_AND3B2: primitives_type := u_AND3B1 + 1;
constant u_AND3B3: primitives_type := u_AND3B2 + 1;
constant u_AND4B1: primitives_type := u_AND3B3 + 1;
constant u_AND4B2: primitives_type := u_AND4B1 + 1;
constant u_AND4B3: primitives_type := u_AND4B2 + 1;
constant u_AND4B4: primitives_type := u_AND4B3 + 1;
constant u_AND5: primitives_type := u_AND4B4 + 1;
constant u_AND5B1: primitives_type := u_AND5 + 1;
constant u_AND5B2: primitives_type := u_AND5B1 + 1;
constant u_AND5B3: primitives_type := u_AND5B2 + 1;
constant u_AND5B4: primitives_type := u_AND5B3 + 1;
constant u_AND5B5: primitives_type := u_AND5B4 + 1;
constant u_BSCANE2: primitives_type := u_AND5B5 + 1;
constant u_BUFMR: primitives_type := u_BSCANE2 + 1;
constant u_BUFMRCE: primitives_type := u_BUFMR + 1;
constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1;
constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1;
constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1;
constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1;
constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1;
constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1;
constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1;
constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1;
constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1;
constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1;
constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1;
constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1;
constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1;
constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1;
constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1;
constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1;
constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1;
constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1;
constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1;
constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1;
constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1;
constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1;
constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1;
constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1;
constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1;
constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1;
constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1;
constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1;
constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1;
constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1;
constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1;
constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1;
constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1;
constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1;
constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1;
constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1;
constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1;
constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1;
constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1;
constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1;
constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1;
constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1;
constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1;
constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1;
constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1;
constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1;
constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1;
constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1;
constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1;
constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1;
constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1;
constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1;
constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1;
constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1;
constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1;
constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1;
constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1;
constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1;
constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1;
constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1;
constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1;
constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1;
constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1;
constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1;
constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1;
constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1;
constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1;
constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1;
constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1;
constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1;
constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1;
constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1;
constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1;
constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1;
constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1;
constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1;
constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1;
constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1;
constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1;
constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1;
constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1;
constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1;
constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1;
constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1;
constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1;
constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1;
constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1;
constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1;
constant u_NAND2B2: primitives_type := u_NAND2B1 + 1;
constant u_NAND3B1: primitives_type := u_NAND2B2 + 1;
constant u_NAND3B2: primitives_type := u_NAND3B1 + 1;
constant u_NAND3B3: primitives_type := u_NAND3B2 + 1;
constant u_NAND4B1: primitives_type := u_NAND3B3 + 1;
constant u_NAND4B2: primitives_type := u_NAND4B1 + 1;
constant u_NAND4B3: primitives_type := u_NAND4B2 + 1;
constant u_NAND4B4: primitives_type := u_NAND4B3 + 1;
constant u_NAND5: primitives_type := u_NAND4B4 + 1;
constant u_NAND5B1: primitives_type := u_NAND5 + 1;
constant u_NAND5B2: primitives_type := u_NAND5B1 + 1;
constant u_NAND5B3: primitives_type := u_NAND5B2 + 1;
constant u_NAND5B4: primitives_type := u_NAND5B3 + 1;
constant u_NAND5B5: primitives_type := u_NAND5B4 + 1;
constant u_NOR2B1: primitives_type := u_NAND5B5 + 1;
constant u_NOR2B2: primitives_type := u_NOR2B1 + 1;
constant u_NOR3B1: primitives_type := u_NOR2B2 + 1;
constant u_NOR3B2: primitives_type := u_NOR3B1 + 1;
constant u_NOR3B3: primitives_type := u_NOR3B2 + 1;
constant u_NOR4B1: primitives_type := u_NOR3B3 + 1;
constant u_NOR4B2: primitives_type := u_NOR4B1 + 1;
constant u_NOR4B3: primitives_type := u_NOR4B2 + 1;
constant u_NOR4B4: primitives_type := u_NOR4B3 + 1;
constant u_NOR5: primitives_type := u_NOR4B4 + 1;
constant u_NOR5B1: primitives_type := u_NOR5 + 1;
constant u_NOR5B2: primitives_type := u_NOR5B1 + 1;
constant u_NOR5B3: primitives_type := u_NOR5B2 + 1;
constant u_NOR5B4: primitives_type := u_NOR5B3 + 1;
constant u_NOR5B5: primitives_type := u_NOR5B4 + 1;
constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1;
constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1;
constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1;
constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1;
constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1;
constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1;
constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1;
constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1;
constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1;
constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1;
constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1;
constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1;
constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1;
constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1;
constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1;
constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1;
constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1;
constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1;
constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1;
constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1;
constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1;
constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1;
constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1;
constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1;
constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1;
constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1;
constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1;
constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1;
constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1;
constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1;
constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1;
constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1;
constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1;
constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1;
constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1;
constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1;
constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1;
constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1;
constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1;
constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1;
constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1;
constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1;
constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1;
constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1;
constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1;
constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1;
constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1;
constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1;
constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1;
constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1;
constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1;
constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1;
constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1;
constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1;
constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1;
constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1;
constant u_OR2B1: primitives_type := u_ODELAYE2 + 1;
constant u_OR2B2: primitives_type := u_OR2B1 + 1;
constant u_OR3B1: primitives_type := u_OR2B2 + 1;
constant u_OR3B2: primitives_type := u_OR3B1 + 1;
constant u_OR3B3: primitives_type := u_OR3B2 + 1;
constant u_OR4B1: primitives_type := u_OR3B3 + 1;
constant u_OR4B2: primitives_type := u_OR4B1 + 1;
constant u_OR4B3: primitives_type := u_OR4B2 + 1;
constant u_OR4B4: primitives_type := u_OR4B3 + 1;
constant u_OR5: primitives_type := u_OR4B4 + 1;
constant u_OR5B1: primitives_type := u_OR5 + 1;
constant u_OR5B2: primitives_type := u_OR5B1 + 1;
constant u_OR5B3: primitives_type := u_OR5B2 + 1;
constant u_OR5B4: primitives_type := u_OR5B3 + 1;
constant u_OR5B5: primitives_type := u_OR5B4 + 1;
constant u_OSERDESE2: primitives_type := u_OR5B5 + 1;
constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1;
constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1;
constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1;
constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1;
constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1;
constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1;
constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1;
constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1;
constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1;
constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1;
constant u_PSS: primitives_type := u_PLLE2_BASE + 1;
constant u_RAMD32: primitives_type := u_PSS + 1;
constant u_RAMD64E: primitives_type := u_RAMD32 + 1;
constant u_RAMS32: primitives_type := u_RAMD64E + 1;
constant u_RAMS64E: primitives_type := u_RAMS32 + 1;
constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1;
constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1;
constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1;
constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1;
constant u_XNOR5: primitives_type := u_XADC + 1;
constant u_XOR5: primitives_type := u_XNOR5 + 1;
constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1;
-- Primitives added for OLYMPUS support
constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1;
constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1;
constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1;
constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1;
constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1;
constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1;
constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1;
constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1;
constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1;
constant u_MCAP : primitives_type := u_JTAG_SIME3 +1;
constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1;
constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1;
constant u_CARRY8 : primitives_type := u_SYSMONE1 +1;
constant u_DSP48E2 : primitives_type := u_CARRY8 +1;
constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1;
constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1;
constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1;
constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1;
constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1;
constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1;
constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1;
constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1;
constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1;
constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1;
constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1;
constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1;
constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1;
constant u_RAM512X1S : primitives_type := u_RAM256X1D +1;
constant u_RAM32M16 : primitives_type := u_RAM512X1S +1;
constant u_RAM64M8 : primitives_type := u_RAM32M16 +1;
constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1;
constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1;
constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1;
constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1;
constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1;
constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1;
constant u_GTY : primitives_type := u_GTPE3_COMMON +1;
constant u_GTZE2_OCTAL : primitives_type := u_GTY +1;
constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1;
constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1;
constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1;
constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1;
constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1;
constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1;
constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1;
constant u_TXPLL : primitives_type := u_OSERDESE3 +1;
constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1;
constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1;
constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1;
constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1;
constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1;
constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1;
constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1;
constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1;
constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1;
constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1;
constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1;
constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1;
constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1;
constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1;
constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1;
constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1;
constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1;
constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1;
constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1;
constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1;
constant u_IDDRE1 : primitives_type := u_ODDRE1 +1;
type primitive_array_type is array (natural range <>) of primitives_type;
----------------------------------------------------------------------------
-- Returns true if primitive is available in family.
--
-- Examples:
--
-- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2
-- primitive is available in the
-- virtex2 family.
--
-- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4
-- primitive is not available in the
-- spartan3 family.
----------------------------------------------------------------------------
function supported( family : families_type;
primitive : primitives_type
) return boolean;
----------------------------------------------------------------------------
-- This is an overload of function 'supported' (see above). It allows a list
-- of primitives to be tested.
--
-- Returns true if all of primitives in the list are available in family.
--
-- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD))
-- is
-- equivalent to: supported(spartan3, u_MUXCY) and
-- supported(spartan3, u_XORCY) and
-- supported(spartan3, u_FD);
----------------------------------------------------------------------------
function supported( family : families_type;
primitives : primitive_array_type
) return boolean;
----------------------------------------------------------------------------
-- Below, are overloads of function 'supported' that allow the family
-- parameter to be passed as a string. These correspond to the above two
-- functions otherwise.
----------------------------------------------------------------------------
function supported( fam_as_str : string;
primitive : primitives_type
) return boolean;
function supported( fam_as_str : string;
primitives : primitive_array_type
) return boolean;
----------------------------------------------------------------------------
-- Conversions from/to STRING to/from families_type.
-- These are convenience functions that are not normally needed when
-- using the 'supported' functions.
----------------------------------------------------------------------------
function str2fam( fam_as_string : string ) return families_type;
function fam2str( fam : families_type ) return string;
----------------------------------------------------------------------------
-- Function: native_lut_size
--
-- Returns the largest LUT size available in FPGA family, fam.
-- If no LUT is available in fam, then returns zero by default, unless
-- the call specifies a no_lut_return_val, in which case this value
-- is returned.
--
-- The function is available in two overload versions, one for each
-- way of passing the fam argument.
----------------------------------------------------------------------------
function native_lut_size( fam : families_type;
no_lut_return_val : natural := 0
) return natural;
function native_lut_size( fam_as_string : string;
no_lut_return_val : natural := 0
) return natural;
----------------------------------------------------------------------------
-- Function: equalIgnoringCase
--
-- Compare one string against another for equality with case insensitivity.
-- Can be used to test see if a family, C_FAMILY, is equal to some
-- family. However such usage is discouraged. Use instead availability
-- primitive guards based on the function, 'supported', wherever possible.
----------------------------------------------------------------------------
function equalIgnoringCase( str1, str2 : string ) return boolean;
----------------------------------------------------------------------------
-- Function: get_root_family
--
-- This function takes in the string for the desired FPGA family type and
-- returns the root FPGA family type. This is used for derivative part
-- aliasing to the root family.
----------------------------------------------------------------------------
function get_root_family( family_in : string ) return string;
end package family_support;
package body family_support is
type prim_status_type is (
n -- no
, y -- yes
, u -- unknown, not used. However, we use
-- an enumeration to allow for
-- possible future enhancement.
);
type fam_prim_status is array (primitives_type) of prim_status_type;
type fam_has_prim_type is array (families_type) of fam_prim_status;
-- Performance workaround (XST procedure and function handling).
-- The fam_has_prim constant is initialized by an aggregate rather than by the
-- following function. A version of this file with this function not
-- commented was employed in building the aggregate. So, what is below still
-- defines the family-primitive matirix.
--# ----------------------------------------------------------------------------
--# -- This function is used to populate the matrix of family/primitive values.
--# ----------------------------------------------------------------------------
--# ---(
--# function prim_population return fam_has_prim_type is
--# variable pp : fam_has_prim_type := (others => (others => n));
--#
--# procedure set_to( stat : prim_status_type
--# ; fam : families_type
--# ; prim_list : primitive_array_type
--# ) is
--# begin
--# for i in prim_list'range loop
--# pp(fam)(prim_list(i)) := stat;
--# end loop;
--# end set_to;
--#
--# begin
--# set_to(y, virtex, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX
--# , u_CLKDLL
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_IBUFG_AGP
--# , u_IBUFG_CTT
--# , u_IBUFG_GTL
--# , u_IBUFG_GTLP
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_IV
--# , u_IBUFG_LVCMOS2
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI33_5
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_SSTL2_I
--# , u_IBUFG_SSTL2_II
--# , u_IBUFG_SSTL3_I
--# , u_IBUFG_SSTL3_II
--# , u_IBUF_AGP
--# , u_IBUF_CTT
--# , u_IBUF_GTL
--# , u_IBUF_GTLP
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_IV
--# , u_IBUF_LVCMOS2
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI33_5
--# , u_IBUF_PCI66_3
--# , u_IBUF_SSTL2_I
--# , u_IBUF_SSTL2_II
--# , u_IBUF_SSTL3_I
--# , u_IBUF_SSTL3_II
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF_AGP
--# , u_IOBUF_CTT
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_GTL
--# , u_IOBUF_GTLP
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_IV
--# , u_IOBUF_LVCMOS2
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI33_5
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_SSTL2_I
--# , u_IOBUF_SSTL2_II
--# , u_IOBUF_SSTL3_I
--# , u_IOBUF_SSTL3_II
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OBUFT_AGP
--# , u_OBUFT_CTT
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_GTL
--# , u_OBUFT_GTLP
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_IV
--# , u_OBUFT_LVCMOS2
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI33_5
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_SSTL2_I
--# , u_OBUFT_SSTL2_II
--# , u_OBUFT_SSTL3_I
--# , u_OBUFT_SSTL3_II
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUF_AGP
--# , u_OBUF_CTT
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_GTL
--# , u_OBUF_GTLP
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_IV
--# , u_OBUF_LVCMOS2
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI33_5
--# , u_OBUF_PCI66_3
--# , u_OBUF_SSTL2_I
--# , u_OBUF_SSTL2_II
--# , u_OBUF_SSTL3_I
--# , u_OBUF_SSTL3_II
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_VIRTEX
--# , u_STARTUP_VIRTEX
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# set_to(y, spartan2, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_SPARTAN2
--# , u_CLKDLL
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_IBUFG_AGP
--# , u_IBUFG_CTT
--# , u_IBUFG_GTL
--# , u_IBUFG_GTLP
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_IV
--# , u_IBUFG_LVCMOS2
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI33_5
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_SSTL2_I
--# , u_IBUFG_SSTL2_II
--# , u_IBUFG_SSTL3_I
--# , u_IBUFG_SSTL3_II
--# , u_IBUF_AGP
--# , u_IBUF_CTT
--# , u_IBUF_GTL
--# , u_IBUF_GTLP
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_IV
--# , u_IBUF_LVCMOS2
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI33_5
--# , u_IBUF_PCI66_3
--# , u_IBUF_SSTL2_I
--# , u_IBUF_SSTL2_II
--# , u_IBUF_SSTL3_I
--# , u_IBUF_SSTL3_II
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF_AGP
--# , u_IOBUF_CTT
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_GTL
--# , u_IOBUF_GTLP
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_IV
--# , u_IOBUF_LVCMOS2
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI33_5
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_SSTL2_I
--# , u_IOBUF_SSTL2_II
--# , u_IOBUF_SSTL3_I
--# , u_IOBUF_SSTL3_II
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OBUFT_AGP
--# , u_OBUFT_CTT
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_GTL
--# , u_OBUFT_GTLP
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_IV
--# , u_OBUFT_LVCMOS2
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI33_5
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_SSTL2_I
--# , u_OBUFT_SSTL2_II
--# , u_OBUFT_SSTL3_I
--# , u_OBUFT_SSTL3_II
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUF_AGP
--# , u_OBUF_CTT
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_GTL
--# , u_OBUF_GTLP
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_IV
--# , u_OBUF_LVCMOS2
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI33_5
--# , u_OBUF_PCI66_3
--# , u_OBUF_SSTL2_I
--# , u_OBUF_SSTL2_II
--# , u_OBUF_SSTL3_I
--# , u_OBUF_SSTL3_II
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_SPARTAN2
--# , u_STARTUP_SPARTAN2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# set_to(y, spartan2e, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_SPARTAN2
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_IBUFG_AGP
--# , u_IBUFG_CTT
--# , u_IBUFG_GTL
--# , u_IBUFG_GTLP
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_IV
--# , u_IBUFG_LVCMOS18
--# , u_IBUFG_LVCMOS2
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_PCIX66_3
--# , u_IBUFG_SSTL2_I
--# , u_IBUFG_SSTL2_II
--# , u_IBUFG_SSTL3_I
--# , u_IBUFG_SSTL3_II
--# , u_IBUF_AGP
--# , u_IBUF_CTT
--# , u_IBUF_GTL
--# , u_IBUF_GTLP
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_IV
--# , u_IBUF_LVCMOS18
--# , u_IBUF_LVCMOS2
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI66_3
--# , u_IBUF_PCIX66_3
--# , u_IBUF_SSTL2_I
--# , u_IBUF_SSTL2_II
--# , u_IBUF_SSTL3_I
--# , u_IBUF_SSTL3_II
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF_AGP
--# , u_IOBUF_CTT
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_GTL
--# , u_IOBUF_GTLP
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_IV
--# , u_IOBUF_LVCMOS18
--# , u_IOBUF_LVCMOS2
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_SSTL2_I
--# , u_IOBUF_SSTL2_II
--# , u_IOBUF_SSTL3_I
--# , u_IOBUF_SSTL3_II
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OBUFT_AGP
--# , u_OBUFT_CTT
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_GTL
--# , u_OBUFT_GTLP
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_IV
--# , u_OBUFT_LVCMOS18
--# , u_OBUFT_LVCMOS2
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_SSTL2_I
--# , u_OBUFT_SSTL2_II
--# , u_OBUFT_SSTL3_I
--# , u_OBUFT_SSTL3_II
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUF_AGP
--# , u_OBUF_CTT
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_GTL
--# , u_OBUF_GTLP
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_IV
--# , u_OBUF_LVCMOS18
--# , u_OBUF_LVCMOS2
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI66_3
--# , u_OBUF_PCIX66_3
--# , u_OBUF_SSTL2_I
--# , u_OBUF_SSTL2_II
--# , u_OBUF_SSTL3_I
--# , u_OBUF_SSTL3_II
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_SPARTAN2
--# , u_STARTUP_SPARTAN2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# set_to(y, virtexe, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGDLL
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFG
--# , u_INV
--# , u_IOBUF
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFT
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAMB4_S1
--# , u_RAMB4_S16
--# , u_RAMB4_S16_S16
--# , u_RAMB4_S1_S1
--# , u_RAMB4_S1_S16
--# , u_RAMB4_S1_S2
--# , u_RAMB4_S1_S4
--# , u_RAMB4_S1_S8
--# , u_RAMB4_S2
--# , u_RAMB4_S2_S16
--# , u_RAMB4_S2_S2
--# , u_RAMB4_S2_S4
--# , u_RAMB4_S2_S8
--# , u_RAMB4_S4
--# , u_RAMB4_S4_S16
--# , u_RAMB4_S4_S4
--# , u_RAMB4_S4_S8
--# , u_RAMB4_S8
--# , u_RAMB4_S8_S16
--# , u_RAMB4_S8_S8
--# , u_ROM16X1
--# , u_ROM32X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_STARTBUF_VIRTEX
--# , u_STARTUP_VIRTEX
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# set_to(y, virtex2, (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX2
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_VIRTEX2
--# , u_STARTUP_VIRTEX2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(qvirtex2) := pp(virtex2);
--# --
--# pp(qrvirtex2) := pp(virtex2);
--# --
--# set_to(y, virtex2p,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFE
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFT
--# , u_CAPTURE_VIRTEX2
--# , u_CLKDLL
--# , u_CLKDLLE
--# , u_CLKDLLHF
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_GT10_10GE_4
--# , u_GT10_10GE_8
--# , u_GT10_10GFC_4
--# , u_GT10_10GFC_8
--# , u_GT10_AURORAX_4
--# , u_GT10_AURORAX_8
--# , u_GT10_AURORA_1
--# , u_GT10_AURORA_2
--# , u_GT10_AURORA_4
--# , u_GT10_CUSTOM
--# , u_GT10_INFINIBAND_1
--# , u_GT10_INFINIBAND_2
--# , u_GT10_INFINIBAND_4
--# , u_GT10_OC192_4
--# , u_GT10_OC192_8
--# , u_GT10_OC48_1
--# , u_GT10_OC48_2
--# , u_GT10_OC48_4
--# , u_GT10_PCI_EXPRESS_1
--# , u_GT10_PCI_EXPRESS_2
--# , u_GT10_PCI_EXPRESS_4
--# , u_GT10_XAUI_1
--# , u_GT10_XAUI_2
--# , u_GT10_XAUI_4
--# , u_GT_AURORA_1
--# , u_GT_AURORA_2
--# , u_GT_AURORA_4
--# , u_GT_CUSTOM
--# , u_GT_ETHERNET_1
--# , u_GT_ETHERNET_2
--# , u_GT_ETHERNET_4
--# , u_GT_FIBRE_CHAN_1
--# , u_GT_FIBRE_CHAN_2
--# , u_GT_FIBRE_CHAN_4
--# , u_GT_INFINIBAND_1
--# , u_GT_INFINIBAND_2
--# , u_GT_INFINIBAND_4
--# , u_GT_XAUI_1
--# , u_GT_XAUI_2
--# , u_GT_XAUI_4
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_JTAGPPC
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PPC405
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_VIRTEX2
--# , u_STARTUP_VIRTEX2
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# set_to(y, spartan3,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN3
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_CAPTURE_SPARTAN3
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_SPARTAN3
--# , u_STARTUP_SPARTAN3
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(aspartan3) := pp(spartan3);
--# --
--# set_to(y, spartan3e,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_SPARTAN3
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_CAPTURE_SPARTAN3E
--# , u_DCM
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FMAP
--# , u_GND
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_IDDR2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT18X18SIO
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR2
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_SPARTAN3E
--# , u_STARTUP_SPARTAN3E
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(aspartan3e) := pp(spartan3e);
--# --
--# set_to(y, virtex4fx,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX4
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_VIRTEX4
--# , u_BUFGP
--# , u_BUFGP
--# , u_BUFIO
--# , u_BUFR
--# , u_CAPTURE_VIRTEX4
--# , u_DCIRESET
--# , u_DCM
--# , u_DCM_ADV
--# , u_DCM_BASE
--# , u_DCM_PS
--# , u_DSP48
--# , u_EMAC
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FIFO16
--# , u_FMAP
--# , u_FRAME_ECC_VIRTEX4
--# , u_GND
--# , u_GT11CLK
--# , u_GT11CLK_MGT
--# , u_GT11_CUSTOM
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX4
--# , u_IDDR
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_ISERDES
--# , u_JTAGPPC
--# , u_KEEPER
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_OSERDES
--# , u_PMCD
--# , u_PPC405
--# , u_PPC405_ADV
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB32_S64_ECC
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_STARTBUF_VIRTEX4
--# , u_STARTUP_VIRTEX4
--# , u_TOC
--# , u_TOCBUF
--# , u_USR_ACCESS_VIRTEX4
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(virtex4sx) := pp(virtex4fx);
--# --
--# pp(virtex4lx) := pp(virtex4fx);
--# set_to(n, virtex4lx, (u_EMAC,
--# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM,
--# u_JTAGPPC, u_PPC405, u_PPC405_ADV
--# ) );
--# --
--# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set
--# -- of primitives that EVERY virtex4
--# -- device supports, i.e.. a design that uses
--# -- the virtex4 subset of primitives
--# -- is compatible with any variant of
--# -- the virtex4 family.
--# --
--# pp(qvirtex4) := pp(virtex4);
--# --
--# pp(qrvirtex4) := pp(virtex4);
--# --
--# set_to(y, virtex5,
--# (
--# u_AND2
--# , u_AND3
--# , u_AND4
--# , u_BSCAN_VIRTEX5
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_CTRL
--# , u_BUFGP
--# , u_BUFIO
--# , u_BUFR
--# , u_CAPTURE_VIRTEX5
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_CRC32
--# , u_CRC64
--# , u_DCIRESET
--# , u_DCM
--# , u_DCM_ADV
--# , u_DCM_BASE
--# , u_DCM_PS
--# , u_DSP48
--# , u_DSP48E
--# , u_EMAC
--# , u_FD
--# , u_FDC
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDCP_1
--# , u_FDC_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDP_1
--# , u_FDR
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDRS_1
--# , u_FDR_1
--# , u_FDS
--# , u_FDSE
--# , u_FDSE_1
--# , u_FDS_1
--# , u_FD_1
--# , u_FIFO16
--# , u_FIFO18
--# , u_FIFO18_36
--# , u_FIFO36
--# , u_FIFO36_72
--# , u_FMAP
--# , u_FRAME_ECC_VIRTEX5
--# , u_GND
--# , u_GT11CLK
--# , u_GT11CLK_MGT
--# , u_GT11_CUSTOM
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX5
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IODELAY
--# , u_ISERDES
--# , u_ISERDES_NODELAY
--# , u_KEEPER
--# , u_KEY_CLEAR
--# , u_LD
--# , u_LDC
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDCP_1
--# , u_LDC_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDPE
--# , u_LDPE_1
--# , u_LDP_1
--# , u_LD_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR3
--# , u_OR4
--# , u_OSERDES
--# , u_PLL_ADV
--# , u_PLL_BASE
--# , u_PMCD
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB18
--# , u_RAMB18SDP
--# , u_RAMB32_S64_ECC
--# , u_RAMB36
--# , u_RAMB36SDP
--# , u_RAMB36SDP_EXP
--# , u_RAMB36_EXP
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRL16_1
--# , u_SRLC16
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC16_1
--# , u_SRLC32E
--# , u_STARTUP_VIRTEX5
--# , u_SYSMON
--# , u_TOC
--# , u_TOCBUF
--# , u_USR_ACCESS_VIRTEX5
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# )
--# );
--# --
--# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking
--# -- differences from spartan3e.
--# set_to(n, spartan3a, (
--# u_BSCAN_SPARTAN3
--# , u_CAPTURE_SPARTAN3E
--# , u_DUMMY_INV
--# , u_DUMMY_NOR2
--# , u_STARTBUF_SPARTAN3E
--# , u_STARTUP_SPARTAN3E
--# ) );
--# set_to(y, spartan3a, (
--# u_BSCAN_SPARTAN3A
--# , u_CAPTURE_SPARTAN3A
--# , u_DCM_PS
--# , u_DNA_PORT
--# , u_IBUF_DLY_ADJ
--# , u_IBUFDS_DLY_ADJ
--# , u_ICAP_SPARTAN3A
--# , u_RAMB16BWE
--# , u_RAMB16BWE_S18
--# , u_RAMB16BWE_S18_S18
--# , u_RAMB16BWE_S18_S9
--# , u_RAMB16BWE_S36
--# , u_RAMB16BWE_S36_S18
--# , u_RAMB16BWE_S36_S36
--# , u_RAMB16BWE_S36_S9
--# , u_SPI_ACCESS
--# , u_STARTUP_SPARTAN3A
--# ) );
--#
--# --
--# pp(aspartan3a) := pp(spartan3a);
--# --
--# pp(spartan3an) := pp(spartan3a);
--# --
--# pp(spartan3adsp) := pp(spartan3a);
--# set_to(y, spartan3adsp, (
--# u_DSP48A
--# , u_RAMB16BWER
--# ) );
--# --
--# pp(aspartan3adsp) := pp(spartan3adsp);
--# --
--# set_to(y, spartan6, (
--# u_AND2
--# , u_AND2B1L
--# , u_AND3
--# , u_AND4
--# , u_AUTOBUF
--# , u_BSCAN_SPARTAN6
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGDLL
--# , u_BUFGMUX
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFIO2
--# , u_BUFIO2_2CLK
--# , u_BUFIO2FB
--# , u_BUFIO2FB_2CLK
--# , u_BUFPLL
--# , u_BUFPLL_MCB
--# , u_CAPTURE_SPARTAN3A
--# , u_DCM
--# , u_DCM_CLKGEN
--# , u_DCM_PS
--# , u_DNA_PORT
--# , u_DSP48A1
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FMAP
--# , u_GND
--# , u_GTPA1_DUAL
--# , u_IBUF
--# , u_IBUF_DLY_ADJ
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DLY_ADJ
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_SPARTAN3A
--# , u_ICAP_SPARTAN6
--# , u_IDDR2
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IODELAY2
--# , u_IODRP2
--# , u_IODRP2_MCB
--# , u_ISERDES2
--# , u_JTAG_SIM_SPARTAN6
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MCB
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT18X18SIO
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_OCT_CALIBRATE
--# , u_ODDR2
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR2L
--# , u_OR3
--# , u_OR4
--# , u_ORCY
--# , u_OSERDES2
--# , u_PCIE_A1
--# , u_PLL_ADV
--# , u_POST_CRC_INTERNAL
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAMB16BWE
--# , u_RAMB16BWE_S18
--# , u_RAMB16BWE_S18_S18
--# , u_RAMB16BWE_S18_S9
--# , u_RAMB16BWE_S36
--# , u_RAMB16BWE_S36_S18
--# , u_RAMB16BWE_S36_S36
--# , u_RAMB16BWE_S36_S9
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB8BWER
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SLAVE_SPI
--# , u_SPI_ACCESS
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUP_SPARTAN3A
--# , u_STARTUP_SPARTAN6
--# , u_SUSPEND_SYNC
--# , u_TOC
--# , u_TOCBUF
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# ) );
--# --
--# --
--# set_to(y, virtex6, (
--# u_AND2
--# , u_AND2B1L
--# , u_AND3
--# , u_AND4
--# , u_AUTOBUF
--# , u_BSCAN_VIRTEX6
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGMUX_CTRL
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFIODQS
--# , u_BUFR
--# , u_CAPTURE_VIRTEX5
--# , u_CAPTURE_VIRTEX6
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_CRC32
--# , u_CRC64
--# , u_DCIRESET
--# , u_DCIRESET
--# , u_DCM
--# , u_DCM_ADV
--# , u_DCM_BASE
--# , u_DCM_PS
--# , u_DSP48
--# , u_DSP48E
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_EMAC
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDDRCPE
--# , u_FDDRRSE
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO16
--# , u_FIFO18
--# , u_FIFO18_36
--# , u_FIFO18E1
--# , u_FIFO36
--# , u_FIFO36_72
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECC_VIRTEX5
--# , u_FRAME_ECC_VIRTEX6
--# , u_GND
--# , u_GT11CLK
--# , u_GT11CLK_MGT
--# , u_GT11_CUSTOM
--# , u_GTXE1
--# , u_IBUF
--# , u_IBUF
--# , u_IBUFDS
--# , u_IBUFDS
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_GTXE1
--# , u_IBUFG
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_ICAP_VIRTEX5
--# , u_ICAP_VIRTEX6
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IFDDRCPE
--# , u_IFDDRRSE
--# , u_INV
--# , u_IOBUF
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS
--# , u_IOBUFDS_DIFF_OUT
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDES
--# , u_ISERDESE1
--# , u_ISERDES_NODELAY
--# , u_JTAG_SIM_VIRTEX6
--# , u_KEEPER
--# , u_KEY_CLEAR
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCM_ADV
--# , u_MMCM_BASE
--# , u_MULT18X18
--# , u_MULT18X18S
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND3
--# , u_NAND4
--# , u_NOR2
--# , u_NOR3
--# , u_NOR4
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFT
--# , u_OBUFTDS
--# , u_ODDR
--# , u_OFDDRCPE
--# , u_OFDDRRSE
--# , u_OFDDRTCPE
--# , u_OFDDRTRSE
--# , u_OR2
--# , u_OR2L
--# , u_OR3
--# , u_OR4
--# , u_OSERDES
--# , u_OSERDESE1
--# , u_PCIE_2_0
--# , u_PLL_ADV
--# , u_PLL_BASE
--# , u_PMCD
--# , u_PPR_FRAME
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16
--# , u_RAMB16_S1
--# , u_RAMB16_S18
--# , u_RAMB16_S18_S18
--# , u_RAMB16_S18_S36
--# , u_RAMB16_S1_S1
--# , u_RAMB16_S1_S18
--# , u_RAMB16_S1_S2
--# , u_RAMB16_S1_S36
--# , u_RAMB16_S1_S4
--# , u_RAMB16_S1_S9
--# , u_RAMB16_S2
--# , u_RAMB16_S2_S18
--# , u_RAMB16_S2_S2
--# , u_RAMB16_S2_S36
--# , u_RAMB16_S2_S4
--# , u_RAMB16_S2_S9
--# , u_RAMB16_S36
--# , u_RAMB16_S36_S36
--# , u_RAMB16_S4
--# , u_RAMB16_S4_S18
--# , u_RAMB16_S4_S36
--# , u_RAMB16_S4_S4
--# , u_RAMB16_S4_S9
--# , u_RAMB16_S9
--# , u_RAMB16_S9_S18
--# , u_RAMB16_S9_S36
--# , u_RAMB16_S9_S9
--# , u_RAMB18
--# , u_RAMB18E1
--# , u_RAMB18SDP
--# , u_RAMB32_S64_ECC
--# , u_RAMB36
--# , u_RAMB36E1
--# , u_RAMB36_EXP
--# , u_RAMB36SDP
--# , u_RAMB36SDP_EXP
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUP_VIRTEX5
--# , u_STARTUP_VIRTEX6
--# , u_SYSMON
--# , u_SYSMON
--# , u_TEMAC_SINGLE
--# , u_TOC
--# , u_TOCBUF
--# , u_USR_ACCESS_VIRTEX5
--# , u_USR_ACCESS_VIRTEX6
--# , u_VCC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# ) );
--# --
--# pp(spartan6l) := pp(spartan6);
--# --
--# pp(qspartan6) := pp(spartan6);
--# --
--# pp(aspartan6) := pp(spartan6);
--# --
--# pp(virtex6l) := pp(virtex6);
--# --
--# pp(qspartan6l) := pp(spartan6);
--# --
--# pp(qvirtex5) := pp(virtex5);
--# --
--# pp(qvirtex6) := pp(virtex6);
--# --
--# pp(qrvirtex5) := pp(virtex5);
--# --
--# pp(virtex5tx) := pp(virtex5);
--# --
--# pp(virtex5fx) := pp(virtex5);
--# --
--# pp(virtex6cx) := pp(virtex6);
--# --
--# set_to(y, kintex7, (
--# u_AND2
--# , u_AND2B1
--# , u_AND2B1L
--# , u_AND2B2
--# , u_AND3
--# , u_AND3B1
--# , u_AND3B2
--# , u_AND3B3
--# , u_AND4
--# , u_AND4B1
--# , u_AND4B2
--# , u_AND4B3
--# , u_AND4B4
--# , u_AND5
--# , u_AND5B1
--# , u_AND5B2
--# , u_AND5B3
--# , u_AND5B4
--# , u_AND5B5
--# , u_AUTOBUF
--# , u_BSCANE2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFMR
--# , u_BUFMRCE
--# , u_BUFR
--# , u_BUFT
--# , u_CAPTUREE2
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_DCIRESET
--# , u_DNA_PORT
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO18E1
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECCE2
--# , u_GND
--# , u_GTXE2_CHANNEL
--# , u_GTXE2_COMMON
--# , u_IBUF
--# , u_IBUF_DCIEN
--# , u_IBUFDS
--# , u_IBUFDS_BLVDS_25
--# , u_IBUFDS_DCIEN
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DIFF_OUT_DCIEN
--# , u_IBUFDS_GTE2
--# , u_IBUFDS_LVDS_25
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_BLVDS_25
--# , u_IBUFGDS_DIFF_OUT
--# , u_IBUFGDS_LVDS_25
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_I_18
--# , u_IBUFG_HSTL_I_DCI
--# , u_IBUFG_HSTL_I_DCI_18
--# , u_IBUFG_HSTL_II
--# , u_IBUFG_HSTL_II_18
--# , u_IBUFG_HSTL_II_DCI
--# , u_IBUFG_HSTL_II_DCI_18
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_III_18
--# , u_IBUFG_HSTL_III_DCI
--# , u_IBUFG_HSTL_III_DCI_18
--# , u_IBUFG_LVCMOS12
--# , u_IBUFG_LVCMOS15
--# , u_IBUFG_LVCMOS18
--# , u_IBUFG_LVCMOS25
--# , u_IBUFG_LVCMOS33
--# , u_IBUFG_LVDCI_15
--# , u_IBUFG_LVDCI_18
--# , u_IBUFG_LVDCI_DV2_15
--# , u_IBUFG_LVDCI_DV2_18
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_LVTTL
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_PCIX66_3
--# , u_IBUFG_SSTL18_I
--# , u_IBUFG_SSTL18_I_DCI
--# , u_IBUFG_SSTL18_II
--# , u_IBUFG_SSTL18_II_DCI
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_I_18
--# , u_IBUF_HSTL_I_DCI
--# , u_IBUF_HSTL_I_DCI_18
--# , u_IBUF_HSTL_II
--# , u_IBUF_HSTL_II_18
--# , u_IBUF_HSTL_II_DCI
--# , u_IBUF_HSTL_II_DCI_18
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_III_18
--# , u_IBUF_HSTL_III_DCI
--# , u_IBUF_HSTL_III_DCI_18
--# , u_IBUF_LVCMOS12
--# , u_IBUF_LVCMOS15
--# , u_IBUF_LVCMOS18
--# , u_IBUF_LVCMOS25
--# , u_IBUF_LVCMOS33
--# , u_IBUF_LVDCI_15
--# , u_IBUF_LVDCI_18
--# , u_IBUF_LVDCI_DV2_15
--# , u_IBUF_LVDCI_DV2_18
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_LVTTL
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI66_3
--# , u_IBUF_PCIX66_3
--# , u_IBUF_SSTL18_I
--# , u_IBUF_SSTL18_I_DCI
--# , u_IBUF_SSTL18_II
--# , u_IBUF_SSTL18_II_DCI
--# , u_ICAPE2
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IDELAYE2
--# , u_IN_FIFO
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS_BLVDS_25
--# , u_IOBUFDS_DIFF_OUT
--# , u_IOBUFDS_DIFF_OUT_DCIEN
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_I_18
--# , u_IOBUF_HSTL_II
--# , u_IOBUF_HSTL_II_18
--# , u_IOBUF_HSTL_II_DCI
--# , u_IOBUF_HSTL_II_DCI_18
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_III_18
--# , u_IOBUF_LVCMOS12
--# , u_IOBUF_LVCMOS15
--# , u_IOBUF_LVCMOS18
--# , u_IOBUF_LVCMOS25
--# , u_IOBUF_LVCMOS33
--# , u_IOBUF_LVDCI_15
--# , u_IOBUF_LVDCI_18
--# , u_IOBUF_LVDCI_DV2_15
--# , u_IOBUF_LVDCI_DV2_18
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_LVTTL
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_IOBUF_SSTL18_I
--# , u_IOBUF_SSTL18_II
--# , u_IOBUF_SSTL18_II_DCI
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDESE2
--# , u_JTAG_SIME2
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_2
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCME2_ADV
--# , u_MMCME2_BASE
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND2B1
--# , u_NAND2B2
--# , u_NAND3
--# , u_NAND3B1
--# , u_NAND3B2
--# , u_NAND3B3
--# , u_NAND4
--# , u_NAND4B1
--# , u_NAND4B2
--# , u_NAND4B3
--# , u_NAND4B4
--# , u_NAND5
--# , u_NAND5B1
--# , u_NAND5B2
--# , u_NAND5B3
--# , u_NAND5B4
--# , u_NAND5B5
--# , u_NOR2
--# , u_NOR2B1
--# , u_NOR2B2
--# , u_NOR3
--# , u_NOR3B1
--# , u_NOR3B2
--# , u_NOR3B3
--# , u_NOR4
--# , u_NOR4B1
--# , u_NOR4B2
--# , u_NOR4B3
--# , u_NOR4B4
--# , u_NOR5
--# , u_NOR5B1
--# , u_NOR5B2
--# , u_NOR5B3
--# , u_NOR5B4
--# , u_NOR5B5
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFDS_BLVDS_25
--# , u_OBUFDS_DUAL_BUF
--# , u_OBUFDS_LVDS_25
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_I_18
--# , u_OBUF_HSTL_I_DCI
--# , u_OBUF_HSTL_I_DCI_18
--# , u_OBUF_HSTL_II
--# , u_OBUF_HSTL_II_18
--# , u_OBUF_HSTL_II_DCI
--# , u_OBUF_HSTL_II_DCI_18
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_III_18
--# , u_OBUF_HSTL_III_DCI
--# , u_OBUF_HSTL_III_DCI_18
--# , u_OBUF_LVCMOS12
--# , u_OBUF_LVCMOS15
--# , u_OBUF_LVCMOS18
--# , u_OBUF_LVCMOS25
--# , u_OBUF_LVCMOS33
--# , u_OBUF_LVDCI_15
--# , u_OBUF_LVDCI_18
--# , u_OBUF_LVDCI_DV2_15
--# , u_OBUF_LVDCI_DV2_18
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_LVTTL
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI66_3
--# , u_OBUF_PCIX66_3
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OBUF_SSTL18_I
--# , u_OBUF_SSTL18_I_DCI
--# , u_OBUF_SSTL18_II
--# , u_OBUF_SSTL18_II_DCI
--# , u_OBUFT
--# , u_OBUFT_DCIEN
--# , u_OBUFTDS
--# , u_OBUFTDS_BLVDS_25
--# , u_OBUFTDS_DCIEN
--# , u_OBUFTDS_DCIEN_DUAL_BUF
--# , u_OBUFTDS_DUAL_BUF
--# , u_OBUFTDS_LVDS_25
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_I_18
--# , u_OBUFT_HSTL_I_DCI
--# , u_OBUFT_HSTL_I_DCI_18
--# , u_OBUFT_HSTL_II
--# , u_OBUFT_HSTL_II_18
--# , u_OBUFT_HSTL_II_DCI
--# , u_OBUFT_HSTL_II_DCI_18
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_III_18
--# , u_OBUFT_HSTL_III_DCI
--# , u_OBUFT_HSTL_III_DCI_18
--# , u_OBUFT_LVCMOS12
--# , u_OBUFT_LVCMOS15
--# , u_OBUFT_LVCMOS18
--# , u_OBUFT_LVCMOS25
--# , u_OBUFT_LVCMOS33
--# , u_OBUFT_LVDCI_15
--# , u_OBUFT_LVDCI_18
--# , u_OBUFT_LVDCI_DV2_15
--# , u_OBUFT_LVDCI_DV2_18
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_LVTTL
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUFT_SSTL18_I
--# , u_OBUFT_SSTL18_I_DCI
--# , u_OBUFT_SSTL18_II
--# , u_OBUFT_SSTL18_II_DCI
--# , u_ODDR
--# , u_ODELAYE2
--# , u_OR2
--# , u_OR2B1
--# , u_OR2B2
--# , u_OR2L
--# , u_OR3
--# , u_OR3B1
--# , u_OR3B2
--# , u_OR3B3
--# , u_OR4
--# , u_OR4B1
--# , u_OR4B2
--# , u_OR4B3
--# , u_OR4B4
--# , u_OR5
--# , u_OR5B1
--# , u_OR5B2
--# , u_OR5B3
--# , u_OR5B4
--# , u_OR5B5
--# , u_OSERDESE2
--# , u_OUT_FIFO
--# , u_PCIE_2_1
--# , u_PHASER_IN
--# , u_PHASER_IN_PHY
--# , u_PHASER_OUT
--# , u_PHASER_OUT_PHY
--# , u_PHASER_REF
--# , u_PHY_CONTROL
--# , u_PLLE2_ADV
--# , u_PLLE2_BASE
--# , u_PSS
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S4_S36
--# , u_RAMB18E1
--# , u_RAMB36E1
--# , u_RAMD32
--# , u_RAMD64E
--# , u_RAMS32
--# , u_RAMS64E
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SIM_CONFIGE2
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUPE2
--# , u_USR_ACCESSE2
--# , u_VCC
--# , u_XADC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XNOR5
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XOR5
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# , u_ZHOLD_DELAY
--# ) );
--# --
--# set_to(y, virtex7, (
--# u_AND2
--# , u_AND2B1
--# , u_AND2B1L
--# , u_AND2B2
--# , u_AND3
--# , u_AND3B1
--# , u_AND3B2
--# , u_AND3B3
--# , u_AND4
--# , u_AND4B1
--# , u_AND4B2
--# , u_AND4B3
--# , u_AND4B4
--# , u_AND5
--# , u_AND5B1
--# , u_AND5B2
--# , u_AND5B3
--# , u_AND5B4
--# , u_AND5B5
--# , u_AUTOBUF
--# , u_BSCANE2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFMR
--# , u_BUFMRCE
--# , u_BUFR
--# , u_BUFT
--# , u_CAPTUREE2
--# , u_CARRY4
--# , u_CFG_IO_ACCESS
--# , u_CFGLUT5
--# , u_DCIRESET
--# , u_DNA_PORT
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO18E1
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECCE2
--# , u_GND
--# , u_GTXE2_CHANNEL
--# , u_GTXE2_COMMON
--# , u_IBUF
--# , u_IBUF_DCIEN
--# , u_IBUFDS
--# , u_IBUFDS_BLVDS_25
--# , u_IBUFDS_DCIEN
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DIFF_OUT_DCIEN
--# , u_IBUFDS_GTE2
--# , u_IBUFDS_LVDS_25
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_BLVDS_25
--# , u_IBUFGDS_DIFF_OUT
--# , u_IBUFGDS_LVDS_25
--# , u_IBUFG_HSTL_I
--# , u_IBUFG_HSTL_I_18
--# , u_IBUFG_HSTL_I_DCI
--# , u_IBUFG_HSTL_I_DCI_18
--# , u_IBUFG_HSTL_II
--# , u_IBUFG_HSTL_II_18
--# , u_IBUFG_HSTL_II_DCI
--# , u_IBUFG_HSTL_II_DCI_18
--# , u_IBUFG_HSTL_III
--# , u_IBUFG_HSTL_III_18
--# , u_IBUFG_HSTL_III_DCI
--# , u_IBUFG_HSTL_III_DCI_18
--# , u_IBUFG_LVCMOS12
--# , u_IBUFG_LVCMOS15
--# , u_IBUFG_LVCMOS18
--# , u_IBUFG_LVCMOS25
--# , u_IBUFG_LVCMOS33
--# , u_IBUFG_LVDCI_15
--# , u_IBUFG_LVDCI_18
--# , u_IBUFG_LVDCI_DV2_15
--# , u_IBUFG_LVDCI_DV2_18
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_LVTTL
--# , u_IBUFG_PCI33_3
--# , u_IBUFG_PCI66_3
--# , u_IBUFG_PCIX66_3
--# , u_IBUFG_SSTL18_I
--# , u_IBUFG_SSTL18_I_DCI
--# , u_IBUFG_SSTL18_II
--# , u_IBUFG_SSTL18_II_DCI
--# , u_IBUF_HSTL_I
--# , u_IBUF_HSTL_I_18
--# , u_IBUF_HSTL_I_DCI
--# , u_IBUF_HSTL_I_DCI_18
--# , u_IBUF_HSTL_II
--# , u_IBUF_HSTL_II_18
--# , u_IBUF_HSTL_II_DCI
--# , u_IBUF_HSTL_II_DCI_18
--# , u_IBUF_HSTL_III
--# , u_IBUF_HSTL_III_18
--# , u_IBUF_HSTL_III_DCI
--# , u_IBUF_HSTL_III_DCI_18
--# , u_IBUF_LVCMOS12
--# , u_IBUF_LVCMOS15
--# , u_IBUF_LVCMOS18
--# , u_IBUF_LVCMOS25
--# , u_IBUF_LVCMOS33
--# , u_IBUF_LVDCI_15
--# , u_IBUF_LVDCI_18
--# , u_IBUF_LVDCI_DV2_15
--# , u_IBUF_LVDCI_DV2_18
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_LVTTL
--# , u_IBUF_PCI33_3
--# , u_IBUF_PCI66_3
--# , u_IBUF_PCIX66_3
--# , u_IBUF_SSTL18_I
--# , u_IBUF_SSTL18_I_DCI
--# , u_IBUF_SSTL18_II
--# , u_IBUF_SSTL18_II_DCI
--# , u_ICAPE2
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IDELAYE2
--# , u_IN_FIFO
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS_BLVDS_25
--# , u_IOBUFDS_DIFF_OUT
--# , u_IOBUFDS_DIFF_OUT_DCIEN
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_HSTL_I
--# , u_IOBUF_HSTL_I_18
--# , u_IOBUF_HSTL_II
--# , u_IOBUF_HSTL_II_18
--# , u_IOBUF_HSTL_II_DCI
--# , u_IOBUF_HSTL_II_DCI_18
--# , u_IOBUF_HSTL_III
--# , u_IOBUF_HSTL_III_18
--# , u_IOBUF_LVCMOS12
--# , u_IOBUF_LVCMOS15
--# , u_IOBUF_LVCMOS18
--# , u_IOBUF_LVCMOS25
--# , u_IOBUF_LVCMOS33
--# , u_IOBUF_LVDCI_15
--# , u_IOBUF_LVDCI_18
--# , u_IOBUF_LVDCI_DV2_15
--# , u_IOBUF_LVDCI_DV2_18
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_LVTTL
--# , u_IOBUF_PCI33_3
--# , u_IOBUF_PCI66_3
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_IOBUF_SSTL18_I
--# , u_IOBUF_SSTL18_II
--# , u_IOBUF_SSTL18_II_DCI
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDESE2
--# , u_JTAG_SIME2
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_2
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCME2_ADV
--# , u_MMCME2_BASE
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND2B1
--# , u_NAND2B2
--# , u_NAND3
--# , u_NAND3B1
--# , u_NAND3B2
--# , u_NAND3B3
--# , u_NAND4
--# , u_NAND4B1
--# , u_NAND4B2
--# , u_NAND4B3
--# , u_NAND4B4
--# , u_NAND5
--# , u_NAND5B1
--# , u_NAND5B2
--# , u_NAND5B3
--# , u_NAND5B4
--# , u_NAND5B5
--# , u_NOR2
--# , u_NOR2B1
--# , u_NOR2B2
--# , u_NOR3
--# , u_NOR3B1
--# , u_NOR3B2
--# , u_NOR3B3
--# , u_NOR4
--# , u_NOR4B1
--# , u_NOR4B2
--# , u_NOR4B3
--# , u_NOR4B4
--# , u_NOR5
--# , u_NOR5B1
--# , u_NOR5B2
--# , u_NOR5B3
--# , u_NOR5B4
--# , u_NOR5B5
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFDS_BLVDS_25
--# , u_OBUFDS_DUAL_BUF
--# , u_OBUFDS_LVDS_25
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_HSTL_I
--# , u_OBUF_HSTL_I_18
--# , u_OBUF_HSTL_I_DCI
--# , u_OBUF_HSTL_I_DCI_18
--# , u_OBUF_HSTL_II
--# , u_OBUF_HSTL_II_18
--# , u_OBUF_HSTL_II_DCI
--# , u_OBUF_HSTL_II_DCI_18
--# , u_OBUF_HSTL_III
--# , u_OBUF_HSTL_III_18
--# , u_OBUF_HSTL_III_DCI
--# , u_OBUF_HSTL_III_DCI_18
--# , u_OBUF_LVCMOS12
--# , u_OBUF_LVCMOS15
--# , u_OBUF_LVCMOS18
--# , u_OBUF_LVCMOS25
--# , u_OBUF_LVCMOS33
--# , u_OBUF_LVDCI_15
--# , u_OBUF_LVDCI_18
--# , u_OBUF_LVDCI_DV2_15
--# , u_OBUF_LVDCI_DV2_18
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_LVTTL
--# , u_OBUF_PCI33_3
--# , u_OBUF_PCI66_3
--# , u_OBUF_PCIX66_3
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OBUF_SSTL18_I
--# , u_OBUF_SSTL18_I_DCI
--# , u_OBUF_SSTL18_II
--# , u_OBUF_SSTL18_II_DCI
--# , u_OBUFT
--# , u_OBUFT_DCIEN
--# , u_OBUFTDS
--# , u_OBUFTDS_BLVDS_25
--# , u_OBUFTDS_DCIEN
--# , u_OBUFTDS_DCIEN_DUAL_BUF
--# , u_OBUFTDS_DUAL_BUF
--# , u_OBUFTDS_LVDS_25
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_HSTL_I
--# , u_OBUFT_HSTL_I_18
--# , u_OBUFT_HSTL_I_DCI
--# , u_OBUFT_HSTL_I_DCI_18
--# , u_OBUFT_HSTL_II
--# , u_OBUFT_HSTL_II_18
--# , u_OBUFT_HSTL_II_DCI
--# , u_OBUFT_HSTL_II_DCI_18
--# , u_OBUFT_HSTL_III
--# , u_OBUFT_HSTL_III_18
--# , u_OBUFT_HSTL_III_DCI
--# , u_OBUFT_HSTL_III_DCI_18
--# , u_OBUFT_LVCMOS12
--# , u_OBUFT_LVCMOS15
--# , u_OBUFT_LVCMOS18
--# , u_OBUFT_LVCMOS25
--# , u_OBUFT_LVCMOS33
--# , u_OBUFT_LVDCI_15
--# , u_OBUFT_LVDCI_18
--# , u_OBUFT_LVDCI_DV2_15
--# , u_OBUFT_LVDCI_DV2_18
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_LVTTL
--# , u_OBUFT_PCI33_3
--# , u_OBUFT_PCI66_3
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_OBUFT_SSTL18_I
--# , u_OBUFT_SSTL18_I_DCI
--# , u_OBUFT_SSTL18_II
--# , u_OBUFT_SSTL18_II_DCI
--# , u_ODDR
--# , u_ODELAYE2
--# , u_OR2
--# , u_OR2B1
--# , u_OR2B2
--# , u_OR2L
--# , u_OR3
--# , u_OR3B1
--# , u_OR3B2
--# , u_OR3B3
--# , u_OR4
--# , u_OR4B1
--# , u_OR4B2
--# , u_OR4B3
--# , u_OR4B4
--# , u_OR5
--# , u_OR5B1
--# , u_OR5B2
--# , u_OR5B3
--# , u_OR5B4
--# , u_OR5B5
--# , u_OSERDESE2
--# , u_OUT_FIFO
--# , u_PCIE_2_1
--# , u_PHASER_IN
--# , u_PHASER_IN_PHY
--# , u_PHASER_OUT
--# , u_PHASER_OUT_PHY
--# , u_PHASER_REF
--# , u_PHY_CONTROL
--# , u_PLLE2_ADV
--# , u_PLLE2_BASE
--# , u_PSS
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S4_S36
--# , u_RAMB36E1
--# , u_RAMB36E1
--# , u_RAMD32
--# , u_RAMD64E
--# , u_RAMS32
--# , u_RAMS64E
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SIM_CONFIGE2
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUPE2
--# , u_USR_ACCESSE2
--# , u_VCC
--# , u_XADC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XNOR5
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XOR5
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# , u_ZHOLD_DELAY
--# ) );
--# --
--# set_to(y, artix7, (
--# u_AND2
--# , u_AND2B1
--# , u_AND2B1L
--# , u_AND2B2
--# , u_AND3
--# , u_AND3B1
--# , u_AND3B2
--# , u_AND3B3
--# , u_AND4
--# , u_AND4B1
--# , u_AND4B2
--# , u_AND4B3
--# , u_AND4B4
--# , u_AND5
--# , u_AND5B1
--# , u_AND5B2
--# , u_AND5B3
--# , u_AND5B4
--# , u_AND5B5
--# , u_AUTOBUF
--# , u_BSCANE2
--# , u_BUF
--# , u_BUFCF
--# , u_BUFG
--# , u_BUFGCE
--# , u_BUFGCE_1
--# , u_BUFGCTRL
--# , u_BUFGMUX
--# , u_BUFGMUX_1
--# , u_BUFGP
--# , u_BUFH
--# , u_BUFHCE
--# , u_BUFIO
--# , u_BUFMR
--# , u_BUFMRCE
--# , u_BUFR
--# , u_BUFT
--# , u_CAPTUREE2
--# , u_CARRY4
--# , u_CFGLUT5
--# , u_DCIRESET
--# , u_DNA_PORT
--# , u_DSP48E1
--# , u_EFUSE_USR
--# , u_FD
--# , u_FD_1
--# , u_FDC
--# , u_FDC_1
--# , u_FDCE
--# , u_FDCE_1
--# , u_FDCP
--# , u_FDCP_1
--# , u_FDCPE
--# , u_FDCPE_1
--# , u_FDE
--# , u_FDE_1
--# , u_FDP
--# , u_FDP_1
--# , u_FDPE
--# , u_FDPE_1
--# , u_FDR
--# , u_FDR_1
--# , u_FDRE
--# , u_FDRE_1
--# , u_FDRS
--# , u_FDRS_1
--# , u_FDRSE
--# , u_FDRSE_1
--# , u_FDS
--# , u_FDS_1
--# , u_FDSE
--# , u_FDSE_1
--# , u_FIFO18E1
--# , u_FIFO36E1
--# , u_FMAP
--# , u_FRAME_ECCE2
--# , u_GND
--# , u_IBUF
--# , u_IBUF_DCIEN
--# , u_IBUFDS
--# , u_IBUFDS_DCIEN
--# , u_IBUFDS_DIFF_OUT
--# , u_IBUFDS_DIFF_OUT_DCIEN
--# , u_IBUFDS_GTE2
--# , u_IBUFG
--# , u_IBUFGDS
--# , u_IBUFGDS_DIFF_OUT
--# , u_IBUFG_LVDS
--# , u_IBUFG_LVPECL
--# , u_IBUFG_PCIX66_3
--# , u_IBUF_LVDS
--# , u_IBUF_LVPECL
--# , u_IBUF_PCIX66_3
--# , u_ICAPE2
--# , u_IDDR
--# , u_IDDR_2CLK
--# , u_IDELAY
--# , u_IDELAYCTRL
--# , u_IDELAYE2
--# , u_IN_FIFO
--# , u_INV
--# , u_IOBUF
--# , u_IOBUFDS
--# , u_IOBUFDS_DIFF_OUT
--# , u_IOBUFDS_DIFF_OUT_DCIEN
--# , u_IOBUF_F_12
--# , u_IOBUF_F_16
--# , u_IOBUF_F_2
--# , u_IOBUF_F_24
--# , u_IOBUF_F_4
--# , u_IOBUF_F_6
--# , u_IOBUF_F_8
--# , u_IOBUF_LVDS
--# , u_IOBUF_LVPECL
--# , u_IOBUF_PCIX66_3
--# , u_IOBUF_S_12
--# , u_IOBUF_S_16
--# , u_IOBUF_S_2
--# , u_IOBUF_S_24
--# , u_IOBUF_S_4
--# , u_IOBUF_S_6
--# , u_IOBUF_S_8
--# , u_IODELAY
--# , u_IODELAYE1
--# , u_ISERDESE2
--# , u_JTAG_SIME2
--# , u_KEEPER
--# , u_LD
--# , u_LD_1
--# , u_LDC
--# , u_LDC_1
--# , u_LDCE
--# , u_LDCE_1
--# , u_LDCP
--# , u_LDCP_1
--# , u_LDCPE
--# , u_LDCPE_1
--# , u_LDE
--# , u_LDE_1
--# , u_LDP
--# , u_LDP_1
--# , u_LDPE
--# , u_LDPE_1
--# , u_LUT1
--# , u_LUT1_D
--# , u_LUT1_L
--# , u_LUT2
--# , u_LUT2_D
--# , u_LUT2_L
--# , u_LUT3
--# , u_LUT3_D
--# , u_LUT3_L
--# , u_LUT4
--# , u_LUT4_D
--# , u_LUT4_L
--# , u_LUT5
--# , u_LUT5_D
--# , u_LUT5_L
--# , u_LUT6
--# , u_LUT6_2
--# , u_LUT6_D
--# , u_LUT6_L
--# , u_MMCME2_ADV
--# , u_MMCME2_BASE
--# , u_MULT_AND
--# , u_MUXCY
--# , u_MUXCY_D
--# , u_MUXCY_L
--# , u_MUXF5
--# , u_MUXF5_D
--# , u_MUXF5_L
--# , u_MUXF6
--# , u_MUXF6_D
--# , u_MUXF6_L
--# , u_MUXF7
--# , u_MUXF7_D
--# , u_MUXF7_L
--# , u_MUXF8
--# , u_MUXF8_D
--# , u_MUXF8_L
--# , u_NAND2
--# , u_NAND2B1
--# , u_NAND2B2
--# , u_NAND3
--# , u_NAND3B1
--# , u_NAND3B2
--# , u_NAND3B3
--# , u_NAND4
--# , u_NAND4B1
--# , u_NAND4B2
--# , u_NAND4B3
--# , u_NAND4B4
--# , u_NAND5
--# , u_NAND5B1
--# , u_NAND5B2
--# , u_NAND5B3
--# , u_NAND5B4
--# , u_NAND5B5
--# , u_NOR2
--# , u_NOR2B1
--# , u_NOR2B2
--# , u_NOR3
--# , u_NOR3B1
--# , u_NOR3B2
--# , u_NOR3B3
--# , u_NOR4
--# , u_NOR4B1
--# , u_NOR4B2
--# , u_NOR4B3
--# , u_NOR4B4
--# , u_NOR5
--# , u_NOR5B1
--# , u_NOR5B2
--# , u_NOR5B3
--# , u_NOR5B4
--# , u_NOR5B5
--# , u_OBUF
--# , u_OBUFDS
--# , u_OBUFDS_DUAL_BUF
--# , u_OBUF_F_12
--# , u_OBUF_F_16
--# , u_OBUF_F_2
--# , u_OBUF_F_24
--# , u_OBUF_F_4
--# , u_OBUF_F_6
--# , u_OBUF_F_8
--# , u_OBUF_LVDS
--# , u_OBUF_LVPECL
--# , u_OBUF_PCIX66_3
--# , u_OBUF_S_12
--# , u_OBUF_S_16
--# , u_OBUF_S_2
--# , u_OBUF_S_24
--# , u_OBUF_S_4
--# , u_OBUF_S_6
--# , u_OBUF_S_8
--# , u_OBUFT
--# , u_OBUFT_DCIEN
--# , u_OBUFTDS
--# , u_OBUFTDS_DCIEN
--# , u_OBUFTDS_DCIEN_DUAL_BUF
--# , u_OBUFTDS_DUAL_BUF
--# , u_OBUFT_F_12
--# , u_OBUFT_F_16
--# , u_OBUFT_F_2
--# , u_OBUFT_F_24
--# , u_OBUFT_F_4
--# , u_OBUFT_F_6
--# , u_OBUFT_F_8
--# , u_OBUFT_LVDS
--# , u_OBUFT_LVPECL
--# , u_OBUFT_PCIX66_3
--# , u_OBUFT_S_12
--# , u_OBUFT_S_16
--# , u_OBUFT_S_2
--# , u_OBUFT_S_24
--# , u_OBUFT_S_4
--# , u_OBUFT_S_6
--# , u_OBUFT_S_8
--# , u_ODDR
--# , u_ODELAYE2
--# , u_OR2
--# , u_OR2B1
--# , u_OR2B2
--# , u_OR2L
--# , u_OR3
--# , u_OR3B1
--# , u_OR3B2
--# , u_OR3B3
--# , u_OR4
--# , u_OR4B1
--# , u_OR4B2
--# , u_OR4B3
--# , u_OR4B4
--# , u_OR5
--# , u_OR5B1
--# , u_OR5B2
--# , u_OR5B3
--# , u_OR5B4
--# , u_OR5B5
--# , u_OSERDESE2
--# , u_OUT_FIFO
--# , u_PCIE_2_1
--# , u_PHASER_IN
--# , u_PHASER_IN_PHY
--# , u_PHASER_OUT
--# , u_PHASER_OUT_PHY
--# , u_PHASER_REF
--# , u_PHY_CONTROL
--# , u_PLLE2_ADV
--# , u_PLLE2_BASE
--# , u_PSS
--# , u_PULLDOWN
--# , u_PULLUP
--# , u_RAM128X1D
--# , u_RAM128X1S
--# , u_RAM128X1S_1
--# , u_RAM16X1D
--# , u_RAM16X1D_1
--# , u_RAM16X1S
--# , u_RAM16X1S_1
--# , u_RAM16X2S
--# , u_RAM16X4S
--# , u_RAM16X8S
--# , u_RAM256X1S
--# , u_RAM32M
--# , u_RAM32X1D
--# , u_RAM32X1D_1
--# , u_RAM32X1S
--# , u_RAM32X1S_1
--# , u_RAM32X2S
--# , u_RAM32X4S
--# , u_RAM32X8S
--# , u_RAM64M
--# , u_RAM64X1D
--# , u_RAM64X1D_1
--# , u_RAM64X1S
--# , u_RAM64X1S_1
--# , u_RAM64X2S
--# , u_RAMB16_S4_S36
--# , u_RAMB18E1
--# , u_RAMB36E1
--# , u_RAMD32
--# , u_RAMD64E
--# , u_RAMS32
--# , u_RAMS64E
--# , u_ROM128X1
--# , u_ROM16X1
--# , u_ROM256X1
--# , u_ROM32X1
--# , u_ROM64X1
--# , u_SIM_CONFIGE2
--# , u_SRL16
--# , u_SRL16_1
--# , u_SRL16E
--# , u_SRL16E_1
--# , u_SRLC16
--# , u_SRLC16_1
--# , u_SRLC16E
--# , u_SRLC16E_1
--# , u_SRLC32E
--# , u_STARTUPE2
--# , u_USR_ACCESSE2
--# , u_VCC
--# , u_XADC
--# , u_XNOR2
--# , u_XNOR3
--# , u_XNOR4
--# , u_XNOR5
--# , u_XOR2
--# , u_XOR3
--# , u_XOR4
--# , u_XOR5
--# , u_XORCY
--# , u_XORCY_D
--# , u_XORCY_L
--# , u_ZHOLD_DELAY
--# ) );
--# --
--# return pp;
--# end prim_population;
--# ---)
--#
--#constant fam_has_prim : fam_has_prim_type := prim_population;
constant fam_has_prim : fam_has_prim_type :=
(
nofamily => (
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
kintex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
kintex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qkintex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qkintex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
virtex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
virtex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qvirtex7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qvirtex7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
artix7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
aartix7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
artix7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qartix7 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qartix7l => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n,
n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n,
y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y,
n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
zynq => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
azynq => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
qzynq => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n),
virtex8 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y),
kintex8 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y),
artix8 => (
y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y,
y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n,
y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y,
y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n,
y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n,
n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n,
n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y,
y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y)
);
function supported( family : families_type;
primitive : primitives_type
) return boolean is
begin
return fam_has_prim(family)(primitive) = y;
end supported;
function supported( family : families_type;
primitives : primitive_array_type
) return boolean is
begin
for i in primitives'range loop
if fam_has_prim(family)(primitives(i)) /= y then
return false;
end if;
end loop;
return true;
end supported;
----------------------------------------------------------------------------
-- This function is used as alternative to the 'IMAGE attribute, which
-- is not correctly interpretted by some vhdl tools.
----------------------------------------------------------------------------
function myimage (fam_type : families_type) return string is
variable temp : families_type :=fam_type;
begin
case temp is
when nofamily => return "nofamily" ;
when virtex8 => return "virtex8" ;
when virtex7 => return "virtex7" ;
when virtex7l => return "virtex7l" ;
when qvirtex7 => return "qvirtex7" ;
when qvirtex7l => return "qvirtex7l" ;
when kintex8 => return "kintex8" ;
when kintex7 => return "kintex7" ;
when kintex7l => return "kintex7l" ;
when qkintex7 => return "qkintex7" ;
when qkintex7l => return "qkintex7l" ;
when artix8 => return "artix8" ;
when artix7 => return "artix7" ;
when aartix7 => return "aartix7" ;
when artix7l => return "artix7l" ;
when qartix7 => return "qartix7" ;
when qartix7l => return "qartix7l" ;
when zynq => return "zynq" ;
when azynq => return "azynq" ;
when qzynq => return "qzynq" ;
end case;
end myimage;
----------------------------------------------------------------------------
-- Function: get_root_family
--
-- This function takes in the string for the desired FPGA family type and
-- returns the root FPGA family type string. This is used for derivative part
-- aliasing to the root family. This is primarily for fifo_generator and
-- blk_mem_gen calls that need the root family passed to the call.
----------------------------------------------------------------------------
function get_root_family(family_in : string) return string is
begin
-- Virtex7 Root family
if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ;
Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ;
Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ;
Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ;
-- Kintex7 Root family
Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ;
Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ;
Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ;
Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ;
-- artix7 Root family
Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ;
Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ;
-- zynq Root family
Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ;
Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ;
Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ;
-- Kintex8 Root family
Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ;
-- Virtex8 Root family
Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ;
-- artix8 Root family
Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ;
-- No Match to supported families and derivatives
Else return "nofamily";
End if;
end get_root_family;
function toLowerCaseChar( char : character ) return character is
begin
-- If char is not an upper case letter then return char
if char < 'A' OR char > 'Z' then
return char;
end if;
-- Otherwise map char to its corresponding lower case character and
-- return that
case char is
when 'A' => return 'a';
when 'B' => return 'b';
when 'C' => return 'c';
when 'D' => return 'd';
when 'E' => return 'e';
when 'F' => return 'f';
when 'G' => return 'g';
when 'H' => return 'h';
when 'I' => return 'i';
when 'J' => return 'j';
when 'K' => return 'k';
when 'L' => return 'l';
when 'M' => return 'm';
when 'N' => return 'n';
when 'O' => return 'o';
when 'P' => return 'p';
when 'Q' => return 'q';
when 'R' => return 'r';
when 'S' => return 's';
when 'T' => return 't';
when 'U' => return 'u';
when 'V' => return 'v';
when 'W' => return 'w';
when 'X' => return 'x';
when 'Y' => return 'y';
when 'Z' => return 'z';
when others => return char;
end case;
end toLowerCaseChar;
----------------------------------------------------------------------------
-- Function: equalIgnoringCase
--
-- Compare one string against another for equality with case insensitivity.
-- Can be used to test see if a family, C_FAMILY, is equal to some
-- family. However such usage is discouraged. Use instead availability
-- primitive guards based on the function, 'supported', wherever possible.
----------------------------------------------------------------------------
function equalIgnoringCase( str1, str2 : string ) return boolean is
constant LEN1 : integer := str1'length;
constant LEN2 : integer := str2'length;
variable equal : boolean := TRUE;
begin
if not (LEN1 = LEN2) then
equal := FALSE;
else
for i in str1'range loop
if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then
equal := FALSE;
end if;
end loop;
end if;
return equal;
end equalIgnoringCase;
----------------------------------------------------------------------------
-- Conversions from/to STRING to/from families_type.
-- These are convenience functions that are not normally needed when
-- using the 'supported' functions.
----------------------------------------------------------------------------
function str2fam( fam_as_string : string ) return families_type is
--
variable fas : string(1 to fam_as_string'length) := fam_as_string;
variable fam : families_type;
--
begin
-- Search for and return the corresponding family.
for fam in families_type'low to families_type'high loop
if equalIgnoringCase(fas, myimage(fam)) then return fam; end if;
end loop;
-- If there is no matching family, report a warning and return nofamily.
assert false
report "Package family_support: Function str2fam called" &
" with string parameter, " & fam_as_string &
", that does not correspond" &
" to a supported family. Returning nofamily."
severity warning;
return nofamily;
end str2fam;
function fam2str( fam : families_type) return string is
begin
--return families_type'IMAGE(fam);
return myimage(fam);
end fam2str;
function supported( fam_as_str : string;
primitive : primitives_type
) return boolean is
begin
return supported(str2fam(fam_as_str), primitive);
end supported;
function supported( fam_as_str : string;
primitives : primitive_array_type
) return boolean is
begin
return supported(str2fam(fam_as_str), primitives);
end supported;
----------------------------------------------------------------------------
-- Function: native_lut_size, two overloads.
----------------------------------------------------------------------------
function native_lut_size( fam : families_type;
no_lut_return_val : natural := 0
) return natural is
begin
if supported(fam, u_LUT6) then return 6;
elsif supported(fam, u_LUT5) then return 5;
elsif supported(fam, u_LUT4) then return 4;
elsif supported(fam, u_LUT3) then return 3;
elsif supported(fam, u_LUT2) then return 2;
elsif supported(fam, u_LUT1) then return 1;
else return no_lut_return_val;
end if;
end;
function native_lut_size( fam_as_string : string;
no_lut_return_val : natural := 0
) return natural is
begin
return native_lut_size( fam => str2fam(fam_as_string),
no_lut_return_val => no_lut_return_val
);
end;
end package body family_support;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/blk_mem_gen_v8_1/blk_mem_axi_read_fsm.vhd | 27 | 83900 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qYvaWTl2dVn1UYauUm5HneGLdmTNfKYL2CALcG7YBWzuKWoXlk0Id+l1oLffyjtPstUkcnB5XMcQ
6NZs7JK9Og==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QYtaB7bKNbwxVddRWt78CWZ0keZknIQG6IQKSIZ5COH+hNdpgy+tCPVsEHq4IVZzTG1P1o7hP4Vk
F8E4xV3B+P4d4XumR2TMQt1O3p//18K5GFLVc+tXegTNm7nDlHWB2EseJW3Comce24tPY9JdBxY3
PqZ0pdNcJu1q3elLkyk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dcPEPRyvFmW4PpA4iDmUUiTH0W6w8Tp3x24VnlLzTcuDsG/S9IG3GcyE78eNrT/x0pAgwHhrMrSY
yZo9WE5CUIc2230lFJdjwqsu1GfylgdJvImjNnSRTPzlw78/vxcWd8GQIKrHyFhACpS0FlCWX80u
ir6wyey6yythPFMR7YL9alngEab5jqlcDLLq05xFb5xa60ZtUm6H8H/kSZM2WCTQ/2EYo9aRaoyP
YNJgznw4M4JlCmjNGCsEEMbnrUH5XC2MOkUpPSJ6HpAPhZTjHtmrQy0MjGpBzDrrGJZmxlIzL7x1
7fFFHCW51Ue16QvPlxZlJr0kCC3nTtDv9f7xsw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zhiiGh6iqBtYa8uvzkWpAts7vZ/x1/EV8yeLKnAXP52susoGuPOfmWMYojIG7BJlvNdJsqMcu4aO
YgpCERsfm5E2WNcFxUppU1uIOa+cnCBSZ6N5aebRGghJrQL1tUzWpRnQ2slMJ8Q+gRbsoc3N0qtc
A+A1dAH+z+hdTGoZBRY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lbE1QAVb48OwhlUCQuKav8khO5ghQAvoWa4EGI1wknY/PAoHSz/mN+mHHLZytFcumXquM7gAj5vW
FkPYXzAy7xSUZBC0WEUc0yo4Xa33jDRDxY7cxGlzHmyb1RsXl0duhVMcX5rDmM/+KiXLbAmtS7n6
pXv5Z5tj4x3AoNn90rxrYgdqN+pxQ1GZhPZPFZggV3JHWj2LJUr0U/7aGlgZSQCcdWV2V8ktlt4l
b9BA5BfHfgn1UuvjTl44uqXII+j7cWg72Zy7D/yYZ92M5Y7nPBoBrEiv0PrxnHLMrIv8+jN76TPm
TMiyhLNg8NAb1xNexvBsDmGJWQnxf5cukp8uDw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/family.vhd | 15 | 23047 | -- $Header: /devl/xcs/repo/env/Databases/ip2/processor/hardware/proc_common/proc_common_v4_0/hdl/src/vhdl/family.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- family.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: family.vhd
--
-- Description:
-- This HDL file provides various functions for determining features (such
-- as BRAM types) in the various device families in Xilinx products.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- family.vhd
--
-------------------------------------------------------------------------------
-- Revision history
--
-- ??? ?????????? Initial version
-- jam 03/31/2003 added spartan3 to constants and derived function. Added
-- comments to try and explain how the function is used
-- jam 04/01/2003 removed VIRTEX from the derived list for BYZANTIUM,
-- VIRTEX2P, and SPARTAN3. This changes VIRTEX2 to be a
-- base family type, similar to X4K and VIRTEX
-- jam 04/02/2003 add VIRTEX back into the hierarchy of VIRTEX2P, BYZANTIUM
-- and SPARTAN3; add additional comments showing use in
-- VHDL
-- lss 03/24/2004 Added QVIRTEX2, QRVIRTEX2, VIRTEX4
-- flo 03/22/2005 Added SPARTAN3E
-- als 02/23/2006 Added VIRTEX5
-- flo 09/13/2006 Added SPARTAN3A and SPARTAN3A. This may allow
-- legacy designs to support spartan3a and spartan3an in
-- terms of BRAMs. For new work (and maintenence where
-- possible) this package, family, should be dropped in favor
-- of the package, family_support.
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
--------------------------------------------------------------------------------
-- @BEGIN_CHANGELOG EDK_H_SP1
-- Added spartan3e
-- @END_CHANGELOG
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
package family is
-- constant declarations
constant ANY : string := "any";
constant X4K : string := "x4k";
constant X4KE : string := "x4ke";
constant X4KL : string := "x4kl";
constant X4KEX : string := "x4kex";
constant X4KXL : string := "x4kxl";
constant X4KXV : string := "x4kxv";
constant X4KXLA : string := "x4kxla";
constant SPARTAN : string := "spartan";
constant SPARTANXL : string := "spartanxl";
constant SPARTAN2 : string := "spartan2";
constant SPARTAN2E : string := "spartan2e";
constant VIRTEX : string := "virtex";
constant VIRTEXE : string := "virtexe";
constant VIRTEX2 : string := "virtex2";
constant VIRTEX2P : string := "virtex2p";
constant BYZANTIUM : string := "byzantium";
constant SPARTAN3 : string := "spartan3";
constant QRVIRTEX2 : string := "qrvirtex2";
constant QVIRTEX2 : string := "qvirtex2";
constant VIRTEX4 : string := "virtex4";
constant VIRTEX5 : string := "virtex5";
constant SPARTAN3E : string := "spartan3e";
constant SPARTAN3A : string := "spartan3a";
constant SPARTAN3AN: string := "spartan3an";
-- function declarations
-- derived - provides a means to determine if a family specified in child is
-- the same as, or is a super set of, the family specified in
-- ancestor.
--
-- Typically, child is set to the generic specifying the family type
-- the user wishes to implement the design into (C_FAMILY), and the
-- designer hard codes ancestor to the family type supported by the
-- design. If the design supports multiple family types, then each
-- of those family types would need to be tested against C_FAMILY
-- using this function. An example for the VIRTEX2P hierarchy
-- is shown below:
--
-- VIRTEX2P_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX2P)
-- generate
-- -- logic specific to Virtex2P family
-- end generate VIRTEX2P_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX2P_SPECIFIC_LOGIC_GEN:
-- if not derived(C_FAMILY,VIRTEX2P)
-- generate
--
-- VIRTEX2_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX2)
-- generate
-- -- logic specific to Virtex2 family
-- end generate VIRTEX2_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX2_SPECIFIC_LOGIC_GEN
-- if not derived(C_FAMILY,VIRTEX2)
-- generate
--
-- VIRTEX_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX)
-- generate
-- -- logic specific to Virtex family
-- end generate VIRTEX_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX_SPECIFIC_LOGIC_GEN;
-- if not derived(C_FAMILY,VIRTEX)
-- generate
--
-- ANY_FAMILY_TYPE_LOGIC_GEN:
-- if derived(C_FAMILY,ANY)
-- generate
-- -- logic not specific to any family
-- end generate ANY_FAMILY_TYPE_LOGIC_GEN;
--
-- end generate NON_VIRTEX_SPECIFIC_LOGIC_GEN;
--
-- end generate NON_VIRTEX2_SPECIFIC_LOGIC_GEN;
--
-- end generate NON_VIRTEX2P_SPECIFIC_LOGIC_GEN;
--
-- This function will return TRUE if the family type specified in
-- child is equal to, or a super set of, the family type specified in
-- ancestor, otherwise it returns FALSE.
--
-- The current super sets are defined by the following list, where
-- all family types listed to the right of an item are contained in
-- the super set of that item, for all lines containing that item.
--
-- ANY, X4K, SPARTAN, SPARTANXL
-- ANY, X4K, X4KE, X4KL
-- ANY, X4K, X4KEX, X4KXL, X4KXV, X4KXLA
-- ANY, VIRTEX, SPARTAN2, SPARTAN2E
-- ANY, VIRTEX, VIRTEXE
-- ANY, VIRTEX, VIRTEX2, BYZANTIUM
-- ANY, VIRTEX, VIRTEX2, VIRTEX2P
-- ANY, VIRTEX, VIRTEX2, SPARTAN3
--
-- For exampel, all other family types are contained in the super set
-- for ANY. Stated another way, if the designer specifies ANY
-- for the family type the design supports, then the function will
-- return TRUE for any family type the user wishes to implement the
-- design into.
--
-- if derived(C_FAMILY,ANY) generate ... end generate;
--
-- If the designer specifies VIRTEX2 as the family type supported by
-- the design, then the function will only return TRUE if the user
-- intends to implement the design in VIRTEX2, VIRTEX2P, BYZANTIUM,
-- or SPARTAN3.
--
-- if derived(C_FAMILY,VIRTEX2) generate
-- -- logic that uses VIRTEX2 BRAMs
-- end generate;
--
-- if not derived(C_FAMILY,VIRTEX2) generate
-- -- logic that uses non VIRTEX2 BRAMs
-- end generate;
--
-- Note:
-- The last three lines of the list above were modified from the
-- original to remove VIRTEX from those lines because, from our point
-- of view, VIRTEX2 is different enough from VIRTEX to conclude that
-- it should be its own base family type.
--
-- **************************************************************************
-- WARNING
-- **************************************************************************
-- DO NOT RELY ON THE DERIVED FUNCTION TO PROVIDE DIFFERENTIATION BETWEEN
-- FAMILY TYPES FOR ANYTHING OTHER THAN BRAMS
--
-- Use of the derived function assumes that the designer is not using
-- RLOCs (RLOC'd FIFO's from Coregen, etc.) and that the BRAMs in the
-- derived families are similar. If the designer is using specific
-- elements of a family type, they are responsible for ensuring that
-- those same elements are available in all family types supported by
-- their design, and that the elements function exactly the same in all
-- "similar" families.
--
-- **************************************************************************
--
function derived ( child, ancestor : string ) return boolean;
-- equalIgnoreCase - Returns TRUE if case insensitive string comparison
-- determines that str1 and str2 are equal, otherwise FALSE
function equalIgnoreCase( str1, str2 : string ) return boolean;
-- toLowerCaseChar - Returns the lower case form of char if char is an upper
-- case letter. Otherwise char is returned.
function toLowerCaseChar( char : character ) return character;
end family;
package body family is
-- True if architecture "child" is derived from, or equal to,
-- the architecture "ancestor".
-- ANY, X4K, SPARTAN, SPARTANXL
-- ANY, X4K, X4KE, X4KL
-- ANY, X4K, X4KEX, X4KXL, X4KXV, X4KXLA
-- ANY, VIRTEX, SPARTAN2, SPARTAN2E
-- ANY, VIRTEX, VIRTEXE
-- ANY, VIRTEX, VIRTEX2, BYZANTIUM
-- ANY, VIRTEX, VIRTEX2, VIRTEX2P
-- ANY, VIRTEX, VIRTEX2, SPARTAN3
function derived ( child, ancestor : string ) return boolean is
variable is_derived : boolean := FALSE;
begin
if equalIgnoreCase( child, VIRTEX ) then -- base family type
if ( equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX2 ) then
if ( equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, QRVIRTEX2 ) then
if ( equalIgnoreCase(ancestor,QRVIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, QVIRTEX2 ) then
if ( equalIgnoreCase(ancestor,QVIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX5 ) then
if ( equalIgnoreCase(ancestor,VIRTEX5) OR
equalIgnoreCase(ancestor,VIRTEX4) OR
equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX4 ) then
if ( equalIgnoreCase(ancestor,VIRTEX4) OR
equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX2P ) then
if ( equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, BYZANTIUM ) then
if ( equalIgnoreCase(ancestor,BYZANTIUM) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEXE ) then
if ( equalIgnoreCase(ancestor,VIRTEXE) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN2 ) then
if ( equalIgnoreCase(ancestor,SPARTAN2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN2E ) then
if ( equalIgnoreCase(ancestor,SPARTAN2E) OR
equalIgnoreCase(ancestor,SPARTAN2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3 ) then
if ( equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3E ) then
if ( equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3A ) then
if ( equalIgnoreCase(ancestor,SPARTAN3A) OR
equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3AN ) then
if ( equalIgnoreCase(ancestor,SPARTAN3AN) OR
equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4K ) then -- base family type
if ( equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KEX ) then
if ( equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXL ) then
if ( equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXV ) then
if ( equalIgnoreCase(ancestor,X4KXV) OR
equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXLA ) then
if ( equalIgnoreCase(ancestor,X4KXLA) OR
equalIgnoreCase(ancestor,X4KXV) OR
equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KE ) then
if ( equalIgnoreCase(ancestor,X4KE) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KL ) then
if ( equalIgnoreCase(ancestor,X4KL) OR
equalIgnoreCase(ancestor,X4KE) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN ) then
if ( equalIgnoreCase(ancestor,SPARTAN) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTANXL ) then
if ( equalIgnoreCase(ancestor,SPARTANXL) OR
equalIgnoreCase(ancestor,SPARTAN) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, ANY ) then
if equalIgnoreCase( ancestor, any ) then is_derived := TRUE;
end if;
end if;
return is_derived;
end derived;
-- Returns the lower case form of char if char is an upper case letter.
-- Otherwise char is returned.
function toLowerCaseChar( char : character ) return character is
begin
-- If char is not an upper case letter then return char
if char < 'A' OR char > 'Z' then
return char;
end if;
-- Otherwise map char to its corresponding lower case character and
-- return that
case char is
when 'A' => return 'a';
when 'B' => return 'b';
when 'C' => return 'c';
when 'D' => return 'd';
when 'E' => return 'e';
when 'F' => return 'f';
when 'G' => return 'g';
when 'H' => return 'h';
when 'I' => return 'i';
when 'J' => return 'j';
when 'K' => return 'k';
when 'L' => return 'l';
when 'M' => return 'm';
when 'N' => return 'n';
when 'O' => return 'o';
when 'P' => return 'p';
when 'Q' => return 'q';
when 'R' => return 'r';
when 'S' => return 's';
when 'T' => return 't';
when 'U' => return 'u';
when 'V' => return 'v';
when 'W' => return 'w';
when 'X' => return 'x';
when 'Y' => return 'y';
when 'Z' => return 'z';
when others => return char;
end case;
end toLowerCaseChar;
-- Returns true if case insensitive string comparison determines that
-- str1 and str2 are equal
function equalIgnoreCase( str1, str2 : string ) return boolean is
constant LEN1 : integer := str1'length;
constant LEN2 : integer := str2'length;
variable equal : boolean := TRUE;
begin
if not (LEN1 = LEN2) then
equal := FALSE;
else
for i in str1'range loop
if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then
equal := FALSE;
end if;
end loop;
end if;
return equal;
end equalIgnoreCase;
end family;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/valid_be.vhd | 15 | 9917 | --SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: valid_be.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- valid_be - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: valid_be.vhd
-- Version: v1.00a
-- Description: Determines valid OPB access for memory devices
--
-------------------------------------------------------------------------------
-- Structure:
--
-- valid_be.vhd
-------------------------------------------------------------------------------
-- Author: BLT
-- History:
-- ALS 09/21/01 -- First version
-- ^^^^^^
-- First version of valid_be created from BLT's file, valid_access. Made
-- modifications to support a target data bus width and a host data bus
-- width.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_signed.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
-------------------------------------------------------------------------------
-- Port declarations
-------------------------------------------------------------------------------
entity valid_be is
generic (
C_HOST_DW : integer range 8 to 256 := 32;
C_TARGET_DW : integer range 8 to 32 := 32
);
port (
OPB_BE_Reg : in std_logic_vector(0 to C_HOST_DW/8-1);
Valid : out std_logic
);
end entity valid_be;
architecture implementation of valid_be is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant HOST_LOGVAL : integer := log2(C_HOST_DW/8); -- log value for host bus
constant TAR_LOGVAL : integer := log2(C_TARGET_DW/8); -- log value for target bus
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- VALID_ACCESS_PROCESS: this is a general purpose process that returns
-- whether or not a particular byte enable code is valid for a particular host
-- bus size and target bus size. The byte enable bus can be up to 32 bits wide,
-- supporting host bus widths up to 256 bits.
--
-- Example:
-- HOST BUS SIZE(OPB) TARGET BUS SIZE (SRAM) Valid BE
-- ----------------- ---------------------- --------
-- 8 8 '1'
-- 16 8 "01"
-- "10"
-- 16 16 "01"
-- "10"
-- "11"
-- 32 8 "0001"
-- "0010"
-- "0100"
-- "1000"
-- 32 16 "0001"
-- "0010"
-- "0100"
-- "1000"
-- "0011"
-- "1100"
-- 32 32 "0001"
-- "0010"
-- "0100"
-- "1000"
-- "0011"
-- "1100"
-- "1111"
-------------------------------------------------------------------------------
VALID_ACCESS_PROCESS: process (OPB_BE_Reg) is
variable compare_Val : integer := 0;
begin
Valid <= '0';
for i in 0 to TAR_LOGVAL loop -- loop for bits in target data bus
compare_Val := pwr(2,pwr(2,i))-1;
for j in 0 to pwr(2,HOST_LOGVAL-i) loop
if Conv_integer('0' & OPB_BE_Reg) = compare_Val then Valid <= '1'; end if;
compare_Val := compare_Val*pwr(2,pwr(2,i));
end loop;
end loop;
end process VALID_ACCESS_PROCESS;
end architecture implementation;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/blk_mem_gen_v8_1/blk_mem_gen_top.vhd | 27 | 71839 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UXXDHK9d3YtwspCksVg3cn1OQkWFk3QQ1bnN8kcpv130B5dMgVD8+qx+9EwjTR0JFb8FYrcL/7dg
lIwdmlKGHQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lGlirTrah5ntgtsTqcFN8kWYeCxRHbehSLZqyiEvescJE+ORKShYIOu42/ExCc8hSawNVl9qCirT
UlThiM+Fc1evKMQYzaFIzbKiio/Xw8rjRfhTJKjaxdK3T87LnrHcsuSrci+tl+anpBCM3X47tPxD
oNmgZzATBY/NVtZsbvA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UAOAU0ylQuQrszr15mLZsCg4shnqFlxQBAKcqwUoJfM+lTESkAcOosPqKsRH4IbbLlaKiP2HCFU1
aKEFZccPWIgd9WlvneNU3oFbpPCOyV9eZTCX4e5jNTf/7OwRRATKc0mjpd4lxBL9xFrSwNaUKgs1
3vjH77tdesEDAIn5GZ1C/7l3wjwnB4tAiaRNqLY90lB834tlc4mPcP6x8L3rhv5EXfqU4jyJC8B1
4zsO/vH5+VVa1595cRZ3xWXEGVMvmWhY+6TDUJCMhztjp+p4kbQ87UqJz9ddvZWB4hRfjo99Os6I
PqyD9P7zikHIa7jafFMtZu0Vj7u4HDelVYnPyw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qRFhWXCy25iIpt8SG9Mt+xW3HRp/MFye1jJpn72azeuP+g/A4uHCFxvcKVhzcuE8lYDqFZ9IBM4P
ZjcyPOhURivBaWk0KosUyfzbkORd8yS5XcayTSj5/d+90PPk5PXVCLjTrcMbg0+NO3tiyKtPpLQJ
f+Ih38e2az80fHBgiqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tjh0p4bhQQ++Enuq/zxHJnIk+bY5nNzFWlWKnTVXUtnLIlVGko6ShpeQRaCrGzeMC58aHThmj0Rv
eUmPmT2uqc307TRbbuUeFDYMANj1kcC6Ygs+bdXnSkWnOQFu5reSEq5SE7OMIvzdCIaR/FDvSj26
cuj56WGV7WVTg7EZvTcQQsjBPGe7MBQPj6gVbjkHGUTFOQ09cS9h1BaC9UWWfJNQjyJE48PH9w0J
tqmbE8H5AkyiSVZzE1dyYA/E3WjYX0ib/4FRIxCW96Qs02ypuSbfnvJpIyeRwyQL7ko2qezd2p0h
VgIw3omrmALcnzzjpdcOgkkF7sgouCeIApSqBQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51440)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/builtin/builtin_extdepth.vhd | 19 | 80613 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jjl8vAn2UJruW+pwbvMAIo6yT6bQgTl9+ZqbT+VaAP/dcMa9HxI5w52bG1uOMJkKjbI3shaTb5QH
+WA4TEmwBA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jY7USlQiP9PR+LALAEYZsrKak9VnF4tfhT9SQb5jLUPXs+eC5ZbIVQkPjdV+4wzhB7b7ai6shnHa
gEu6kUZZsMTRIotEQn7SVZESTAIMCGAU4lDLU7RT30ySc+gN3y2heOoScYVxVF3kYNcbErB9g4iU
iZLVkq3ZU0fP1VLA30w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
W97r968B0QPwlTs1emSg8mtee0qHNpQ+/n5wfXS0R66Akqy90VsNXhnqLJjbnGJNqaGSMTKCRNVS
ox1Z0rkuemlJn0dMgZtmRgHM/NeyMTSbsBwVvTSeFdA56k6PzciIIQ1S8150Bxbexnd+b7l/UMK+
JO8+KzzHPEIPqou3srZGn9dog9HSSfTUIqvBgloCeGmDxxwlsFwQ2VsrffuE8mB5Kk9lHG/A3rMw
tbJURgYaS/b69KLL9Kc/urEgbRWHU1HQCQDL4hSKE79WXE68MZJ00kcWMfNfAOR1zytQecSerjXJ
iVVvnEzEtzUejpnuhHCRhS+b62dMTzf5a1Q4Dw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l6IXa1kcvqxcIuqXI9bELoLDvGs5XxFfhbXxOKBitloxuDBS5IYgW7AXksTedGB5rM+6jbAr+PVa
4ykVDtx+9n1RZQ3HKQZNsRywuW0+Fcm/MhmC5isxnEClP56JmzAEyD9l7nmy9JJJI11qQTy86iSs
hkUJMmO3Ph4Kz8ptLn0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gEbAM0PoYz0kTXyuDtZRhRtQJeO0ezbVNuHzWd2Q6Djxe3WZnx453sNsfBBqykQPTu/zHrWi/wfe
VIPTt4c20XjDHHTidMXhf5YGMYpytIjNmzV4g6PhJehJgJTQj+T/bAmaDaXLcqMDTjUNont0w58X
XTjVYtxQgjqcVftNf5PS5GCVpRxSTsKbT4CfmHhBwwsNC5rLtE2tRCpmB6tKw/7xf8VLLD8a23zt
cVvVNX0bw3bWCGFmWZjC/1fhYI19WFrjQO9Y/0zq8T/b6JCoxXV2HE4Z2dJ8uXvV5GV8EStC7VCB
DhBS/R4IfNLPojIIJPxvrbzkKlmuEkhgwflRRQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57936)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo3.vhd | 15 | 67496 | -------------------------------------------------------------------------------
-- $Id: srl_fifo3.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo3 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo3.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo3.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- JAM 2002-02-02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
--
-- JAM 2002-04-12 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 Added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
--
-- JAM 2002-05-01 Changed FIFO_Empty output from buffer_Empty, which had
-- a clock delay, to the not of data_Exists_I, which
-- doesn't have any delay
--
-- DCW 2004-10-15 Changed unisim.all to unisim.vcomponents.
-- Added C_FAMILY generic.
-- Added C_AWIDTH generic.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library proc_common_v4_0;
use proc_common_v4_0.all;
use proc_common_v4_0.family.all;
library unisim;
use unisim.vcomponents.all;
entity srl_fifo3 is
generic (
C_FAMILY : string := "virtex4"; -- latest and greatest
C_DWIDTH : positive := 8; -- changed to positive
C_AWIDTH : positive := 4; -- changed to positive
C_DEPTH : positive := 16 -- changed to positive
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to C_AWIDTH-1)
);
end entity srl_fifo3;
architecture imp of srl_fifo3 is
------------------------------------------------------------------------------
-- Architecture BEGIN
------------------------------------------------------------------------------
begin
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH LESS THAN 17
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_LT_17 : if (C_DEPTH < 17) generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic
);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic
);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic
);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Depth check and assertion
--------------------------------------------------------------------------
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0) ) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
--------------------------------------------------------------------------
-- Data Exists DFF Instance
--------------------------------------------------------------------------
DATA_EXISTS_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- GENERATE ADDRESS COUNTERS
--------------------------------------------------------------------------
Addr_Counters : for i in 0 to 3 generate
hsum_A(i) <= (FIFO_Read xor addr_i(i)) and
(FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
S => hsum_A(i), -- [in std_logic]
LO => addr_cy(i+1) -- [out std_logic]
);
XORCY_I : XORCY
port map (
LI => hsum_A(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
O => sum_A(i) -- [out std_logic]
);
FDRE_I : FDRE
port map (
Q => addr_i(i), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_i, -- [in std_logic]
D => sum_A(i), -- [in std_logic]
R => Reset -- [in std_logic]
);
end generate Addr_Counters;
--------------------------------------------------------------------------
-- GENERATE FIFO RAM
--------------------------------------------------------------------------
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I) -- [out std_logic]
);
end generate FIFO_RAM;
--------------------------------------------------------------------------
-- INT_ADDR_PROCESS
--------------------------------------------------------------------------
-- This process assigns the internal address to the output port
--------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from
-- the srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account
end loop; -- for srl16 addr
end process;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 16, LESS THAN 32,
-- AND VIRTEX-E AND OLDER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_16_32_VE : if ( ( (C_DEPTH > 16) and (C_DEPTH < 33) ) and
( equalIgnoreCase(C_FAMILY,"virtex") or
equalIgnoreCase(C_FAMILY,"virtexe") or
equalIgnoreCase(C_FAMILY,"spartan3e") or
equalIgnoreCase(C_FAMILY,"spartan3") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 4);
signal addr_i_1 : std_logic_vector(3 downto 0);
signal buffer_Full_1 : std_logic;
signal next_buffer_Full_1 : std_logic;
signal next_Data_Exists_1 : std_logic;
signal data_Exists_I_1 : std_logic;
signal FIFO_Write_1 : std_logic;
signal Data_In_1 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_1 : std_logic;
signal Data_Out_1 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_2 : std_logic_vector(3 downto 0);
signal buffer_Full_2 : std_logic;
signal next_buffer_Full_2 : std_logic;
signal next_Data_Exists_2 : std_logic;
signal data_Exists_I_2 : std_logic;
signal FIFO_Write_2 : std_logic;
signal Data_In_2 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_2 : std_logic;
signal Data_Out_2 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
next_Data_Exists_1 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_1(0))
and not(addr_i_1(1)) and not(addr_i_1(2))
and not(addr_i_1(3))) or data_Exists_I_1) and not
(FIFO_Read and not(FIFO_Write) and not(addr_i_1(0))
and not(addr_i_1(1)) and not(addr_i_1(2))
and not(addr_i_1(3)));
FIFO_Write_1 <= FIFO_Write;
FIFO_Write_2 <= FIFO_Write;
FIFO_Read_1 <= FIFO_Read;
FIFO_Read_2 <= FIFO_Read;
data_Exists <= data_Exists_I_1;
Data_Out <= Data_Out_2 when (data_Exists_I_2 = '1') else Data_Out_1;
Data_In_2 <= Data_Out_1;
Data_In_1 <= Data_In;
FIFO_Full <= buffer_Full_2;
next_buffer_Full_1 <= '1' when (addr_i_1 = "1111") else '0';
next_Data_Exists_2 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_2(0))
and not(addr_i_2(1)) and not(addr_i_2(2)) and not
(addr_i_2(3)) and (buffer_Full_1)) or data_Exists_I_2)
and not(FIFO_Read and not(FIFO_Write) and
not(addr_i_2(0)) and not(addr_i_2(1)) and
not(addr_i_2(2)) and not(addr_i_2(3)));
next_buffer_Full_2 <= '1' when (addr_i_2 = "1111") else '0';
FIFO_Empty <= not next_Data_Exists_1 and not next_Data_Exists_2;
-- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
--------------------------------------------------------------------------
-- Address Processes
--------------------------------------------------------------------------
ADDRS_1 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_1 <= "0000";
elsif ((buffer_Full_1='0') and (FIFO_Write='1') and
(FIFO_Read='0') and (data_Exists_I_1='1')) then
addr_i_1 <= addr_i_1 + 1;
elsif (not(addr_i_1 = "0000") and (FIFO_Read='1') and
(FIFO_Write='0') and (data_Exists_I_2='0')) then
addr_i_1 <= addr_i_1 - 1;
else
null;
end if;
end if;
end process;
ADDRS_2 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_2 <= "0000";
elsif ((buffer_Full_2='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_1 = '1') and
(data_Exists_I_2='1')) then
addr_i_2 <= addr_i_2 + 1;
elsif (not(addr_i_2 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0')) then
addr_i_2 <= addr_i_2 - 1;
else
null;
end if;
end if;
end process;
ADDR_OUT : process (addr_i_1, addr_i_2, data_Exists_I_2)
begin
if (data_Exists_I_2 = '0') then
Addr <= '0' & addr_i_1;
else
Addr <= '1' & addr_i_2;
end if;
end process;
--------------------------------------------------------------------------
-- Data Exists Instances
--------------------------------------------------------------------------
DATA_EXISTS_1_DFF : FDR
port map (
Q => data_Exists_I_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_2_DFF : FDR
port map (
Q => data_Exists_I_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Buffer Full Instances
--------------------------------------------------------------------------
BUFFER_FULL_1_DFF : FDR
port map (
Q => buffer_Full_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_2_DFF : FDR
port map (
Q => buffer_Full_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM_1 : for i in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_1, -- [in std_logic]
D => Data_In_1(i), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_1(0), -- [in std_logic]
A1 => addr_i_1(1), -- [in std_logic]
A2 => addr_i_1(2), -- [in std_logic]
A3 => addr_i_1(3), -- [in std_logic]
Q => Data_Out_1(i) -- [out std_logic]
);
end generate FIFO_RAM_1;
FIFO_RAM_2 : for i in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_2, -- [in std_logic]
D => Data_In_2(i), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_2(0), -- [in std_logic]
A1 => addr_i_2(1), -- [in std_logic]
A2 => addr_i_2(2), -- [in std_logic]
A3 => addr_i_2(3), -- [in std_logic]
Q => Data_Out_2(i) -- [out std_logic]
);
end generate FIFO_RAM_2;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 16, LESS THAN 32,
-- AND VIRTEX-2 AND NEWER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_16_32_V2 : if ( ( (C_DEPTH > 16) and (C_DEPTH < 33) ) and
( equalIgnoreCase(C_FAMILY,"virtex2") or
equalIgnoreCase(C_FAMILY,"virtex2p") or
equalIgnoreCase(C_FAMILY,"virtex4") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
constant DEPTH : std_logic_vector(0 to 4) :=
conv_std_logic_vector(C_DEPTH-1,5);
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 4);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 4);
signal sum_A : std_logic_vector(0 to 4);
signal addr_cy : std_logic_vector(0 to 5);
signal D_Out_ls : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ms : std_logic_vector(0 to C_DWIDTH-1);
signal q15 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic
);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic
);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
component MUXF5
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
S : in std_logic
);
end component;
component SRLC16E
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
Q : out std_logic;
Q15 : out std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
CE : in std_logic;
CLK : in std_logic;
D : in std_logic
);
end component;
component LUT3
generic( INIT : bit_vector := X"0" );
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
--buffer_Full <= '1' when (addr_i = "11111") else '0';
buffer_Full <= '1' when (addr_i(0) = DEPTH(4) and
addr_i(1) = DEPTH(3) and
addr_i(2) = DEPTH(2) and
addr_i(3) = DEPTH(1) and
addr_i(4) = DEPTH(0) ) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "00000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
Data_Exists <= data_Exists_I;
addr_cy(0) <= valid_Write;
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
--------------------------------------------------------------------------
-- Data Exists DFF Instance
--------------------------------------------------------------------------
DATA_EXISTS_DFF : FDR
port map (
Q => data_Exists_i, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Valid Write LUT Instance
--------------------------------------------------------------------------
-- XST CR183399 WA
-- valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
VALID_WRITE_I : LUT3
generic map ( INIT => X"8A" )
port map (
O => valid_Write,
I0 => FIFO_Write,
I1 => FIFO_Read,
I2 => buffer_Full
);
--END XST WA for CR183399
--------------------------------------------------------------------------
-- GENERATE ADDRESS COUNTERS
--------------------------------------------------------------------------
ADDR_COUNTERS : for i in 0 to 4 generate
hsum_A(I) <= (FIFO_Read xor addr_i(i)) and
(FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
S => hsum_A(i), -- [in std_logic]
LO => addr_cy(i+1) -- [out std_logic]
);
XORCY_I : XORCY
port map (
LI => hsum_A(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
O => sum_A(i) -- [out std_logic]
);
FDRE_I : FDRE
port map (
Q => addr_i(i), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_i, -- [in std_logic]
D => sum_A(i), -- [in std_logic]
R => Reset -- [in std_logic]
);
end generate Addr_Counters;
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM : for i in 0 to C_DWIDTH-1 generate
SRLC16E_LS : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls(i),
Q15 => q15(i),
A0 => addr_i(0),
A1 => addr_i(1),
A2 => addr_i(2),
A3 => addr_i(3),
CE => valid_Write,
CLK => Clk,
D => Data_In(i)
);
SRL16E_MS : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => valid_Write,
D => q15(i),
Clk => Clk,
A0 => addr_i(0),
A1 => addr_i(1),
A2 => addr_i(2),
A3 => addr_i(3),
Q => D_Out_ms(i)
);
MUXF5_I: MUXF5
port map (
O => Data_Out(i), --[out]
I0 => D_Out_ls(i), --[in]
I1 => D_Out_ms(i), --[in]
S => addr_i(4) --[in]
);
end generate FIFO_RAM;
--------------------------------------------------------------------------
-- INT_ADDR_PROCESS
--------------------------------------------------------------------------
-- This process assigns the internal address to the output port
--------------------------------------------------------------------------
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(4 - i); --flip the bits to account for srl16 addr
end loop;
end process;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 32, LESS THAN 65,
-- AND VIRTEX-E AND OLDER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_32_64_VE : if ( (C_DEPTH > 32) and (C_DEPTH < 65) and
( equalIgnoreCase(C_FAMILY,"virtex") or
equalIgnoreCase(C_FAMILY,"virtexe") or
equalIgnoreCase(C_FAMILY,"spartan3e") or
equalIgnoreCase(C_FAMILY,"spartan3") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i_1 : std_logic_vector(3 downto 0);
signal buffer_Full_1 : std_logic;
signal next_buffer_Full_1 : std_logic;
signal next_Data_Exists_1 : std_logic;
signal data_Exists_I_1 : std_logic;
signal FIFO_Write_1 : std_logic;
signal Data_In_1 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_1 : std_logic;
signal Data_Out_1 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_2 : std_logic_vector(3 downto 0);
signal buffer_Full_2 : std_logic;
signal next_buffer_Full_2 : std_logic;
signal next_Data_Exists_2 : std_logic;
signal data_Exists_I_2 : std_logic;
signal FIFO_Write_2 : std_logic;
signal Data_In_2 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_2 : std_logic;
signal Data_Out_2 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_3 : std_logic_vector(3 downto 0);
signal buffer_Full_3 : std_logic;
signal next_buffer_Full_3 : std_logic;
signal next_Data_Exists_3 : std_logic;
signal data_Exists_I_3 : std_logic;
signal FIFO_Write_3 : std_logic;
signal Data_In_3 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_3 : std_logic;
signal Data_Out_3 : std_logic_vector(0 to C_DWIDTH-1);
signal addr_i_4 : std_logic_vector(3 downto 0);
signal buffer_Full_4 : std_logic;
signal next_buffer_Full_4 : std_logic;
signal next_Data_Exists_4 : std_logic;
signal data_Exists_I_4 : std_logic;
signal FIFO_Write_4 : std_logic;
signal Data_In_4 : std_logic_vector(0 to C_DWIDTH-1);
signal FIFO_Read_4 : std_logic;
signal Data_Out_4 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
FIFO_Write_1 <= FIFO_Write;
FIFO_Read_1 <= FIFO_Read;
FIFO_Write_2 <= FIFO_Write and buffer_Full_1;
FIFO_Read_2 <= FIFO_Read;
FIFO_Write_3 <= FIFO_Write and buffer_Full_2;
FIFO_Read_3 <= FIFO_Read;
FIFO_Write_4 <= FIFO_Write and buffer_Full_3;
FIFO_Read_4 <= FIFO_Read;
Data_In_1 <= Data_In;
Data_In_2 <= Data_Out_1;
Data_In_3 <= Data_Out_2;
Data_In_4 <= Data_Out_3;
FIFO_Full <= buffer_Full_4;
next_buffer_Full_1 <= '1' when (addr_i_1 = "1111") else '0';
next_buffer_Full_2 <= '1' when (addr_i_2 = "1111") else '0';
next_buffer_Full_3 <= '1' when (addr_i_3 = "1111") else '0';
next_buffer_Full_4 <= '1' when (addr_i_4 = "1111") else '0';
next_Data_Exists_1 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_1(0))
and not(addr_i_1(1)) and not(addr_i_1(2))
and not(addr_i_1(3))) or data_Exists_I_1) and
not(FIFO_Read and not(FIFO_Write)
and not(addr_i_1(0)) and not(addr_i_1(1)) and not
(addr_i_1(2)) and not(addr_i_1(3)));
next_Data_Exists_2 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_2(0))
and not(addr_i_2(1)) and not(addr_i_2(2))
and not(addr_i_2(3)) and (buffer_Full_1)) or
data_Exists_I_2) and not(FIFO_Read and not(FIFO_Write)
and not(addr_i_2(0)) and not(addr_i_2(1)) and not
(addr_i_2(2)) and not(addr_i_2(3)));
next_Data_Exists_3 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_3(0))
and not(addr_i_3(1)) and not(addr_i_3(2)) and not
(addr_i_3(3)) and (buffer_Full_2)) or data_Exists_I_3)
and not(FIFO_Read and not(FIFO_Write) and not
(addr_i_3(0)) and not(addr_i_3(1)) and not
(addr_i_3(2)) and not(addr_i_3(3)));
next_Data_Exists_4 <= ((FIFO_Write and not(FIFO_Read) and not(addr_i_4(0))
and not(addr_i_4(1)) and not(addr_i_4(2)) and not
(addr_i_4(3)) and (buffer_Full_3)) or data_Exists_I_4)
and not(FIFO_Read and not(FIFO_Write) and
not(addr_i_4(0)) and not(addr_i_4(1)) and
not(addr_i_4(2)) and not(addr_i_4(3)));
data_Exists <= data_Exists_I_1;
Data_Out <= Data_Out_4 when (data_Exists_I_4 = '1') else
Data_Out_3 when (data_Exists_I_3 = '1') else
Data_Out_2 when (data_Exists_I_2 = '1') else
Data_Out_1;
FIFO_Empty <= not data_Exists_I_1;
--------------------------------------------------------------------------
-- Address Processes
--------------------------------------------------------------------------
ADDRS_1 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_1 <= "0000";
elsif ((buffer_Full_1='0') and (FIFO_Write='1') and
(FIFO_Read='0') and (data_Exists_I_1='1')) then
addr_i_1 <= addr_i_1 + 1;
elsif (not(addr_i_1 = "0000") and (FIFO_Read='1') and
(FIFO_Write='0') and (data_Exists_I_2='0')) then
addr_i_1 <= addr_i_1 - 1;
else
null;
end if;
end if;
end process;
ADDRS_2 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_2 <= "0000";
elsif ((buffer_Full_2='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_1 = '1') and
(data_Exists_I_2='1')) then
addr_i_2 <= addr_i_2 + 1;
elsif (not(addr_i_2 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0') and (data_Exists_I_3='0')) then
addr_i_2 <= addr_i_2 - 1;
else
null;
end if;
end if;
end process;
ADDRS_3 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_3 <= "0000";
elsif ((buffer_Full_3='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_2 = '1') and
(data_Exists_I_3='1')) then
addr_i_3 <= addr_i_3 + 1;
elsif (not(addr_i_3 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0') and (data_Exists_I_4='0')) then
addr_i_3 <= addr_i_3 - 1;
else
null;
end if;
end if;
end process;
ADDRS_4 : process (Clk)
begin
if (clk'event and clk = '1') then
if (Reset = '1') then
addr_i_4 <= "0000";
elsif ((buffer_Full_4='0') and (FIFO_Write = '1') and
(FIFO_Read = '0') and (buffer_Full_3 = '1') and
(data_Exists_I_4='1')) then
addr_i_4 <= addr_i_4 + 1;
elsif (not(addr_i_4 = "0000") and (FIFO_Read = '1') and
(FIFO_Write = '0')) then
addr_i_4 <= addr_i_4 - 1;
else
null;
end if;
end if;
end process;
ADDR_OUT : process (addr_i_1, addr_i_2, addr_i_3, addr_i_4,
data_Exists_I_2, data_Exists_I_3, data_Exists_I_4)
begin
if ( (data_Exists_I_2 = '0') and
(data_Exists_I_3 = '0') and
(data_Exists_I_4 = '0') )
then
Addr <= "00" & addr_i_1;
elsif ( (data_Exists_I_3 = '0') and
(data_Exists_I_4 = '0') )
then
Addr <= "01" & addr_i_2;
elsif ( (data_Exists_I_4 = '0') )
then
Addr <= "10" & addr_i_3;
else
Addr <= "11" & addr_i_4;
end if;
end process;
--------------------------------------------------------------------------
-- Data Exists Instances
--------------------------------------------------------------------------
DATA_EXISTS_1_DFF : FDR
port map (
Q => data_Exists_I_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_2_DFF : FDR
port map (
Q => data_Exists_I_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_3_DFF : FDR
port map (
Q => data_Exists_I_3, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_3, -- [in std_logic]
R => Reset -- [in std_logic]
);
DATA_EXISTS_4_DFF : FDR
port map (
Q => data_Exists_I_4, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists_4, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Buffer Full Instances
--------------------------------------------------------------------------
BUFFER_FULL_1_DFF : FDR
port map (
Q => buffer_Full_1, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_1, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_2_DFF : FDR
port map (
Q => buffer_Full_2, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_2, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_3_DFF : FDR
port map (
Q => buffer_Full_3, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_3, -- [in std_logic]
R => Reset -- [in std_logic]
);
BUFFER_FULL_4_DFF : FDR
port map (
Q => buffer_Full_4, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_buffer_Full_4, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM_1 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_1, -- [in std_logic]
D => Data_In_1(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_1(0), -- [in std_logic]
A1 => addr_i_1(1), -- [in std_logic]
A2 => addr_i_1(2), -- [in std_logic]
A3 => addr_i_1(3), -- [in std_logic]
Q => Data_Out_1(I) -- [out std_logic]
);
end generate FIFO_RAM_1;
FIFO_RAM_2 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_2, -- [in std_logic]
D => Data_In_2(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_2(0), -- [in std_logic]
A1 => addr_i_2(1), -- [in std_logic]
A2 => addr_i_2(2), -- [in std_logic]
A3 => addr_i_2(3), -- [in std_logic]
Q => Data_Out_2(I) -- [out std_logic]
);
end generate FIFO_RAM_2;
FIFO_RAM_3 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_3, -- [in std_logic]
D => Data_In_3(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_3(0), -- [in std_logic]
A1 => addr_i_3(1), -- [in std_logic]
A2 => addr_i_3(2), -- [in std_logic]
A3 => addr_i_3(3), -- [in std_logic]
Q => Data_Out_3(I) -- [out std_logic]
);
end generate FIFO_RAM_3;
FIFO_RAM_4 : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => FIFO_Write_4, -- [in std_logic]
D => Data_In_4(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i_4(0), -- [in std_logic]
A1 => addr_i_4(1), -- [in std_logic]
A2 => addr_i_4(2), -- [in std_logic]
A3 => addr_i_4(3), -- [in std_logic]
Q => Data_Out_4(I) -- [out std_logic]
);
end generate FIFO_RAM_4;
end generate;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- GENERATE FOR C_DEPTH GREATER THAN 32, LESS THAN 65,
-- AND VIRTEX-2 AND NEWER FAMILIES
------------------------------------------------------------------------------
------------------------------------------------------------------------------
C_DEPTH_32_64_V2 : if ( (C_DEPTH > 32) and (C_DEPTH < 65) and
( equalIgnoreCase(C_FAMILY,"virtex2") or
equalIgnoreCase(C_FAMILY,"virtex2p") or
equalIgnoreCase(C_FAMILY,"virtex4") ) )
generate
--------------------------------------------------------------------------
-- Constant Declarations
--------------------------------------------------------------------------
constant DEPTH : std_logic_vector(0 to 5) :=
conv_std_logic_vector(C_DEPTH-1,6);
--------------------------------------------------------------------------
-- Signal Declarations
--------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 5);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 5);
signal sum_A : std_logic_vector(0 to 5);
signal addr_cy : std_logic_vector(0 to 6);
signal D_Out_ls_1 : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ls_2 : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ls_3 : std_logic_vector(0 to C_DWIDTH-1);
signal D_Out_ms : std_logic_vector(0 to C_DWIDTH-1);
signal Data_O_ls : std_logic_vector(0 to C_DWIDTH-1);
signal Data_O_ms : std_logic_vector(0 to C_DWIDTH-1);
signal q15_1 : std_logic_vector(0 to C_DWIDTH-1);
signal q15_2 : std_logic_vector(0 to C_DWIDTH-1);
signal q15_3 : std_logic_vector(0 to C_DWIDTH-1);
--------------------------------------------------------------------------
-- Component Declarations
--------------------------------------------------------------------------
component SRL16E is
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component SRL16E;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic
);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic
);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDR;
component MUXF5
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
S : in std_logic
);
end component;
component MUXF6
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
S : in std_logic
);
end component;
component SRLC16E
-- pragma translate_off
generic ( INIT : bit_vector := X"0000" );
-- pragma translate_on
port (
Q : out std_logic;
Q15 : out std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
CE : in std_logic;
CLK : in std_logic;
D : in std_logic
);
end component;
-- XST WA for CR183399
component LUT3
generic( INIT : bit_vector := X"0" );
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
--------------------------------------------------------------------------
-- Begin for Generate
--------------------------------------------------------------------------
begin
--------------------------------------------------------------------------
-- Concurrent Signal Assignments
--------------------------------------------------------------------------
-- buffer_Full <= '1' when (addr_i = "11111") else '0';
buffer_Full <= '1' when (addr_i(0) = DEPTH(5) and
addr_i(1) = DEPTH(4) and
addr_i(2) = DEPTH(3) and
addr_i(3) = DEPTH(2) and
addr_i(4) = DEPTH(1) and
addr_i(5) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "000000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists <= data_Exists_I;
addr_cy(0) <= valid_Write;
--------------------------------------------------------------------------
-- Data Exists DFF Instance
--------------------------------------------------------------------------
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset -- [in std_logic]
);
--------------------------------------------------------------------------
-- Valid Write LUT Instance
--------------------------------------------------------------------------
-- XST CR183399 WA
-- valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
VALID_WRITE_I : LUT3
generic map ( INIT => X"8A" )
port map (
O => valid_Write, -- [out std_logic]
I0 => FIFO_Write, -- [in std_logic]
I1 => FIFO_Read, -- [in std_logic]
I2 => buffer_Full -- [in std_logic]
);
--END XST WA for CR183399
--------------------------------------------------------------------------
-- GENERATE ADDRESS COUNTERS
--------------------------------------------------------------------------
ADDR_COUNTERS : for i in 0 to 5 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and
(FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
S => hsum_A(i), -- [in std_logic]
LO => addr_cy(i+1) -- [out std_logic]
);
XORCY_I : XORCY
port map (
LI => hsum_A(i), -- [in std_logic]
CI => addr_cy(i), -- [in std_logic]
O => sum_A(i) -- [out std_logic]
);
FDRE_I : FDRE
port map (
Q => addr_i(i), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_i, -- [in std_logic]
D => sum_A(i), -- [in std_logic]
R => Reset -- [in std_logic]
);
end generate ADDR_COUNTERS;
--------------------------------------------------------------------------
-- GENERATE FIFO RAMS
--------------------------------------------------------------------------
FIFO_RAM : for i in 0 to C_DWIDTH-1 generate
SRLC16E_LS1 : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls_1(i), --[out]
Q15 => q15_1(i), --[out]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
CE => valid_Write, --[in]
CLK => Clk, --[in]
D => Data_In(i) --[in]
);
SRLC16E_LS2 : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls_2(i), --[out]
Q15 => q15_2(i), --[out]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
CE => valid_Write, --[in]
CLK => Clk, --[in]
D => q15_1(i) --[in]
);
MUXF5_LS: MUXF5
port map (
O => Data_O_LS(i), --[out]
I0 => D_Out_ls_1(I), --[in]
I1 => D_Out_ls_2(I), --[in]
S => addr_i(4) --[in]
);
SRLC16E_LS3 : SRLC16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
Q => D_Out_ls_3(i), --[out]
Q15 => q15_3(i), --[out]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
CE => valid_Write, --[in]
CLK => Clk, --[in]
D => q15_2(i) --[in]
);
SRL16E_MS : SRL16E
-- pragma translate_off
generic map ( INIT => x"0000" )
-- pragma translate_on
port map (
CE => valid_Write, --[in]
D => q15_3(i), --[in]
Clk => Clk, --[in]
A0 => addr_i(0), --[in]
A1 => addr_i(1), --[in]
A2 => addr_i(2), --[in]
A3 => addr_i(3), --[in]
Q => D_Out_ms(I) --[out]
);
MUXF5_MS: MUXF5
port map (
O => Data_O_MS(i), --[out]
I0 => D_Out_ls_3(i), --[in]
I1 => D_Out_ms(i), --[in]
S => addr_i(4) --[in]
);
MUXF6_I: MUXF6
port map (
O => Data_out(i), --[out]
I0 => Data_O_ls(i), --[in]
I1 => Data_O_ms(i), --[in]
S => addr_i(5) --[in]
);
end generate FIFO_RAM;
--------------------------------------------------------------------------
-- INT_ADDR_PROCESS
--------------------------------------------------------------------------
-- This process assigns the internal address to the output port
--------------------------------------------------------------------------
INT_ADDR_PROCESS:process (addr_i)
begin
for i in Addr'range
loop
Addr(i) <= addr_i(5 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end generate;
end architecture imp;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/axi_reg_slice.vhd | 19 | 17522 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jPOKnt2dHOagW4dFov86UptHPGMdrE6d2ZgqMnfJehhzqeTiVLl89did3kf45SSrRMnQy9YGjxY6
jqpfslmzag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TbXlwhQ0d0UG8+CBDSNOnRgRBfh1oNNVi5QwoMGV3zJAlkTsnTywwNiy3IArHTxG6Niq+d59upyT
QOuldsHqtyc6KQBpxueCYJG7Fv1OIOGGq8mGjrkLmbJVhJEwBvPv4mlhsXKQ+/UhmQDpF2ZyKhkK
EbgpRIm7ap2EmEdPduA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iaTK7nKuH82rPJSrGYALVeHLyxEbb+9Rh0wJiyQuCqzY3/f+ne/dT7ytF39Hm0BXD9csWKwQp3QC
vOqzo1FyLi+w9Ik3lkb4njvMdZauHueYbVoku659dslyFGV84Aivwjcg0Y5de7FqsEonjWrVPTE4
0oo4m4QHuK8VN0pa+LmuzTIHDEzIPM6IMp8H0IstAk4VaGHg6wlCrG0u2kbbhcyaOKk2xzxiDfSu
gcUy11TT1zHFME/fHUU4VO3aHMSGacP3N+kgMah6x7bBUjBd2rfEXkVcl+/1g+qp0xW2BzItYrMY
Q1wtoE+N2GipiyxU+AmrXQ4zQNqO11zaj/N6Ig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QkbQ08NIPb90+bNjwXDlVNk6WbvhfydYhJZqryulAczmjZMBvdwitIPmanwzKj9BPStsPNHXyOKf
9PFA9l/uvQOwVNRTz3G2U0+6+YFy3j+qj97mRopffETTpncxm/BoroKpRNN1DrgSjygcTkfrt06N
1lOXW+551KWRUPA+fGE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LXGnS/C7HF/SjGcWlSWMUKmilNZr5UhJNWaaWr/ybus0u0ctzmNkXcydCyfmEQe8OngFPF/IKSaG
XMrlZODcxs6BdW6TBJGvkBlKfbvIYg7iCmAit8JvgZpuYsROJrZ/IapJ9XCUZT5PW0Y/S/PoGs0O
fXalNP4hoIYlP5OYjMaSowkFFmCMq49fHUdBBmi6thqlMFhrdpbAhfGoJVYkjStWry+O4YcFvpKw
Q8WXsOAh5J64eppUG0x86EZ8HpsK6EGAeT39tAy+jNSSIcnklat3mhXxMF+BE67OS/DRt5H346yK
YrLlKC5qbVgH7HjzWMBFYeVVtUec0iic45xLPw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/axi_reg_slice.vhd | 19 | 17522 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jPOKnt2dHOagW4dFov86UptHPGMdrE6d2ZgqMnfJehhzqeTiVLl89did3kf45SSrRMnQy9YGjxY6
jqpfslmzag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TbXlwhQ0d0UG8+CBDSNOnRgRBfh1oNNVi5QwoMGV3zJAlkTsnTywwNiy3IArHTxG6Niq+d59upyT
QOuldsHqtyc6KQBpxueCYJG7Fv1OIOGGq8mGjrkLmbJVhJEwBvPv4mlhsXKQ+/UhmQDpF2ZyKhkK
EbgpRIm7ap2EmEdPduA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iaTK7nKuH82rPJSrGYALVeHLyxEbb+9Rh0wJiyQuCqzY3/f+ne/dT7ytF39Hm0BXD9csWKwQp3QC
vOqzo1FyLi+w9Ik3lkb4njvMdZauHueYbVoku659dslyFGV84Aivwjcg0Y5de7FqsEonjWrVPTE4
0oo4m4QHuK8VN0pa+LmuzTIHDEzIPM6IMp8H0IstAk4VaGHg6wlCrG0u2kbbhcyaOKk2xzxiDfSu
gcUy11TT1zHFME/fHUU4VO3aHMSGacP3N+kgMah6x7bBUjBd2rfEXkVcl+/1g+qp0xW2BzItYrMY
Q1wtoE+N2GipiyxU+AmrXQ4zQNqO11zaj/N6Ig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QkbQ08NIPb90+bNjwXDlVNk6WbvhfydYhJZqryulAczmjZMBvdwitIPmanwzKj9BPStsPNHXyOKf
9PFA9l/uvQOwVNRTz3G2U0+6+YFy3j+qj97mRopffETTpncxm/BoroKpRNN1DrgSjygcTkfrt06N
1lOXW+551KWRUPA+fGE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LXGnS/C7HF/SjGcWlSWMUKmilNZr5UhJNWaaWr/ybus0u0ctzmNkXcydCyfmEQe8OngFPF/IKSaG
XMrlZODcxs6BdW6TBJGvkBlKfbvIYg7iCmAit8JvgZpuYsROJrZ/IapJ9XCUZT5PW0Y/S/PoGs0O
fXalNP4hoIYlP5OYjMaSowkFFmCMq49fHUdBBmi6thqlMFhrdpbAhfGoJVYkjStWry+O4YcFvpKw
Q8WXsOAh5J64eppUG0x86EZ8HpsK6EGAeT39tAy+jNSSIcnklat3mhXxMF+BE67OS/DRt5H346yK
YrLlKC5qbVgH7HjzWMBFYeVVtUec0iic45xLPw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo_rbu_f.vhd | 15 | 16038 | -------------------------------------------------------------------------------
-- $Id: srl_fifo_rbu_f.vhd,v 1.1.4.2 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo_rbu_f.vhd
--
-- Description: A small-to-medium depth FIFO with optional
-- capability to back up and reread data. For
-- data storage, the SRL elements native to the
-- target FGPA family are used. If the FIFO depth
-- exceeds the available depth of the SRL elements,
-- then SRLs are cascaded and MUXFN elements are
-- used to select the output of the appropriate SRL stage.
--
-- Features:
-- - Width and depth are arbitrary, but each doubling of
-- depth, starting from the native SRL depth, adds
-- a level of MUXFN. Generally, in performance-oriented
-- applications, the fifo depth may need to be limited to
-- not exceed the SRL cascade depth supported by local
-- fast interconnect or the number of MUXFN levels.
-- However, deeper fifos will correctly build.
-- - Commands: read, write, and reread n.
-- - Flags: empty and full.
-- - The reread n command (executed by applying
-- a non-zero value, n, to signal Num_To_Reread
-- for one clock period) allows n
-- previously read elements to be restored to the FIFO,
-- limited, however, to the number of elements that have
-- not been overwritten. (It is the user's responsibility
-- to assure that the elements being restored are
-- actually in the FIFO storage; once the depth of the
-- FIFO has been written, the maximum number that can
-- be restored is equal to the vacancy.)
-- The reread capability does not cost extra LUTs or FFs.
-- - Commands may be asserted simultaneously.
-- However, if read and reread n are asserted
-- simultaneously, only the read is carried out.
-- - Overflow and underflow are detected and latched until
-- Reset. The state of the FIFO is undefined during
-- status of underflow or overflow.
-- Underflow can occur only by reading the FIFO when empty.
-- Overflow can occur either from a write, a reread n,
-- or a combination of both that would result in more
-- elements occupying the FIFO that its C_DEPTH.
-- - Any of the signals FIFO_Full, Underflow, or Overflow
-- left unconnected can be expected to be trimmed.
-- - The Addr output is always one less than the current
-- occupancy when the FIFO is non-empty, and is all ones
-- otherwise. Therefore, the value <FIFO_Empty, Addr>--
-- i.e. FIFO_Empty concatenated on the left with Addr--
-- when taken as a signed value, is one less than the
-- current occupancy.
-- This information can be used to generate additional
-- flags, if needed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo_rbu_f.vhd
-- dynshreg_f.vhd
-- cntr_incr_decr_addn_f.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
-- ~~~~~~
-- FLO 2007-12-12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
-- FLO 2008-11-25
-- ^^^^^^
-- Changed to functionally equivalent code to generate FIFO_Full. The new code
-- steers the current XST toward a better implementation. CR 496211.
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- predecessor value by # clks: "*_p#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.">=";
use ieee.numeric_std.TO_UNSIGNED;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
entity srl_fifo_rbu_f is
generic (
C_DWIDTH : natural;
C_DEPTH : positive := 16;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1);
Num_To_Reread : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Underflow : out std_logic;
Overflow : out std_logic
);
end entity srl_fifo_rbu_f;
architecture imp of srl_fifo_rbu_f is
function bitwise_or(s: std_logic_vector) return std_logic is
variable v: std_logic := '0';
begin
for i in s'range loop v := v or s(i); end loop;
return v;
end bitwise_or;
constant ADDR_BITS : integer := clog2(C_DEPTH);
-- An extra bit will be carried as the empty flag.
signal addr_i : std_logic_vector(ADDR_BITS downto 0);
signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0);
signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0);
signal fifo_empty_i : std_logic;
signal overflow_i : std_logic;
signal underflow_i : std_logic;
signal fifo_full_p1 : std_logic;
begin
fifo_empty_i <= addr_i(ADDR_BITS);
Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0);
FIFO_Empty <= fifo_empty_i;
num_to_reread_zeroext <= '0' & Num_To_Reread;
----------------------------------------------------------------------------
-- The FIFO address counter. Addresses the next element to be read.
-- All ones when the FIFO is empty.
----------------------------------------------------------------------------
CNTR_INCR_DECR_ADDN_F_I : entity proc_common_v4_0.cntr_incr_decr_addn_f
generic map (
C_SIZE => ADDR_BITS + 1,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Reset => Reset,
Incr => FIFO_Write,
Decr => FIFO_Read,
N_to_add => num_to_reread_zeroext,
Cnt => addr_i,
Cnt_p1 => addr_i_p1
);
----------------------------------------------------------------------------
-- The dynamic shift register that holds the FIFO elements.
----------------------------------------------------------------------------
DYNSHREG_F_I : entity proc_common_v4_0.dynshreg_f
generic map (
C_DEPTH => C_DEPTH,
C_DWIDTH => C_DWIDTH,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Clken => FIFO_Write,
Addr => addr_i(ADDR_BITS-1 downto 0),
Din => Data_In,
Dout => Data_Out
);
----------------------------------------------------------------------------
-- Full flag.
----------------------------------------------------------------------------
fifo_full_p1 <= '1' when ( addr_i_p1
= std_logic_vector(
TO_UNSIGNED(C_DEPTH-1, ADDR_BITS+1)
)
)
else '0';
FULL_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset='1' then
FIFO_Full <= '0';
else
FIFO_Full <= fifo_full_p1;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Underflow detection.
----------------------------------------------------------------------------
UNDERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
underflow_i <= '0';
elsif underflow_i = '1' then
underflow_i <= '1'; -- Underflow sticks until reset
else
underflow_i <= fifo_empty_i and FIFO_Read;
end if;
end if;
end process;
Underflow <= underflow_i;
----------------------------------------------------------------------------
-- Overflow detection.
-- The only case of non-erroneous operation for which addr_i (including
-- the high-order bit used as the empty flag) taken as an unsigned value
-- may be greater than or equal to C_DEPTH is when the FIFO is empty.
-- No overflow is possible when FIFO_Read, since Num_To_Reread is
-- overriden in this case and the number elements can at most remain
-- unchanged (that being when there is a simultaneous FIFO_Write).
-- However, when there is no FIFO_Read and there is either a
-- FIFO_Write or a restoration of one or more read elements, or both, then
-- addr_i, extended by the carry-out bit, becoming greater than
-- or equal to C_DEPTH indicates an overflow.
----------------------------------------------------------------------------
OVERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
overflow_i <= '0';
elsif overflow_i = '1' then
overflow_i <= '1'; -- Overflow sticks until Reset
elsif FIFO_Read = '0' and
(FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and
UNSIGNED(addr_i_p1) >= C_DEPTH then
overflow_i <= '1';
else
overflow_i <= '0';
end if;
end if;
end process;
Overflow <= overflow_i;
end architecture imp;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/blk_mem_gen_v8_1/blk_mem_gen_v8_1_defaults.vhd | 27 | 32589 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WrSZEf64fUAl1kVl9HWWVm9JOgHMmzn0fv0uusEaRSoZ0YHKAX+sj6D4gL2WXWrV9+rdMofvPwNs
9A6zs8psHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R/iTmfCVAo0uuZTRynJ9b5Z2gujQ7+Xxv1u+96JME6mwR6F6/MPV4ayotodCx+xcD+9l4Ktib8Ml
C05jFwQ5vFi+09RjQvyvxQAR5CtE87QE5Bg2A3Gt5QmE+m7ZfJiQZgi5YQHL3kAHS0jfaofTkZIU
6VFVSW/fcrod0Swq7VE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RAfB7dvLyt2uCWNWspMeHiLYPG4TlOk+8Dptz+NhWH6nMzYrNkf7IWIjXk3hEVf7lwT/X64pynoh
QoCCtl9AW1iC77VMTIu5MgFRizuZMUfXZ0crSPULV2aGonx9nQ5JKx8TiRv5BTWxeAsuh1lT/5p6
2v08ZCt1Nwa8GPmEeFnTZsTB1B0jFzZQMa3GGdV0nEcSjDo4bLIkw9sMEBW2OdUuvE5yIHF6Z7++
/wzulmNKOqQpmeHrq3r1VKkMUHNzsDpLkGo5HMiTmEUJr/s3uq2EhCIq1agWSVbcEjS5uDaYcwdG
D4cRvgOxtT5sxpWA4fivRX7vvCyun+C2e4pYew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MsyF52v9pEo5RpJJtfhlgAJQ/9a172C6pJMP5S/aXQMuRuv2+JV5wCeynUZSXHj38Ger421EXuQd
EmO2OIKWiz2pShaEh/NwF+InGDF0QzD16vAgn24LAOYAOX1lcCquf4w2rs7e+0dn2PO/GYRn4rxl
E65F1qdRiZlUeVoRHdk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
klspBE6zapxwDIEksFW+V3vEj3afpsQxyK1CWGpsw53FDriMhZB4hONIr9yRSN9nitmQ+6cnlGM3
S4Cxnkb334zdXXX5YoppEYaAdCcB5nDsYhSpn4PyPhd2ANmiSIXxEjiEJ9MDJlVIobzrtkNgFEWA
QkqC/Eky3QLBOqPuDJIgkf5UFynGEkI3eWzGSyuNAHTTYXfoLlYBh8nelaKS5vgYh7jpllyo5l6k
hn08k3sWZKuN1S8dwb88eFGM6hwg1UoX7pTnUY5yGPZZS0JEiN6WVWRmh72r5l3yyFZOFNcvByJJ
z349Odlh9AHKI6joGGP9sLtbKDrZfmu9y/SSsA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/blk_mem_gen_v8_1/blk_mem_gen_v8_1_defaults.vhd | 27 | 32589 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WrSZEf64fUAl1kVl9HWWVm9JOgHMmzn0fv0uusEaRSoZ0YHKAX+sj6D4gL2WXWrV9+rdMofvPwNs
9A6zs8psHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R/iTmfCVAo0uuZTRynJ9b5Z2gujQ7+Xxv1u+96JME6mwR6F6/MPV4ayotodCx+xcD+9l4Ktib8Ml
C05jFwQ5vFi+09RjQvyvxQAR5CtE87QE5Bg2A3Gt5QmE+m7ZfJiQZgi5YQHL3kAHS0jfaofTkZIU
6VFVSW/fcrod0Swq7VE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RAfB7dvLyt2uCWNWspMeHiLYPG4TlOk+8Dptz+NhWH6nMzYrNkf7IWIjXk3hEVf7lwT/X64pynoh
QoCCtl9AW1iC77VMTIu5MgFRizuZMUfXZ0crSPULV2aGonx9nQ5JKx8TiRv5BTWxeAsuh1lT/5p6
2v08ZCt1Nwa8GPmEeFnTZsTB1B0jFzZQMa3GGdV0nEcSjDo4bLIkw9sMEBW2OdUuvE5yIHF6Z7++
/wzulmNKOqQpmeHrq3r1VKkMUHNzsDpLkGo5HMiTmEUJr/s3uq2EhCIq1agWSVbcEjS5uDaYcwdG
D4cRvgOxtT5sxpWA4fivRX7vvCyun+C2e4pYew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MsyF52v9pEo5RpJJtfhlgAJQ/9a172C6pJMP5S/aXQMuRuv2+JV5wCeynUZSXHj38Ger421EXuQd
EmO2OIKWiz2pShaEh/NwF+InGDF0QzD16vAgn24LAOYAOX1lcCquf4w2rs7e+0dn2PO/GYRn4rxl
E65F1qdRiZlUeVoRHdk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
klspBE6zapxwDIEksFW+V3vEj3afpsQxyK1CWGpsw53FDriMhZB4hONIr9yRSN9nitmQ+6cnlGM3
S4Cxnkb334zdXXX5YoppEYaAdCcB5nDsYhSpn4PyPhd2ANmiSIXxEjiEJ9MDJlVIobzrtkNgFEWA
QkqC/Eky3QLBOqPuDJIgkf5UFynGEkI3eWzGSyuNAHTTYXfoLlYBh8nelaKS5vgYh7jpllyo5l6k
hn08k3sWZKuN1S8dwb88eFGM6hwg1UoX7pTnUY5yGPZZS0JEiN6WVWRmh72r5l3yyFZOFNcvByJJ
z349Odlh9AHKI6joGGP9sLtbKDrZfmu9y/SSsA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd | 19 | 49663 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MxpeY9fwU4EddFSpExWohS5o9i8UPinR6kQv/f7rVpVjW9v1XPHFNv5NQBBqnxbGk/3GroOhKYHi
zeZXd9sb8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
genV68U/jEyVif/FXdfTRcDdNLXMaB4JkzDnEPHISJLebDAxHBqab4xQb3vzSMzS4EZxJxM3czS7
l6/Pa+/lUNH4iHFgH3/d34ImoXy9UrVsNWI4O1k56f8CO5JZkX0ENM2JUr2+jZNnrmepHCpz3pyr
N2xknPLUPWomWT5p45Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4dyOi6X0ND7jxJKLfQYpMzBQUnXRUvqhIlWd2qdz2OgGY9VUivCAp2239OkMu2rIWSpkdV3gd8Tn
4E+XnpveIi4nHAn1AdqR2yW6qJRqYI/CpvcG8E7ZhuUiWSAPiQ/jcxRmeyzLFdVhgEV4hed5vk+9
Qi0C1DUHqDNPvc06f+xZUSTzBSqXkxyUqGIa+j3ZmCrjq04hmRDILUEkjqmR0K0TOLNdsLd81gAl
LqIfeuzK3hLcVWnnJG54RzS/q6bahPN8UaYhtJREcAC9BD1S+QEdDXRxFczj2T1LQBL5rSryR8bI
LV6YqNl+85SCCMZmZV8Io9S7fDVIrhzNm4Kcmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PIdLn+S6alHzFt/ir7zZvMPdMeYQTL6BrWSuIGxsOazGugSdn7m2jtyII74LXXAGUQ0h11spxnUf
W/HpoHHxg6pfmAZclwmfvLsFiVi0w0hNMmIWoR8TGPdAC93Y5+aRfoAJNuDfUDfLzdBM4O7G2ZFx
YGYpvBcNhzcFFuSCCK4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkGw0OOEdMUjhZKEmICwPPGTbEeQxk+K4HH0ah7Z5cm5dbbyDDJyn1CdBy6WY7ZD/SXDbXp0Ibi6
BH7Y9BzUsE3rhTUVWQo0OMHXc+hE0CnmrdIq6Yy3Wkf73IKl+pu+66Qo9W7SdJGNPpreGME4X4AM
zBwAv9xByRwGoY45EIIGTaE7VL15piKgLihjK8Y2Ee8q921qHsI62b9osdj+stH9M0nIgGIwpsIA
DiUOa8Naw0kRMS8QCXDqKr1fJ0jPj3cnclvP9Taz8J5tp8Sf8I6bs8irg+MGD1MgQIfeKkimA5VH
MerNz8gbn3+/Vz2X2+nKanM3LebAMLyCO8EBfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35024)
`protect data_block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`protect end_protected
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/or_gate_f.vhd | 15 | 9178 | -------------------------------------------------------------------------------
-- $Id: or_gate_f.vhd,v 1.1.4.2 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_gate_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_gate_f.vhd
--
-- Description: OR gates. The width of each OR gate (C_OR_WIDTH)
-- and the number of or gates (C_BUS_WIDTH) are
-- parameterizable.
--
-- Y(j) <= A(j) OR A(C_BUS_WIDTH+j)
-- OR A(2*C_BUS_WIDTH+j)
-- ...
-- OR A((C_OR_WIDTH-1)*C_BUS_WIDTH+j),
--
-- for 0 <= j < C_BUS_WIDTH
--
-- If C_FAMILY is set (or left defaulted) to "nofamily"
-- then the implementation will be by synthesis inference.
-- Otherwise, a structural implementation optimized to
-- C_FAMILY may be generated, depending on whether
-- C_FAMILY supports the needed primtives.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- or_gate_f.vhd
--
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 2006-12-11
-- ^^^^^^
-- First Version, derived from or_gate by BLT
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_OR_WIDTH -- Which Xilinx FPGA family to target when
-- syntesizing, affect the RLOC string values
-- C_BUS_WIDTH -- Which Y position the RLOC should start from
--
-- Definition of Ports:
-- A -- Input. Input buses are concatenated together to
-- form input A. Example: to OR buses R, S, and T,
-- assign A <= R & S & T;
-- Y -- Output. Same width as input buses.
--
-------------------------------------------------------------------------------
entity or_gate_f is
generic (
C_OR_WIDTH : natural := 17;
C_BUS_WIDTH : natural := 1;
C_FAMILY : string := "nofamily"
);
port (
A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1);
Y : out std_logic_vector(0 to C_BUS_WIDTH-1)
);
end entity or_gate_f;
architecture imp of or_gate_f is
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
signal test : std_logic_vector(0 to C_BUS_WIDTH-1);
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin
BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate
signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1);
begin
ORDER_INPUT_BUS_PROCESS: process( A ) is
begin
for k in 0 to C_OR_WIDTH-1 loop
in_Bus(k) <= A(k*C_BUS_WIDTH+i);
end loop;
end process ORDER_INPUT_BUS_PROCESS;
OR_BITS_I: entity proc_common_v4_0.or_muxcy_f
generic map (
C_NUM_BITS => C_OR_WIDTH,
C_FAMILY => C_FAMILY
)
port map (
In_bus => in_Bus, --[in]
Or_out => Y(i) --[out]
);
end generate BUS_WIDTH_FOR_GEN;
end architecture imp;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/axi_lite_ipif_v2_0/hdl/src/vhdl/slave_attachment.vhd | 7 | 22036 | -------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: slave_attachment.vhd
-- Version: v2.0
-- Description: AXI slave attachment supporting single transfers
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 06/09/10 -- updated to reduce the utilization
-- 1. State machine is re-designed
-- 2. R and B channels are registered and AW, AR, W channels are non-registered
-- 3. Address decoding is done only for the required address bits and not complete
-- 32 bits
-- 4. combined the response signals like ip2bus_error in optimzed code to remove the mux
-- 5. Added local function "clog2" with "integer" as input in place of proc_common_pkg
-- function.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- access_cs machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.proc_common_pkg.max2;
use proc_common_v4_0.ipif_pkg.all;
use proc_common_v4_0.family_support.all;
use proc_common_v4_0.counter_f;
library axi_lite_ipif_v2_0;
use axi_lite_ipif_v2_0.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_IPIF_ABUS_WIDTH -- IPIF Address bus width
-- C_IPIF_DBUS_WIDTH -- IPIF Data Bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_USE_WSTRB -- Use write strobs or not
-- C_DPHASE_TIMEOUT -- Data phase time out counter
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- S_AXI_ACLK -- AXI Clock
-- S_AXI_ARESET -- AXI Reset
-- S_AXI_AWADDR -- AXI Write address
-- S_AXI_AWVALID -- Write address valid
-- S_AXI_AWREADY -- Write address ready
-- S_AXI_WDATA -- Write data
-- S_AXI_WSTRB -- Write strobes
-- S_AXI_WVALID -- Write valid
-- S_AXI_WREADY -- Write ready
-- S_AXI_BRESP -- Write response
-- S_AXI_BVALID -- Write response valid
-- S_AXI_BREADY -- Response ready
-- S_AXI_ARADDR -- Read address
-- S_AXI_ARVALID -- Read address valid
-- S_AXI_ARREADY -- Read address ready
-- S_AXI_RDATA -- Read data
-- S_AXI_RRESP -- Read response
-- S_AXI_RVALID -- Read valid
-- S_AXI_RREADY -- Read ready
-- Bus2IP_Clk -- Synchronization clock provided to User IP
-- Bus2IP_Reset -- Active high reset for use by the User IP
-- Bus2IP_Addr -- Desired address of read or write operation
-- Bus2IP_RNW -- Read or write indicator for the transaction
-- Bus2IP_BE -- Byte enables for the data bus
-- Bus2IP_CS -- Chip select for the transcations
-- Bus2IP_RdCE -- Chip enables for the read
-- Bus2IP_WrCE -- Chip enables for the write
-- Bus2IP_Data -- Write data bus to the User IP
-- IP2Bus_Data -- Input Read Data bus from the User IP
-- IP2Bus_WrAck -- Active high Write Data qualifier from the IP
-- IP2Bus_RdAck -- Active high Read Data qualifier from the IP
-- IP2Bus_Error -- Error signal from the IP
-------------------------------------------------------------------------------
entity slave_attachment is
generic (
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE :=
(
X"0000_0000_7000_0000", -- IP user0 base address
X"0000_0000_7000_00FF", -- IP user0 high address
X"0000_0000_7000_0100", -- IP user1 base address
X"0000_0000_7000_01FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
1, -- User0 CE Number
8 -- User1 CE Number
);
C_IPIF_ABUS_WIDTH : integer := 32;
C_IPIF_DBUS_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer range 0 to 512 := 16;
C_FAMILY : string := "virtex6"
);
port(
-- AXI signals
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector
((C_IPIF_DBUS_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- Controls to the IP/IPIF modules
Bus2IP_Clk : out std_logic;
Bus2IP_Resetn : out std_logic;
Bus2IP_Addr : out std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
Bus2IP_RNW : out std_logic;
Bus2IP_BE : out std_logic_vector
(((C_IPIF_DBUS_WIDTH/8) - 1) downto 0);
Bus2IP_CS : out std_logic_vector
(((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2 - 1) downto 0);
Bus2IP_RdCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0);
Bus2IP_WrCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0);
Bus2IP_Data : out std_logic_vector
((C_IPIF_DBUS_WIDTH-1) downto 0);
IP2Bus_Data : in std_logic_vector
((C_IPIF_DBUS_WIDTH-1) downto 0);
IP2Bus_WrAck : in std_logic;
IP2Bus_RdAck : in std_logic;
IP2Bus_Error : in std_logic
);
end entity slave_attachment;
-------------------------------------------------------------------------------
architecture imp of slave_attachment is
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Get_Addr_Bits: Function Declarations
-------------------------------------------------------------------------------
function Get_Addr_Bits (y : std_logic_vector(31 downto 0)) return integer is
variable i : integer := 0;
begin
for i in 31 downto 0 loop
if y(i)='1' then
return (i);
end if;
end loop;
return -1;
end function Get_Addr_Bits;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant CS_BUS_SIZE : integer := C_ARD_ADDR_RANGE_ARRAY'length/2;
constant CE_BUS_SIZE : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY);
constant C_ADDR_DECODE_BITS : integer := Get_Addr_Bits(C_S_AXI_MIN_SIZE);
constant C_NUM_DECODE_BITS : integer := C_ADDR_DECODE_BITS +1;
constant ZEROS : std_logic_vector((C_IPIF_ABUS_WIDTH-1) downto
(C_ADDR_DECODE_BITS+1)) := (others=>'0');
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal s_axi_bvalid_i : std_logic:= '0';
signal s_axi_arready_i : std_logic;
signal s_axi_rvalid_i : std_logic:= '0';
signal start : std_logic;
-- Intermediate IPIC signals
signal bus2ip_addr_i : std_logic_vector
((C_IPIF_ABUS_WIDTH-1) downto 0);
signal timeout : std_logic;
signal rd_done,wr_done : std_logic;
signal rst : std_logic;
signal temp_i : std_logic;
type BUS_ACCESS_STATES is (
SM_IDLE,
SM_READ,
SM_WRITE,
SM_RESP
);
signal state : BUS_ACCESS_STATES;
signal cs_for_gaps_i : std_logic;
signal bus2ip_rnw_i : std_logic;
signal s_axi_bresp_i : std_logic_vector(1 downto 0):=(others => '0');
signal s_axi_rresp_i : std_logic_vector(1 downto 0):=(others => '0');
signal s_axi_rdata_i : std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0):=(others => '0');
-------------------------------------------------------------------------------
-- begin the architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Address registered
-------------------------------------------------------------------------------
Bus2IP_Clk <= S_AXI_ACLK;
Bus2IP_Resetn <= S_AXI_ARESETN;
bus2ip_rnw_i <= '1' when S_AXI_ARVALID='1'
else
'0';
BUS2IP_RNW <= bus2ip_rnw_i;
Bus2IP_BE <= S_AXI_WSTRB when ((C_USE_WSTRB = 1) and (bus2ip_rnw_i = '0'))
else
(others => '1');
Bus2IP_Data <= S_AXI_WDATA;
Bus2IP_Addr <= bus2ip_addr_i;
-- For AXI Lite interface, interconnect will duplicate the addresses on both the
-- read and write channel. so onlyone address is used for decoding as well as
-- passing it to IP.
bus2ip_addr_i <= ZEROS & S_AXI_ARADDR(C_ADDR_DECODE_BITS downto 0)
when (S_AXI_ARVALID='1')
else
ZEROS & S_AXI_AWADDR(C_ADDR_DECODE_BITS downto 0);
--------------------------------------------------------------------------------
-- start signal will be used to latch the incoming address
start<= (S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID))
when (state = SM_IDLE)
else
'0';
-- x_done signals are used to release the hold from AXI, it will generate "ready"
-- signal on the read and write address channels.
rd_done <= IP2Bus_RdAck or timeout;
wr_done <= IP2Bus_WrAck or timeout;
temp_i <= rd_done or wr_done;
-------------------------------------------------------------------------------
-- Address Decoder Component Instance
--
-- This component decodes the specified base address pairs and outputs the
-- specified number of chip enables and the target bus size.
-------------------------------------------------------------------------------
I_DECODER : entity axi_lite_ipif_v2_0.address_decoder
generic map
(
C_BUS_AWIDTH => C_NUM_DECODE_BITS,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_ARD_ADDR_RANGE_ARRAY=> C_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY,
C_FAMILY => "nofamily"
)
port map
(
Bus_clk => S_AXI_ACLK,
Bus_rst => S_AXI_ARESETN,
Address_In_Erly => bus2ip_addr_i(C_ADDR_DECODE_BITS downto 0),
Address_Valid_Erly => start,
Bus_RNW => S_AXI_ARVALID,
Bus_RNW_Erly => S_AXI_ARVALID,
CS_CE_ld_enable => start,
Clear_CS_CE_Reg => temp_i,
RW_CE_ld_enable => start,
CS_for_gaps => open,
-- Decode output signals
CS_Out => Bus2IP_CS,
RdCE_Out => Bus2IP_RdCE,
WrCE_Out => Bus2IP_WrCE
);
-- REGISTERING_RESET_P: Invert the reset coming from AXI
-----------------------
REGISTERING_RESET_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
rst <= not S_AXI_ARESETN;
end if;
end process REGISTERING_RESET_P;
-------------------------------------------------------------------------------
-- AXI Transaction Controller
-------------------------------------------------------------------------------
-- Access_Control: As per suggestion to optimize the core, the below state machine
-- is re-coded. Latches are removed from original suggestions
Access_Control : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if rst = '1' then
state <= SM_IDLE;
else
case state is
when SM_IDLE => if (S_AXI_ARVALID = '1') then -- Read precedence over write
state <= SM_READ;
elsif (S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
state <= SM_WRITE;
else
state <= SM_IDLE;
end if;
when SM_READ => if rd_done = '1' then
state <= SM_RESP;
else
state <= SM_READ;
end if;
when SM_WRITE=> if (wr_done = '1') then
state <= SM_RESP;
else
state <= SM_WRITE;
end if;
when SM_RESP => if ((s_axi_bvalid_i and S_AXI_BREADY) or
(s_axi_rvalid_i and S_AXI_RREADY)) = '1' then
state <= SM_IDLE;
else
state <= SM_RESP;
end if;
-- coverage off
when others => state <= SM_IDLE;
-- coverage on
end case;
end if;
end if;
end process Access_Control;
-------------------------------------------------------------------------------
-- AXI Transaction Controller signals registered
-------------------------------------------------------------------------------
-- S_AXI_RDATA_RESP_P : BElow process generates the RRESP and RDATA on AXI
-----------------------
S_AXI_RDATA_RESP_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_rresp_i <= (others => '0');
s_axi_rdata_i <= (others => '0');
elsif state = SM_READ then
s_axi_rresp_i <= (IP2Bus_Error) & '0';
s_axi_rdata_i <= IP2Bus_Data;
end if;
end if;
end process S_AXI_RDATA_RESP_P;
S_AXI_RRESP <= s_axi_rresp_i;
S_AXI_RDATA <= s_axi_rdata_i;
-----------------------------
-- S_AXI_RVALID_I_P : below process generates the RVALID response on read channel
----------------------
S_AXI_RVALID_I_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_rvalid_i <= '0';
elsif ((state = SM_READ) and rd_done = '1') then
s_axi_rvalid_i <= '1';
elsif (S_AXI_RREADY = '1') then
s_axi_rvalid_i <= '0';
end if;
end if;
end process S_AXI_RVALID_I_P;
-- -- S_AXI_BRESP_P: Below process provides logic for write response
-- -----------------
S_AXI_BRESP_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_bresp_i <= (others => '0');
elsif (state = SM_WRITE) then
s_axi_bresp_i <= (IP2Bus_Error) & '0';
end if;
end if;
end process S_AXI_BRESP_P;
S_AXI_BRESP <= s_axi_bresp_i;
--S_AXI_BVALID_I_P: below process provides logic for valid write response signal
-------------------
S_AXI_BVALID_I_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if rst = '1' then
s_axi_bvalid_i <= '0';
elsif ((state = SM_WRITE) and wr_done = '1') then
s_axi_bvalid_i <= '1';
elsif (S_AXI_BREADY = '1') then
s_axi_bvalid_i <= '0';
end if;
end if;
end process S_AXI_BVALID_I_P;
-----------------------------------------------------------------------------
-- INCLUDE_DPHASE_TIMER: Data timeout counter included only when its value is non-zero.
--------------
INCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT /= 0 generate
constant COUNTER_WIDTH : integer := clog2((C_DPHASE_TIMEOUT));
signal dpto_cnt : std_logic_vector (COUNTER_WIDTH downto 0);
-- dpto_cnt is one bit wider then COUNTER_WIDTH, which allows the timeout
-- condition to be captured as a carry into this "extra" bit.
begin
DPTO_CNT_P : process (S_AXI_ACLK) is
begin
if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if ((state = SM_IDLE) or (state = SM_RESP)) then
dpto_cnt <= (others=>'0');
else
dpto_cnt <= dpto_cnt + 1;
end if;
end if;
end process DPTO_CNT_P;
timeout <= dpto_cnt(COUNTER_WIDTH);
end generate INCLUDE_DPHASE_TIMER;
EXCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT = 0 generate
timeout <= '0';
end generate EXCLUDE_DPHASE_TIMER;
-----------------------------------------------------------------------------
S_AXI_BVALID <= s_axi_bvalid_i;
S_AXI_RVALID <= s_axi_rvalid_i;
-----------------------------------------------------------------------------
S_AXI_ARREADY <= rd_done;
S_AXI_AWREADY <= wr_done;
S_AXI_WREADY <= wr_done;
-------------------------------------------------------------------------------
end imp;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/pselect_mask.vhd | 15 | 13363 | -------------------------------------------------------------------------------
-- $Id: pselect_mask.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pselect_mask.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pselect_mask.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pselect_mask.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- goran 2002-02-06 First Version
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library Unisim;
use Unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- PS -- peripheral select
-------------------------------------------------------------------------------
entity pselect_mask is
generic (
C_AW : integer := 32;
C_BAR : std_logic_vector(0 to 31) := "00000000000000100000000000000000";
C_MASK : std_logic_vector(0 to 31) := "00000000000001111100000000000000"
);
port (
A : in std_logic_vector(0 to C_AW-1);
Valid : in std_logic;
CS : out std_logic
);
end entity pselect_mask;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
library unisim;
use unisim.all;
architecture imp of pselect_mask is
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic := '0';
-- I1 : in std_logic := '0';
-- I2 : in std_logic := '0';
-- I3 : in std_logic := '0');
-- end component;
-- component MUXCY is
-- port (
-- O : out std_logic;
-- CI : in std_logic;
-- DI : in std_logic;
-- S : in std_logic
-- );
-- end component MUXCY;
function Nr_Of_Ones (S : std_logic_vector) return natural is
variable tmp : natural := 0;
begin -- function Nr_Of_Ones
for I in S'range loop
if (S(I) = '1') then
tmp := tmp + 1;
end if;
end loop; -- I
return tmp;
end function Nr_Of_Ones;
function fix_AB (B : boolean; I : integer) return integer is
begin -- function fix_AB
if (not B) then
return I + 1;
else
return I;
end if;
end function fix_AB;
constant Nr : integer := Nr_Of_Ones(C_MASK);
constant Use_CIN : boolean := ((Nr mod 4) = 0);
constant AB : integer := fix_AB(Use_CIN, Nr);
attribute INIT : string;
constant NUM_LUTS : integer := (AB-1)/4+1;
-- signal lut_out : std_logic_vector(0 to NUM_LUTS-1);
-- signal carry_chain : std_logic_vector(0 to NUM_LUTS);
-- function to initialize LUT within pselect
type int4 is array (3 downto 0) of integer;
function pselect_init_lut(i : integer;
AB : integer;
NUM_LUTS : integer;
C_AW : integer;
C_BAR : std_logic_vector(0 to 31))
return bit_vector is
variable init_vector : bit_vector(15 downto 0) := X"0001";
variable j : integer := 0;
variable val_in : int4;
begin
for j in 0 to 3 loop
if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) then
val_in(j) := conv_integer(C_BAR(i*4+j));
else val_in(j) := 0;
end if;
end loop;
init_vector := To_bitvector(conv_std_logic_vector(2**(val_in(3)*8+
val_in(2)*4+val_in(1)*2+val_in(0)*1),16));
return init_vector;
end pselect_init_lut;
signal A_Bus : std_logic_vector(0 to AB);
signal BAR : std_logic_vector(0 to AB);
-------------------------------------------------------------------------------
-- Begin architecture section
-------------------------------------------------------------------------------
begin -- VHDL_RTL
Make_Busses : process (A,Valid) is
variable tmp : natural;
begin -- process Make_Busses
tmp := 0;
A_Bus <= (others => '0');
BAR <= (others => '0');
for I in C_MASK'range loop
if (C_MASK(I) = '1') then
A_Bus(tmp) <= A(I);
BAR(tmp) <= C_BAR(I);
tmp := tmp + 1;
end if;
end loop; -- I
if (not Use_CIN) then
BAR(tmp) <= '1';
A_Bus(tmp) <= Valid;
end if;
end process Make_Busses;
-- More_Than_3_Bits : if (AB > 3) generate
-- Using_CIn: if (Use_CIN) generate
-- carry_chain(0) <= Valid;
-- end generate Using_CIn;
-- No_CIn: if (not Use_CIN) generate
-- carry_chain(0) <= '1';
-- end generate No_CIn;
-- GEN_DECODE : for i in 0 to NUM_LUTS-1 generate
-- signal lut_in : std_logic_vector(3 downto 0);
-- begin
-- GEN_LUT_INPUTS : for j in 0 to 3 generate
-- -- Generate to assign address bits to LUT4 inputs
-- GEN_INPUT : if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) generate
-- lut_in(j) <= A_Bus(i*4+j);
-- end generate;
-- -- Generate to assign zeros to remaining LUT4 inputs
-- GEN_ZEROS : if not(i < NUM_LUTS-1 or j <= ((AB-1) mod 4)) generate
-- lut_in(j) <= '0';
-- end generate;
-- end generate;
---------------------------------------------------------------------------------
---- RTL version without LUT instantiation for XST
---------------------------------------------------------------------------------
-- lut_out(i) <= (lut_in(0) xnor BAR(i*4+0)) and
-- (lut_in(1) xnor BAR(i*4+1)) and
-- (lut_in(2) xnor BAR(i*4+2)) and
-- (lut_in(3) xnor BAR(i*4+3));
---------------------------------------------------------------------------------
---- Structural version with LUT instantiation for Synplicity (when RLOC is
---- desired for placing LUT
---------------------------------------------------------------------------------
---- LUT4_I : LUT4
---- generic map(
---- -- Function init_lut is used to generate INIT value for LUT4
---- INIT => pselect_init_lut(i,C_AB,NUM_LUTS,C_AW,C_BAR)
---- )
---- port map (
---- O => lut_out(i), -- [out]
---- I0 => lut_in(0), -- [in]
---- I1 => lut_in(1), -- [in]
---- I2 => lut_in(2), -- [in]
---- I3 => lut_in(3)); -- [in]
---------------------------------------------------------------------------------
-- MUXCY_I : MUXCY
-- port map (
-- O => carry_chain(i+1), --[out]
-- CI => carry_chain(i), --[in]
-- DI => '0', --[in]
-- S => lut_out(i) --[in]
-- );
-- end generate;
-- CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output
-- end generate More_Than_3_Bits;
-- Less_than_4_bits: if (AB < 4) generate
CS <= Valid when A_Bus=BAR else '0';
-- end generate Less_than_4_bits;
end imp;
| mit |
bpervan/zedboard | LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/builtin/logic_builtin.vhd | 19 | 30579 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QA13xX+R/ACi8km79qumYiCoL95/JTNXmw/Mv/Sollu1nSewLnwk6qQvytLuy2zqP8g5ZHUfDkXy
dYJVTyRzKA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nii8tC6PWRY1wcl+Yj+dJQmorGaa82N6txtyUcQdtmyxn18ohe6n/SpcWdMXBCN1HiV+XVlZhDEw
KvXEmx5H6nBr5/f6eVRIc3k7vZjXpluRFM7lDsLgIpfE0fW00UnX/0rMYgmxn+5+4dG7smGpX72S
zm4Z5q7tYiBa+z76ex0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
yppU6wpcO6vEUEaOZTTT6jS7XbaY+e5Jeh6nknICBRlkmT5DzQmd7eWK0ShMWSlNt0Fv0kuxSdt3
PRQVKoJayZoHlh1UH0U//6ySDV8PrR8ZKYbnb5G7lC3+6hAsVS0WEHoXFsxe3QTXWezPX8OXISSE
YYTVzXqeBUtBDqueK1cvQyMM7IWnXgyQ/0dRh7UmnEpiOonlQALl1eEnWSxVZ0L5cd+jDbcSlWqj
VgoBh9A+IbjGjOjE8FOaFLUMzvKXmpjNiGzhwyN1qXczrRlE54AWkRUECVVEGR4zuEA7VTQH6H/B
e1HQhNsFNtK03nDJRyhoiacaeHGOBo4yneyZRQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xoEHrB3Q0Yfcf3MYYTBHkrbmS0WN00JVFDeAhGuvxPP5kv5812Q+oIM0e+z8RwGLEwQ4F0j3UPw9
LR04YDkbyd4XfjRJQED6GhUyhlVHkeZ0vYn6D/hB6y5zA45LPFz5aqbLudigfR6lDZgyof50XSaT
wkqaJ1dNbsbYXDGYiiI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SZoZou8zrLQYkyuoYxGz7q7TKCLXDf41gJHR/eNOYbjhVAUcJLojwHpmGq29Knnj056DtiEpAnUR
HkNwqIIUQ/PzBp2ZRgLcYUhgAGFauW9u5fA3Qe79SJmVAKU55R6eP+5h6YaMx1oo7Myp8ZHgv9LK
0atkww+rNUFhc/kS4ivaypKADJgY/Slv1X55We59ldg5OMI3+jFcKD4Ow4Gbs5tHnIUzKQ507yjR
1wg0oIoTMEm7GhN3wZnee1A7XeomsW7IrTE+3/M1cRWhdrj0rq5nqrI9yilbmzqQyqntfJK6N8Y0
QQNZFJ8oCjr3X+2kFBb+Pd3/scpZe1PtOU8TgQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896)
`protect data_block
nLAzd6tD/6N1xeuFIPhzEQzyt6Ku1YDjA3mlpYOLPC0m/bRyjQbNmJtiEmofL/CbUL8pX7SL8mgi
QBU+0zdta42eIxLDUURKTtWe8dppPr3HZo8r4f/C8TLsQf4RD7QV0GouBiPeFQIjr4/M01WWilf7
Tyn6RtjtffHFNDLNvddK19bmaK8R6piu/Kpz8jCVCVAPEQNZYXP1C+7k+M+4c+4u2U3t+GW9C7T1
01EStW+6qVi14dc7EfHKv0wT34zWlhn9yX6JRVtXbQMqhvn8LrjCnz9Zbp48RZzMN4BkowfOcphR
RSpZVzg2AvuBFcD0zlZlEMUy8w3MlwIca/c9wjZYXLFno6QyfCgjsnzkEir2HqtaYW29G5SttkS3
6mRqGqK9YVlcWmfoqfMmcWzu1KwrUHzWmRlxkt4EIqGWS7K9VRzGYB3LQUtIlNnd4cvXrnxIVFvp
mx1o0V/bn4w3Ulle+qg/n392U9QP2jzLL2eN7i7JOvx6jCGIt2ea42sjxS4Y0FHRT7djl4I+F1Is
u16Ybxq7aHpKG1dwcwHxK26FDjNUt3UQ/hJJ1co6AKRyhq45aMd9Cno8dJbnntQrP5xKoB0gubRE
9lHoDlFzIUc7Tfrzo3zvgYHZ+XfUExSBZY+BFS55OOYr9fvcX1XnSVrzMtzfb1GFvU1Tm+CnuWJr
7Dh/ZgnwY9t5yWpsB/hRX8o94mOuemehcdi648bIY4rGqPImAFjDKsVtsT6fGJ9RLEUnW57WzT9g
LhzFC8YnRYcOYtmNxWraKA1v7M7oPmQuXvmVRAsHj9JrgS+WO+x5tmBlDfMtSQmJnZqyw9/ZPdHM
p8Og+6BUyg6jqao6Pu1xCvu7A6BZGqClbiYWfucsRJm8v5ex1ViQtsvnwP7s77Gnz8KhfE5NsLtE
gf3G2DNW9Nv7QgnCmyS0Sj8CJ1Qam8UNIzUvcMnjcmwTFMS1+MuM9pX51vJsOFyd7R6GfNwbrJI9
WsY9BSVaSunki9wuvGw8zHFxAYybVZ4Pgk/cxrNynsQVVN64+X88woztR7cNRRR38XPbvbXEciHm
ckcYHN63dqcAq/D3ecYZ97I78W2Q3LHd6H5LHlbiTKHbLmXP/3Pbc1iqsn3XlFxLRDDGq8eUlw03
MPeo6iyQaDxq97ui9McNr8SlKlWTDgZI3ANV1LXlQimIZB2gVsXF3uyi49OgPYo1SV7Tp7ZHdh1s
HYQS6vLEBaPLoiPsWr5MYcmPsGz0ADZta7/JdC/jvurFPVeQ5BYoEB5KL3FPCQ9PKLxkoyOgfnpB
zrsHV5Ydxo4S/4lPhLyApq8zXwzMNYffr2FiPmk9UFFU3wd64uw1ockXJHHQDVj+8A2x/3W2PyMx
Fb8YIdgr0KyzHe30NyPL3OUU2kPSrZpJFEcapuNh8pMP/v88fhRRcoelCgGhSDvAXRyfm30isazd
nigZR+63l7ExudTIdSYpF4KVLrD5ven8HEH6UgJwjpyaPb+TntOPa6ZvW9E8Y+efKLi0Bz4qcjUZ
a7cKKL25/Yt2ASpIRUa/OgfjWqODkfVDaC0S7CODedFwXEtB+l93q7ZDEgDrp/jHLhaey4rtD9J3
Pd60FSRUtxsMDijt9zPUaHAWCGFK+clFQB3r/iISFZyxblkBIN5jNt1JDPAdwaJ4Oq81taBFUJ7I
dFcWaLRdUm0+VCoHZZ4nzCz+pVd3SyOrXfXwV8VnTIK+qSZjuHluk+Y4YwtmUQPt+FJ9Bb3M6Bs/
pb+prl4R/TmaDG8kJROOxG+F04NXFo2r/Uv7oM1vpJmDEuoi1vDNe4BEOO9vbIPgTRRHP2wv6VqO
volj7ihPfIncX774QC8BIWGzQxgtA4/cJ09ybFXTsbswmEUFVrm+jzfK50Af86vD71HIMyUsosro
nTkf2qp3g4yS0INZOFCaXmUyUjINorfaVntOt3r6egsNNoLNNkMyZieESgG9U29AOHeTg0r7+seQ
7aKUEIZEq7W7MOUEIPvy2NQmXDskpz2sqt8ADb8v5WKn5JpMqGIY3N8d6LgMUAInyejzD+7HTHsd
EmenQ6utwaewitziz/pmEQJK4ViDmMpdISdotQj+l7saL+8dTBmxaeEJ6ebiesxrkJUPEuWnb5/D
/h8m2Mzuc/U1/VDbO6rwxdo7ZB+MAikLhl/UW0FesCcDRJMj71nSR4q34to5idMFICGxXOvlERqx
Cm+BPasUpQzr8ZF2wopCZlYpAYguSrqUonT/qjKQbPduUahOS15T9I4hrv+rZPmfiLg35Z6aIvuB
M3z9XgTZ3+vaRJiBR9ROriDhx8mIDGo7xMIX1q0rT26R+VB3Io+9mfnM2/sHYiYeoejsap4SihD/
7lCkb1EgiuJe+Lrq3DyGz34Gq+ileYeSjhBVY5Y6xpT9sRpJ4NkaeQJVuY/LWA+5iXHDCcXb5l3/
kAGEKnA/4o+MbOBbbRfqm/WTFoyCYe9dOH8t4yo1LWShnGlN7hwKfOK7Ss8y+6AcD2k4wg5ejjGM
+VPUHyVGPGEZfScrg7C4UbVQSdFzX0ztN/whCqZX29VLnZWJtXXy75QkrDCfcaPHCtkWXMLncl6v
8G9WpceODmO1SpuyTDBTaH3fzzrbfhSvQCdqD3DRtvb2FM5+UsCQhkNo79mjEWLgyn3/xhb7uX/L
eIiGiakFk4/EHG5JkPuqNeDFAUgZ6NaS1P9E7T2TJr8trSaVnSg3Q5TUVFHGpBaspwMOl+3l5Xhr
4KLV5FUS4ruhsTsK5mwkqWcpXk8YaBhGummWPAaNxRrPcJMt/CW3MgYSxUEWAAwkCUD5ZL6EZnAb
VGG+z38z0ENSWtkojT5+A/czpwHhv2PdLGxdU6SwpWwQ9rUwSjVgbpaw6Q86avNBOZltTnp407dF
w7AY6aw9o3gaKCOktzmCB8xBVb+Mt5drkgN6mg9JpJzLYEyK7ULKjfnNKHgGXtX7cw/LikAO6MKG
6bD31uCieHbz+ealZhRvJNqkzKNGR20RQJ34CVnKXRrLimYAyr8bc5nejUd9v4u8WZSI7A3ljd2C
YJlOyH2o3JJGvXuQA5/wKG221s2RV3RmR5090MawiVx4LUw3I1dOYaaagqbEt9y89kAGRiRGu8p6
HZp+chpKxbEgVzlfe6VmSzS1PN0M5rOJ6ihk3WFjviJKdy0wR3Vd1qj/J/h9pB0jTGqg6aAJqBc7
2Y1qR4g+7hgOh7LJtV+x5yFg8matX8/uVNQuCtIGU7d9reFIAbrxI0iZFts5P23pqwy5aZzoFD3R
vj1MN1soDoAFfHB1f+4n32oEHTPa21P/IHxYGTmTKOh2VHA0wIMZ+NSAUtJWH/JwjsJPRzjIB7c6
o5+6TGw8K7+Kf1xOkCC968HDuTGHvOcMHzIJ5YPmJr1khUqq5cxsMWyGJ6b+Z1CjAybJpkBTl1UJ
xWuU3qC+hzAbon5yefuxbr0pkiRkKAjWZ0/dGLnMIw6wXv3ElS9zuZyH0GrTzUpnKgutTWFW1ipj
vivHHsL8A4AOxLzL4v0WSpyTNk6DYKu6QgCWeNNS2+XbDIT7WQXpzcF9R1ngKoDFrbTJ4y3aJASj
IaVWUYzQenRCLThylTaTji8d08gVO/KAaaK0ncg+jh63mydh6SVlVv6yMSzbdAE3MvVBWW4E3gM+
Nb2Xh/t2/Gg72kUNDsFv54nQQG/KPTfwfGo77zk4HQlmIVdzj0Pj5iENiP2IMD/79aeRfVgXNEF8
bNFFec2+VsdZjXPiBKlUG1vL4XSY+uRbDAX1BRBKzBbBEILryA4oj8GIyHulAoYysZnCGMLVlC2c
R6SbYFHrBByG53X3zSzjcMsCSw+QXDGSzcIfi7OYBendlylhV9nFpDtJNblHU3WQahkz6rB1QbVj
0PkPJ//Pdwz3O6/XokwPHL+tZMmAM7PrOcQqYnnVCKqRpicXscbNS8PBzSM9obuVu5fC8luTTnNO
gJm5fG1CJcf+oylv97tOIX1N0ZISvHikdeaOkPKSjirzRbkeNVR5Ulb59v8HG50Kb8um2W1X7aNk
WC8YOrd1np6rKF/DBx54VINmbMWFeCWqqPjVv6fFgkB/+h5Bs53Uhn/uclhzIqoCmhX7isHYJmN/
PmLx3FpGtty7eCxm1kI/8tjGl/+//C/avj9RQxel9nbFGcVIu2RsSshiPpfWOqjFv/XeeRZLpNWE
2QVrRZ38N6qcj9DKPhUYkxFb/oiU6pnHKwWrXHT7hFj+q1jFtaTSV86PoVAJzMBB94LUWjRngOTV
sR3Cm+jGoAzb27S3yh7Js0B0zqUNaZlV9qkwIdnpt7mmAh5VtOoAfe/w8R2yZS6ae7clUTJ4mTQ0
IurauXHG8Re6QWUt0efzkMjXyyVhNsPWpvKeHplX1xJDleuI/xXsL375QPnr/pXfGIpMpLZNeArC
WrEdbdWvfCG8AdH7G61bf1wm+Q+vYpXpb9GxPRzplaAJuQrRQUiNAJPGT27DNMtdtNvcC6+dC+w1
N7+iMLYIfWfvQBYOkot5PsL6l5j9IYdy2d8IpsKiE1mT0dhSoSX32BXpIuYmDZ78T/5m+S3g/PRH
zmMV6mYNgDCLjyYndF41eTqqzBVqEAXa6cmT5JaIonQTaLxzT+k6zdbvdnBkkr1uIviXKnc0THew
gjxfiibkHUYhz8UzKrNqr+mLDfGn/qcg4+y3vT9lX037kU/G9G/uxiKJtaPWYaMrxN1/+etoqE6Y
H9g8JYnP5NWBxF1LntWqDhU5qYJmlLIYWLT1TjzGRPVZznuEdxxUndoAB+KAUzpNBgOIpXgSJVVW
T2csPCRgcvnNlfrXcwdo4S9fl8LVouTt1Z0y8xQNp+FrQKahey84h9HS6LomG7fwi3NL4kDxvYq3
PEKzYbXLCL57bzjKso99wBl9PuUW+uSwOvorNr3/34YdDrHRlXLNNhh+yg0p7NVsGH+5mcqbgS6Y
IdCXQXMpEkKZfIOzCF6GNZp66vhNPsh/+myQYrjUceZA2TUNFgdaIf4GwzIml2akN5n9YicV9XQH
0ughtoH6BnKxqtKSHKCe+CXea+pIeNgo/YWJlbqzibZaawkIE3kFEl0rkpIr1IYouHJNSGPb4vcN
BI0kbV+RLGHq15HoWvyrJSxgUjkHJp5qdcPK+2V9Km7Qh/HW+Ae4nrjf9f4O7sSz1vW1XmXfpq92
PiZaQLr4WOEPHpIcT8heSL5BILm+jS1f074zmN7ZQMA+FRIDJLbdKDwaM5fvlD/eQfIjXQYwdcEL
IW3syGnMSoy1fp6wO1OUAP5E87aCK54c04Z43tfU97Aiypst+Dds2SN+mVHEb4LzFxDKC5rZURdW
a/n+Ay/lMR6WrzBET341hG43HB2cVYJkQyYSPCxjWTduFb3mJ5KiPBfJBQfBBvIWUb5SGLQ4BCpq
CbXDNIcifKy2nW68jSuc/0Ea2IIhTpYgWRvnvcA4ckS/nprsb3YWpZYa0xUZBUoJVRgb3DKDZqH4
QB6zre9fMxXTWApwRX5FjcKi+50ax317TbHhzyiCMvOEVEJPqJJjbGA+9a2fQpMuAtMGHrD7vxhJ
GWDZq9D24YDrGU7RJXHrLRJK3bYj0Qqyl7atFQXpo7/S1C7bLCvd5PNn8jZ90UU1ojvc9dEuvKOF
SPMEIaE/X2t+7JrhtZNwEbygH17f4vAFASS3O4M+KiszKkSpmvSm9neMEbI3P8gjd+euNW+qKSwH
0s3on2PBtUPOLfWb03Owa41A7wy9bIqXE074i875M3D8bBujeTbKQvJvLooIGpzN+khx6Ap8P5Ti
s8JvjQ4Fu/hmNOjL+bhWmjNemLKbRmjV7bXdjpb8Cb7EeEl9IsU6olCiF1Wj7EMjyD+XTFB6oOPv
j+e/Z/BbnPFqeNq4iyCyTn214y/LhTPBW9t60eR3mvo2xrC00ekwBzv/RPmihpp8h+iRbaMy30ci
QSRTQiFRJZEuq8ExZnJg6K0Bk24co4fXcLwNhapLvJKtRWGgimt7Tg2/uFBwj1oo9pNCQQkC5UL6
DQHk1JJy/Pr/0QZ0S6X/v1XXLrPM365wb+J4qHruEswgDXWBmb8BJo/lZPKJdMR7pHZ7Y8tfMEAL
tt50yNasIlZPJFmYXTBDeKOmUVeXKE2SB6R8/9Z+IHquP32lYTwnXFMJMnUdzSROvVlOO+kMoNVv
ivNQhZdgpfHL0AnkSC7NV6Zj/2JtsUW9eH0PDglPVEfCuAEAvQPVJBxoprxFF0FLXwvbgSxvoV4d
bNdUnZ82hGfM9SaMcIjKPZKHbmbiUAsVf4ar/ptmcp0V4Z/J5itQX1yXwrmFE1csQWDssfC1NLV8
yG8GGcuYkpUTeG+iYZQ6q4UbAJYE3FA56l1JvoSnnJaK5FBJ+9EFRFvGJUavYX/BDJxL10PNrm6m
FfcwAh2F0if+IlvhZ4ssP3pLl+ZlwddhsKRH0ucXjSxh5dYLq400Z9pYZMjMVPP3FWH4ionBZxX5
Xutz7jdSB3cnMz4toJ0wi/hRj7yq/oeVE08WuxheSHNQffEtVtokBiAZfxWPD3FopjtCYFQgchjS
/+VDp0Oi+D6vdl5kd4H5+WYK0Uom+h2RE0T66qGykUaRENsObKyMYMs2GgJ8FxCwhyivcP2w7muV
9EwuZepO893GjvUy5gWRicIaRaJQrJLgpjaoar9c1V3DyEbVkHQuvxYf0Te3ozeIoh6ECiMAL1lX
bPJrvMnPSzMPCFR1DE7gBJvpNp0sI5JXcJBrclErWoZkOjOwbiyBPQpsDktityEhq9U/28xqeY18
cu7D/bvQ0I3dcPE+bQfB3M5INtofEPIJuHQ0X46y94uNiQk5Takcd7JAA2Vv0ldQ0KcKXSBkRckS
wVWdoAiuQPsx7wP8NfSy4j0pivctOVlWZ+2AYGXT9Rsx3Ic10sRcOOz54wX9b7UOg2SEGafT/FA2
xfiyWrQ50ax+VAIaXfSZtJMyAkFCRbWinr8NSenQRSHlAcB06x6vx9qXy1tpoRCbAfewVS4o1ZwS
fw7ZbNnWiJJkTvjetjEsXYuvM6BBLyJncz7vkykuBTNBz04+6/Ct7+eea6yhNk+pIDoxeXC07YML
d6P3y1mVRmzbQkDHp2aiajraJiJA9+SVVUdFRxCcCb9BGsrjSr1fndxRo4LjJ5WAnZ80cR5w0Ct1
21uK3n7o1xKek8hxqCPig46EcnPWfB1pn7GRJyLBLir55SCVal5nK3/dJLk3u1It942Kdle94prL
U2bAHWYO4tb5Igqulx+do5PA7ds/BwjBc5ywyZqxRehBmh69wyR2KTQ2qXo8nfN9VxnUdSr0tjwf
86JGX42xahy1iGtn8RCK53ow6AVFaUb4CYta/1yW90fz2+F9PDV7HsfCUJiReOFCuthMNJPq0THS
Gr5LyMgeCB2n4d8LdYOv3fyMCDtcCngQqpmWxYtb/HEo4HwY7yQgM/x0NZ0MBD03+789ZYxbyIIa
UyvPHje0uXVUuCSDwRGmrQv5xiJycZ+bTY3PEXWTyBI2XW67gzZiox3clSAUCzRx6D5pnc8tjGc4
K9OIE7Wyk4Mq62AMxQXCXyM1CbbaXZe2u1yQdEnO0JZvU0mnhbXaHJ7iqcFF7XAHhDVSLLy6XT7m
ADZolAZFXI2K8qfGUS0KGaPPoj3XiTMAt7sMuNU53objSw473mJGgY/FKSExJaerVsCTcuVjUG7H
VYo3gui1FCvIIxiAoElOF1L+nosDgFx7aqhFATNI1IebUuJzBswFBjJBKKwRvOW8uOheLOZPKIot
WBYBSRL44iWJxK+mQ0GaIwtfrqwjvbNzPl93GzUB5QBYPHSfjw/UFqj7qpJaSgG82LI5DRJvlcxy
4B4Kdc1dvZICt5ucxxyntdl2MnRiHWEVFPz1TUAOiUJ1l1xyopAX06/Iwy/ZXuR9bOXMvmvETOPF
wSwQnFPN/9C3EwQVcsdwe6nBuvX9ih/LdsB87RrJK03rchw4TzBGThip41UOWn/xX+EVh3GlnDQi
Q4qa4/7V7tEhymIHFZhhkDpydu/cP0yvAZeSP1Xjq1A58jNOrU6igYQc2GK5zYaR6P6F7pS/4/44
S5FjK11K8GW4/85h5dHbrEQRAPkK2QdMLPrmd7nQgaVX3p6/C9w4J4//b7ey/kXFF77JHJJszW0i
zm3GnozNFwxPeuTD2yxlTpZVopGYruicFywGuDG6uCUujkul+JEIBnNP1Aq+PPGqeXtR17XLYIVQ
U9dNTXceC1gZDcHdmQxbOAO9YwVWU1rARKnnUylb5DZneWOAjVyFL/piV4BkZ0HzQ68vWUxhHhI8
44qDeHUzW9naJmaTloNfbgk+laeFcGhWrbwVgCsAuDNKw6M/1zsgDP2+FcWzhmJOzMl9hbDv594/
smhxackiFuCtc0lAvv/UF8d0itMjc26y6gnKOLAxke4DnEje1UeqMRCW+NoquLCUuPJxuKZGWhrY
hBwRDtOSDRAtlkpnvP4JtQkNt3zYn4L55I5jsWXC7lKwpmtowLKtO88w125VzyBhrpojDmI0dP97
iHviMMhpYqT17+oIRSKp4JLbmNg/xlRlQqmQLErEysCL5og7fgnA1+pKtRSS09AK8e40F6sMWmM+
asFyZ2hekc9MlJYXKTo85qqKxjyqKHpdYCKWPgm0IKWJLC6oP25PHwB8Ih0zkHD2+6T4YTxL9xFO
I8pkW6y0+rLaIIMmBVCvdcxYpWwp4nPLQ4KsYksxTA/nuVa74TGCS6GZpRTouqHnTfI2mCdBOPhI
hCgm2wxRjfB1/GKQGg52JvtvVuFhxcllXImtjuq5/8YuuiW6lvcRJiKIoxERp3YiJewOOdraPoJ7
KwHqhNIrgKtOKoV4zM8FLJiejDCioO0/YLLTwAUuT3lfIxBWeLzJsQI4PEJQaCHkdBRirr3XwoZy
7n/7hYhDdUxSOJ/Jiw06yMvQf7ulfRG6Rw9Xg7E83medIEllnds/K2zR+AEpGK6cKMOIUn9GL5Ql
hBTpAa6uuAHup9r/zDLML+mMD1Dr7CvkGqcXObEDXBnAXQHw38Y1AvHRSD10JsBuU5XDu0S/BQ6E
XVXuscSYbrSj7DYOnjlJ0l7wUbFs7Gr2rvMQXBu6Hmhzd9+vjaCDL3s2skWl92NDsfvgB07IoTjF
TtX8dNo4vQF9Pa8M7zBxKklqCYLN7lgJk++3wWuXgYejzNPiEtE1kF/mwnSj+Ja236fZqtB4ZaA9
OUSVOeqQBuIG1v3xXjNuL5nETkMPF8UiMJUEkN/C2yaCPQ1wkMVtDHJamfDGdsN4hDOIeMjNFtyK
dHfoQ72G7guQnmPcZDnCzcMvWG/EcP63MMnjV3BQJsrd58MtDSU7DUHUyQPk6Fch7GjWQNLa3ojR
UBKi1PSgiIEy9GV1JrQmNuco3NltSMEe/roaOmnLASWETGJ42/XeVYBXwP8Ld3HI5gRRqYXU1fmD
cY3v0e2x0+3hYn19VJQcYLsls+B+W23xwI51tovIx/1Wt49sFLe+5wwucVrl3RFV5pI6mETfYZaf
yqW1uRz6brKg3ZUzpwSCcLHZP513smHySlbYJ+99c6bZ6pt5mv07r8LJPBws5Vh6nSZGZ3xMoOGB
V5v3JaVq1LWJq1LpGA7z6KCcapZ8Mt25+Eh7V7guzQ9VGfMgk+d7ACSC4BUKMAlWOtrxultX+3Is
h0zvahE7sZiD+YCkuwiocTbqNBd7FHIH8pVkUbh/kqSH8SFmewvSJWJsDkxqUcEfdqQw4ebSxS8s
gsZjbNY4D1tqSXlrPK9LAGEzBNJuH4JrgO/LYH8txfyYw4VBu9/UFvqOXVBukykhyCrD08sQXgJM
4feAi5ZYX5whfycVMe7MV7iSVFTJJDRDz/KCtJv7c7QOSlwOJ89SJG3oIEqB2qowcQXD5dzEcLIs
oMECtDHu8lTGO1ZbCOTlBKSOQERFx/84rm4MzRpMdExBQIY9bXC5nb+m5+LbimCuOoz5JKjB6+iU
53GE+4gKZObGMoSg7o/ROhelsmhRjBCXt0qtDCGYXMLAXhU8xtPiwuJM5UVLFuaQhLnIhYHKnK66
dEVJr7brjdM78V3wjQU2QVAOq+fZUVCG8lYm+Z1Ts1qvlt9fwNCcEBsYu+2iOZib7DBfXlmSbH/P
Ij7SqBNnRB3c55Q/LmUrBLDP2HGHqNyLdNNMPktbTd1n4mxmtBDzN/9Thm13BiDM8fnA+kwLZaOt
pA9yaDW0iwb5VcvWOqWgXbYCnIC7ypoPBUY1de1s3oGEX2wMVBZbovKzAOU70PkGBJjBVc1QFU4Q
VV0Js0sAAIV+y3uTLm5jBgJkqFNC0R6qxkiaOBjJKJqlhKHqWgeXtSOLsHk+aWg49Pt3ebVoDuv0
F7nBPDYf2lCQnVPXe5UMtfDYBS7P5aWccg5/dQUMvmCXEh4xVUg10VxbBWOMABaZtWaJBU+vgYTp
IwYcErUKyBUA0g1PMqQKBma97Hfr9Jifc0R6sFsTmlH6LyKVvsb/s6og5MTO2HtgMMUHWS5Wltx8
7ZYY+s/LZoQrcvcEvow9v2wh/iD/V+zjOQViWdmpx2ZKxKNDkje2IQO545J7XINyZFNKJdoZnPl4
rK0OdB07O9BjPYU4ooJprpOFyg+YDAsMi5KKArIvu734UUfdCt3Tx48ArR/TrW9CVg8ZO7c9a65X
MsJOeXGdI8p4MgWK2YjArnzM8+jZAYlNHSDIcXT+O1HiXIAmm+/W6EdL0uvrGuLENtwIhPTybOK3
39WqE2foxGthsaA7wZqDmA/FurKwr8PJ3o2Tg4IiVPuyyzksLW4lA/cp4dJiz7VFeWJWyLGkQskU
dbm9+zpiaIRzpvtnI8/CRGJstIPYdxIXqnr3hAKD5hr9GgGqfvmcUeBvmBAsz6FKm+RK6+mZKOAM
6b+AzllJu2I78ZHoUxm9DgbSt5L53eB0gfzR4sAgfLwoBl5nBoNBNkgm5xSPm3kvZdY1pDlC9FiG
sfY1XR4QH+nv+29tUEx2JxEAwZCIL7l9p4tUGibiidk3lJZWnbYDpytyLspGacTF49TKylucFj6T
FlUuUTaIX64IvnSeAQhpHSwJRwYEep9nrLZ1/ok2GVjHBxyrqJcbdVxhIQ143RH18nLPmzcyzfVD
YSxEL8wR2ykG7FC1aCJF6/Cs/ztlTnacb8ur8L/fak6kei6f60Fnkd1G0NfyqdkQIxR70y5KM1Hk
mPcZtIb0cfRcZ6eJV5IWN9Ap4yUFLzO1rOJcg5wdk3t9ZmufqHIAXDhEtV5cXU6mTTW9v+X8c1fK
tE8/SUzDzN4RRGCSN4JP2xMTg/jf0fmlugCeRnCSW4gUd/Gvo0SBQW8CLcqZr3/tMamADKrBg1rr
lS5YWhrDntOrIvE+oyZnI9zPDupzhfh1NaeGQaTcyJIAkwRFTmb02A46vWJtrHlfyEQQ5MgfaQIr
AGUiPHB15Y1NDiX8cUzYWg5m99YL3pjyuMOzXv4O4p8csMhCA3iBNYQlQZ59OgXBuGR93iwnAMAo
4W4Kzyi6UOLyhZ9muaSn0qmB/Hz7aWTDoTxxwtmi5oCe97kBKFi820Kooc0HmILnkxlQR99/lr+4
8SYp7Zu5VW6JdLJvebuNurzVsLMBg3yo+YAbsNnlZZzQfEN/NfmglH5FXcu38yfBevzq9m4qCPEG
VVV5sndGRX4AySwISpsO63gL49cwgzbEM/BuL/lrUAKK/2xN1mAy5fOBhi3M7gOQfG4556Odl1jq
0LnJJptC0OIHIrhQwmjRKMM/3uVXinOSqBJjbYMXdS/sgS1EhT34RHnugn3XHDdBNe8fjYM2hfjG
XHlAo/YVaExdjy0kjwIymFDfbe89REFJkEWtur04VqWa4tMomIm+O/81vQiEU4aWY8/7ROKEBOB5
eEPEzH4YoWGhHFd3Z/268kkfbvFvaP4zX8i9+mw7W53ZOTBgSmlucBDacuwBlQfX9s4kTwzg0gPa
5U8egwW/RS8EGogas9GXBf95y6/hM3GsQ55tQRimrsMmfcQS6SyN4frYBJWHZyEjPLYraMfiIGPu
4R+pbEuihHkdKDOPz0lCIfXX1NJiLFsnpj3mu6du2OAyRGnoyhVsS2nal0q3OVqSG/zKQ4poXMR8
HtogboN0K7DKcLYeTBWViST95SXaIf2f6PilwlG9/sdGvDJnnfwcXk04Ziz8U6xCqi7EBoNCcujw
ohuScBM0WOfoZcoQSqqwxrx7pwLsW3ijLhEnGYtpJCMTrtclrWkpiy8vmkOuvFV46r7iqdOWmL+T
qxm8bm/vvZpMtmhVpsmVwqUUgqyUiAUP0ZFIz/e+CffjhQIMFefnX6vTlQrRASw4x0wXL02dUJKv
ojSXn7DTEvwzubqVQMzaMNKkb0m/M2e7ehJL8DwVTUev0Dow8mQmY3tAFyixcuIcNx6cskJnyp6o
q5mzTTjDXBhYub3iwP241C32XqsfRn+F47KIM0LW0FGnEEhxoJfKC/x5HxBteFm+5eaHO5AxQFr3
l0tLNAG3pOs5dvZkg96RLqKT/nad/DwPiZRry9d/ecWIrnN17j2COQoPmCBWNnbZcqknVzgn9kx0
+es66q/31FY/YOI4llXqpRHB5HxnBDrgL/iWTe8Qqjq404lxTI8DH8t93cQ3uOrFMkdPLZ1U8j+J
pjLz1hB0HBpUSE5fpy+UBI/O5dEgHdCgWgGQ63XDNx8kW8Fx3K9DXKnIOl5ohzNfOE0dQlmIDTdV
Vcgvs2j2Us/Lexd+JtUTHHQSEJrhkebruYi+yV/g3J0AY9v0RiBkPxhQ1d+n4aOFpNmxO5U4DONK
1K8L7b9YRPCbfVhTXiQ/BICrIRMl/5iFsIjW4YxSQeeDhl6xfmp6I9SiZ6oJK6FHAFXplMOOXslB
glbcHPR+N4HvZaokA0SfzE4ODyfHr//J+ar0LOvJEm8/Wl69YCIlHziRMChCMLudOn0DSpK5oUgU
kMdMfzOVXtXXffQx7D8FxVzQ29hsUaiaGiqS75E8KvFoYvghT2n82JSFVyv0JgVhPshSmVTMMTJa
IuCtHVsQJRpNQ7fdy7mSBkaf7AgBGYboVv1fudJSgYB7g6oHVQ0GaQ6vJOHYJyaiuR9iG1C9t1hR
94PKXuW9KL5Nnt9/18LeEeuIY+zRIRtvzbYStpEncaUqYjKer0EtLZaQBYBtGtab2NM/F8WrnvRE
fzfFilssfhlaOL/wQItv3QKBREtwdkDLwt7YLsMhniJt7XHGD8RVWD1loPOe9mVbheo1xL6ctgON
6FnRg43OGVi5YLz5CyWfBsqZ2o3zRTmLL6MvHW6Bpi2Dn0xBNLH5yUSfes0b7JZvEUphK4XIvNLH
hHKfaMVltekZO15axKJIvqiaChA7Qc6hkclFcbCrLimvmcuIiTmr64BNSWJ/YVxS0zQn7aVfg7nx
hrbjBDKRexSouLIw2ijYXSjeJ6eIydjaLGjGA2YQ52+7JD2JIQGUVDWay440AJ++XzSAhvh72zQG
ideLfCnFc3DsQlAZF2yYnO31TTmpuNfvXkza5C24A/dHQY1mQREMhFELjZa/SD2UODyEjpicChqW
kuiL+6cWAlHld8bjM9dwQruDLqY+7wJJW7thaOmOq0jcxEwhximwlA78bKeG2zGtFaMAniKUgBAI
j/9d3LhgsYd41dMxgJ+6ophFO+rfzlOterNzeF01aUer5xXuRID1GCR6CbOmDg7MAwE/dWcUfR3E
8PE49cpMwILtyE6+M46LSTu9ZYdIU+7UwkZ4QUaq6jpFKHgNQCM7iJATwD0kCpVuCOopHdpqpl6m
0J10tse3e1BvOMuJbiwDt/Hu+oOhOsE95RF75ZXnIbJp0JR20UybaB/lgEoNoIW1jxZTsQqOLa/P
ynpyUyXWH0lB/PrDliDbprBTpi3kCWCnTUd7QHgLJM2Bb+09bjHkdiTyoO3wNPR0IBe75itWiulL
UXB+5MfSkHkXSnAHsPHNzbvLuA3dQTzFE6ZWc+d8+w2vuGKNlHM3k3310p3ydDDz/vBqxmc8J/HA
S/1E5+lGm6u/lyQGc89VNO6Xh2vRfEKDeLXS9ihz+vAOBYNUguDlzKCpFwfR7IDpZ/eUBojdq8Ds
pKrhHgC1aoAOmpx1Cmj/+gu4xgRE4m1nxzxXd7tyFgkrEEZliEpIKH00G9k1BYCTMQ6sn0C5xBqi
BZnAabdtgGhKf3CGXxOvbs4yIaZDUufGxx3IBh8lktUbGi7DzSYOfjNxIxamRMweZfNB2C8p4m29
wwhDkqHkLZtXNOtnNXKovf4MPCnipJ23LIW26NkejMC0i3eQqHqxFqocdwVM/XzIJ0XkSKlHtxm9
wvYE4fAu93rwevJ1ItR6ewNW930BNPbmxIR/IimAws7+QBmL652vSK7lKGnI9dRSZZc1wph1gyc+
6J0tdsyOrM/qrbUwzUbnPpeoivHeCeuk/LRBuGVOZLtjlTc2OiCFMPWLHEcDh55E3g7Rg91XLxy4
7YJmPgl8tRYz3WMT2slzhZZe8JqOduvmKVQwhU3fTx/A4nrR81xN8izFDY1Qv/0I9GqcBpqEanPM
j7tzQjcvle1ShR6Eg5OlT2fPEsG3bl0YtHFCz8NWGy/gnFKnr144xOOyIVGB03UwTGCVpUzqboxF
zDKMN+7uPOMuCkwv31A6I8LWqIQV/Ua4/cbhMJzU2xKKB6MM96JW6GRAd5PRB9vAERqtckzxNk4l
dX5rRukiC1Srg/ghSXwUkkLhLHx4BOw5yWJa18WfQEB4A8tbaOV3cLEe6ckV1QRE8Whpwleg8ZhL
CGlz1tWPSoBYrlHkukuvxeomAGMsh4MgkC6iBU4J+l2rRmAOfN9+wslfqrK9X0uOsq6DvMyiuxya
TP/UfDE3fDofsByUjR7RokjgKjoZI6hH5uZcrAwm+VFPbVyMqq/PvgQCphq9h7SsSOYQobKgEbkP
o6bsdjtq0QoWwbdmP43GDPszDrP3BpH2u5+ZQd/XxdrfOwXHNbim4Thcnf1F2tS44pR+OEee8ClT
6d+//StkBqVT1Y48EP/U11QIqowt0q1crfPMJi0d9lUozG5dmShsHEGC4/y6o5NCdNDi9rsCxM6B
xQyQKLo3GURAVsJw/Zq+7uVodCUkbpqro4LYk+PLADfNqgki9Hr0iXHEzKLtJz8Yq0Y2GkglAdVX
VO9xTRybProhZeXFse1qT2l2+8hyxzREUUPGSG5thZMOP/bQLJTV7+JD+5BuDJbMHwYyloDxNolF
JizckK42Qn6feKN8usl4qRLMApLnIHrmabJ2F45soD0dj3UDeR/pjy4PDjVHBTFkR1TMS0qUkttd
W45OhRlGQmDmfJdYxu3xmiPgCumhV3mjKz2zJKfSOGUoQIXnEuHh6umq/hOagQvNN2gbcY0uJLWl
i9eSqsUv8sDs3QwlhaQTAX9vp8YxAf1R1sy4TCAg7lAl7Wiq6lUdpRsyizNQYRZrcRtnUyhFnleD
IijQez/l1RxhzkNfpEiNPA82POosMRfY3NOZdddAT/zo6liBEuqRkQLx1GVXFbXDc3b08Dno3xdW
NXqev5u1ycJMbMdRw1C3z8A4DPDz+VJw0rzND0mOQWpLnxdl17bIkApGF/ULWpJEM8ne8WFLaHK4
exgKo9z636D0Zmxq1ubXcYVmeCxyGSCRVZh+6xIB1LDRjqA2kyvo79KI4Gfi6XtIutXkL1NnkP5B
xLBR9WpkyodQxQPPv6hRoi/cQeUvtpm5cFATpIUCdbDV3td9o5BJD9f3zBHXmfOt8HP20/+ae3Li
nBeYbq1FxxgSr0VPSB9HY+r/N9H17VKlDihSHon98pL608fA7mTH+7wr0k2OcrMV4QGheqM1NHTh
yR31lYiiIbaUb3y0wbCbOmxMDTpypLqmeMKcivzJeRIOK65n7oPJpSWTBazddR9GrAFJ8YjME4gd
Wfh+jsnn461/cdCxhJvM+JBlwdeM5z1oyaN2ZpyiJFudco7H4a9z9YPJZAUWrTIvN/4DMIYY5Klr
dJw5C56BdIY/uqSndVL/gmmeKcSyvMmPqkrdYdvZUQ2Pov4R3mRbf5tRy550dH34yjL7nsPaI2UW
+p2CYTHK7Y0R6LPiaspHmUfawHcJHLrOyIbyVC/1bCPU0ynlPlk9a8vs7VoadKBdmckril5mhxbU
JCTDJwY2KRmIGumsebmtTICaL4/dw2Ym7/bgJJzlzAr2aFz4Qpb4/Qw6hVCIVjk1vOdSxh6LvZPv
5I8xk3pX/jMxnxT02ThqgaH3+6/Ut8IM0DTChpAGTcSAx8e6nsoRMOuyARsHrnte/CsfpamWdE6w
uWuThQHMKLSSi0rXTInBlUSptm0r3KF923XbPFiFBNrtuWt1EIog++2ZMC04rZEfIBmzjXCEjNdU
O8Yu8V75qt9zCp+7wVYFc0534KwdZZsnl5nSIrM5mLg6ZT3kCOHlGhbsiBlxkrl19gK0zr5aism0
ofmIaIoA7xiU7TIxdtSRV1F67SNKhTJJ42InszYZ6FOHnWRPOVT8s0gC/Lg8t3ijs+Bmk8ECN5C2
hRCzM9dJdLega3Ld3ukfhrJv7RXVZ1g3QhQcaXZKHLAedJDu9UeUe+4G9ejKQk6VoR/Zatmwbo4L
lDz6y2UZRleqSmq2B7z56FWW1yDk6kkMkuDlGvKky0BTQB6axVxyk32a58q/7i3GSyEIXLM0o4sP
6rRNdg4InTtdQdu3MWhe8h5o7rlPsN1DHcM5jvWftLX6gMMU349DPaogRXRATxOUUnhU0kJpEIi5
+1EwBkOAizSQkWTlNDTh9UfnH5NfT80FjqCCzeXhwBhGKWZYh8tLTWmNv5Kid3AweaGTZyLH6M7L
Hl8ONaqJ+jc80TkisyTZQXbINGX03dBtFdzBhYCgrMhZFTDwlRxHnF9dpwDlD0q+1kgC3alHDiJk
2Dpd6aAnxeJzM3p+z3/BNRqDS/XRtX9SKXnMnKq6uei2YZHNhrVvpHFXr5oTg+n7EXP6zkNt0jh5
aBNxooaOgzE1mfm/WeUrYVqxl7+D+BQ4mFOXF1JUuw1t/JwrOmbp89vJmvm/MHAl0icBpSJvjpko
69YrkQ4LamjYp8n0PAMk4rFCbgoT/AxOb2ZUMnZqdtvN5QB6byPnqaCdh78I2KATCk2A9MTty9UV
peVqcKCsIJr+sAHVAKXlue4fUls6Gc8rm0yATfm15fd+0mKyRuQ0yq6WLzzzHyBssRHTciVl44x3
a+1PcPm6uXMzzk8UYSL07WO4sSk9SQdPETLNn0ECuLAAFd1fQWEJ2i/jvyNQMnbirFMuj5qTy4nV
DNKCdIAlHLDqL6MI/uhelxy6QhdN2Utxf/DrmM7Uk4PPSzMlqNL9T9SNTYxJS3kiFEi+4YRSgL8L
G0NNHQaU+R6mmqPrWbDOqiKSCrpYoOCGvpgGJPCBORJT5DQCgRZN4wjVtU696tOkThMpt9Q4EMqK
3lk74mnlfjwMlsf8wChJWVlgkqI/JSRLJexfh/SK+eH4oU15TPiEjJ9UQj+0H+CjUYoyGL/EeDHF
A3GpyDj19YbMZn1egEpx2shpe34MmOFit0qZHuRCGZYKGB/KyCryYpUyUngbxzD+kQwiWCLzD+j/
1W0aZBAjK5C3Nwz7Wyiqhxs/HC97Y4OyXLlkEleaEJEB/eOi+6K7G7sZrItBo0OfQXXx8BfY62jb
YjLOwcb8u83HoBAOiBPSx729SDPIWwH8F3fB57z6dkpvY0hxY7yQ+tZ/H20Qw97ECdslrMnW5pdn
SQCzP9fBK5IO2eA+NYzwCsqdU10gRk+ebfzyf3EV9KcdQKeNFHeI/o60uCvvDcAWexRE/hOTtkoD
f381YKCV6oYSBoE7GSEnU0gku1ZwmnlIK7GECSkBVSD8u6xFlg04oAbi/XW3wdpzSyvhbIksHAxi
6NqD6mTydBQzavQKyy7EQnmmBefCm50g8p7vwHEcGvXPAO2niR16q4hBYTavmiAHE9VUUZ+aNQrV
/hH6T5n9wVitM/9dqa9E1UAX4NZCumfzNf+8xtsSuIH+lIDQuWQtSFlkV8J+DfoaJKb8Yrk2ti+o
Pq6Lu2/hhkuIs8fbIpizYuQ1KtPWjtSH2ER7QFfEF32xIQqhuO3zhJZHwyIKRn8dnTnldpY8diEu
SDbHO8NkaQjem9r2qZ7wApY3zeRQeZVW4lUu8xg1WuZOrrNX0i9TLKAgWnRWoATfKiPvHEh/tEWi
NeBb1/hepookNUCUl5GPWnySyCpjSUAX+OwgnoLMxiH2SNetPl/b9g+bMJ5zKY0vAUUgHBCqB4LV
0FuCnwhfxHPz92IDnJSs7KNP9xn799QqpzpqOTgp/ccYmmTFLWpsXQLUZIm8TiiADlFKz+LslSIy
3e6Jt8UZtJhqD4db7RH7NDDvOBX95+0tWxTCCnRvKlURX7uH+/aMuC+0ulzSvM8g2Zj66+jL0J1D
Ua9F+nOGxn4Et8gWy3/K6wAZxy4PATv/OOVvgROeW2idaA2f/Q+HjvcfgWZcjeb/8XzEwmHQSPrK
OPEILFbhjrpjWlcEukpYcE3ycm65HCxNfSNbkYYCZFQy+7SJMQaZELBDcQD6GrAa1JZdm4Zk3n6S
+8b/m5vBPIKfHU1BwOnk6ytdRLg1bGdL4lKkGrJwLKWgClCb4UloMF8I5DKT+3iYFt271FKIGId2
jO3ZUrvNHw7FkogfqM6LDqV0b1+8lVJkRb+VCCfvSLYk0HA8ts9jYmUjSkkx2j/qDWKrmUTi5tf0
mwaHTjwlk7sOGB8G/gGx9I6NDW7+u3bpIQvwOAAP5YFw0rrStfiAvAdeVKbSV524dEF+Nl9kZ3Er
fleUC2brxUvywRdvjCU2JyAwcmfk/tlOqzKnatxhMDMaB0zHZTQ4Pjelsxugfl1ZTRwLa7y86s0X
wOvYe+Bf4n8267yZeq2w27lHjHDM/UvBcP6zqCPgXuDd5SHN1BddWHWEjQYqNpE/XHQvbdC+B43Q
i4/UDSk4GpDLAldfpDvYV17uhWzcR5p1PboEDXg0WC5ES9zX9k+HHTZHxGJDNRTVn+LR168dMFTM
hnZ7U8c7KcLzwZO9kC86prC/mGwhuzAb56vGuG0qyKWqHq9j33OPRDjbR3q/aGkcFoEd9qKv+nUh
SdfL6SXi917EITRL2y/m1z3oGS+CaRUAjcU0qyaBAzrpZMngtBf4s+nB0SjBZKNUlbYnKUt3O3rt
G9MRaiwcJPzM+SFO1T/Ss1FM9OKQFU9rsLBx3/1w6pjjKZ7BhHtb2ztO0JeZY7p8Br5XyOsh0+4a
OVhPqdrNoO5Eo9eQy7iLDc1HVy+QUhVG8FgO1/DqcmSdIFGZiCtkcZFnslVbdaJxGIKJf2mZ3glw
C1ldzp2dsfZAsqNYSA5h6O9rX0xLKq7Jd77mVe31GxaMZkzagjiC3/L8/itcwuEq55LENe5ZO1k0
vC1RqVaUhGNRlkbVyEgSrEZ7ZJtC5OrikfS0aAA7WMWEUuQztN2rsFumogAg8Hts2gnuL7LamdG9
EUviaNoi8yTlOBs8KCmhG9CHjawEQOe5He1Eju4Cn0KY901GrVpweqZFYoEQD7sdfdsb4rj76z97
T8wOEaFa/7rKXlq3anFiZ6L+DaCBHoRCqwZegRgG+vOq8cNT3rv0Q4e+mMSeoos1OngXEgRAHYYB
yCo7FZu4nrTQAt1lphT4Vj4kymFFjpQ6pwailHgc+BUZqH/4Wi7KwQ9UnxaQCz5hu4dPeO1a08td
H6irWnL40k3XQmPXQlR359Yv8Y0G5g4FtrW5kv8f333AQnYM6HyWNfN33wtZHRgS228T1rA2MF7D
4Ut5a68fC4UcUmNJq5v67xHBgYXOjD8FDl1EVl71ph0f3/f8gyNb/wMyZzsStNdypx1ln25qvJeT
eoRyI3L3EwtedKjF5zxsPZcqihzC+psVnAJYEgaEY40JdpTnaal5HdlJJvHKLXaa4KC8zTBlDWYg
NeuKQjKxB84RnoIvE3fKHRSOHCDvQ42Hc6wRbVa8MCwT7pclhd2GnK3CN0TYGFTGFnHjDRP99gDy
KbRiq/RHcvgJb3pKVF+iy8CSELvPiCnY90aYayTIOizTQXrtBWN94Jwoo7dDK23Cno9MfPy72hZr
YSI+9fMhqojnKnAAmIkxVdLkGVZ9eQtKc9DAAinyBN0FkNqErXz5ktJkFW0ladfCNtRkwhloybCl
qW2RmeDhYsgvxD+GAJAk47JRVIkFwV7onYHy2qNJX23Ky9xI3ZOqaelhc5Ts0hc9Ay3TmoQA7mcl
AE6r8brDfvUxey0SPokIOS5Bm5FVnh0DSkfF0wEZU00/vc0jN6p4LPOYznapNsQB5Em2rAdoIzG+
xOgWTuY/qtphRJw7ICAYDS64XZMN728XVO4LbMkGeaxDFNvjJHobyKRHIwUK/sy297wD2p1nqsKS
PA773sH0056gzjDWtzProKK1t3Uw1z4TAS7l2p7If54ya9YRvyFQvcqI0/3j/Aj7CzIAm8NwxuqQ
sHRQthlpp6DtQKzhPKWYphec1fgem+PMd6i2gaJjhJnzNyCxx82TBnvheD4+bM+e5AFAJrMWNcxb
2hShByg2nCpTYUT4vK2zLET2YtVEKHmBvrJuSeDX4ELWWEhDcJ8dm21vQwNtY7ntGRZ029sLCP8B
iZH9Q6g9tSmCtEMF9FTMRxtq6SGZ+5DYF/HPuNyXeFEojfv3aPIcSeXTdDxqwoF2IZKHs7xm7GYj
6UouKl2T9Unb9REZ46ENsBgmalXcOKgmprcZcQJ4pVLl1oG7COF05WYo+dpbRa7Ko4X9UQJ+7jnT
JLet3K3UvoIOIY4/9qGDhBh8aJX33uQxvh/5pQ6CVRgAaz3bL061kHQDL52/LbYgyEa0UsHW5RNd
KjgiAKNVvnqtW2FBorMt9gU0CeSCQ6nRhiJkxe1bjqw1+xkV3C0jl25rLXgfSIrimfxP03hS5KoR
y/jYgtOtp/gW+yVSJkE4hqKT55ntTJVP0/Jo67j8cUK++eGyP2zzLEYXHgpukEtgHhGDEpLTJR6x
iy7Xz+NWNc2EAYK7+IuJepe4eYg2OT7SDkOklF70yEvq10nsQWDqVdcYG01vhQ3wGba4Xt6MyAFq
ICRiWxWi6CbmU9BvDrbv5DNkpuwfJUao/0Ocd1+GniZyNOupFTM6RkFzvLTZmCwZw8At5jWVba2j
MN76S//JCc7xDx0NrU3XOchp9f/4vmzOlmp6JxlAh+jg3w9Mh1XbtOJJj74llGNVj+iaVUExi/+T
7M5MXGb5puHNAFx4IufrJ5Hg7EEzCsPi+X8V94F5jj5Kxg+2MzU9U+5LxHNexDhUGTOmOSMRA8Zi
CPsM68fFDfBwtvTcwY1WYm9Hdq1FiWiOzdlTTkcnZfVW98y7NVDZIGWf3Hxn/qPhVOHvwQn3tECU
wgCBdbMMbFqQSPzia+Ua+bn92KOgzUb+/TpBVpDI12gfT/4IHEDchzp8U+jmeoQz7uk5C71Y7FE6
BUFcGIfLXvyKycHKQrCUP5CHgoTjyt2CdYQSvEuDWBdQOuARemjmvA4doXbswkDYv4ah/QtPtc6X
ddoiSgrHU/ZMdewoTEeam/o+4q3VlShvLdRmXBN/0L7GqnB0QX48SQ45ViHsC3eQleHuewEbzWyt
dJytGimVeW4EcOhl1RTDmiTL/XhuuQyX9r5wW3tYPU9TLRpcoQQORzh4yyVznNd3EJLcmPrDzI9B
iP0fSIqIZvm6k2X/CPSvw2g+/fdwHYApY1Y4XVJ3q3qjn347a6qY6ingn2QZ9ZChUQCswHJul/Ge
/FMOMyPchAy1VmdPQUTi4C3k2WmaL6WTMsjlIfTdmQs7pup9dkPe3on5iToXFbUVgIQuTgCEMLZN
DiJjFMvFT4EXNxWDWAT5bjYw4JuWfwcM/bwgRtsxHojzyLSPnejOIgLRG1jUQbmXtifvF9Hf2iF3
1hWPvXsxOHq7EQlLpMbu2odVIL3s3dG4Jdhx1KyUJbj6CJYBHVnpeyMXHNSuwQEcSZfDpVYTmLvc
ePdgnqknOqnP5UNT7wPoMF013XDL1csI+aOgoPBfbXn/hGDPl9cKG4nLggttDZVlSfBL/3Qxf5C1
Zomhg9QrPuvdxT5ZDAdTg2yCeNW18Aa5sVg0ZKaumHmFbImUkmEjjktdxe+MSSy/R5LKLX7GPwiP
W60CpwLbx4PNtI54elkAa0V1Atkko/yQ/ceBDRASVJj41Sn2614nMzzHfw9g49jmpWNLL029QLS8
j+oJxbmx+Ni48K81NlJoA6K9S8SSST6ksqNT8fkrtgYMotG53FHYUZLJ4hULUmq+eF+b2thDOBDL
iI8Yc5Co/019QwD0MUijKTkZe2G1AyEAc93kWyJoyA8hQ4j73TZpz+D1u9XQUgWB6VSdh7FmIcGd
1iyfSK1v2KjjzgGhvw5lSLA5gSVKLMPU01KZjQ+c7/V0YCxnD3OtLaNM1pm4rc2MbMjAZT0MAjkt
6DAtJzgEbZSgT2vLqeH3sb9R8JjL9+Ul6/HpaE8ZRzfbmda1C5d2BL1rxQF+7/tSYFZZP94ntuub
tu+RGkcxfaI8fGPcIZqrpIh+V1yBMLEvbZbj/y9TIhjuFrEt2YIY9eAgT09VW/KtUOwFhzqfwc5q
KCg74CSqSSpmV5YefROkcw1nd9KYH/TURIapYAevuCwpaC01l2FGhQ/9a6MlPpc9/DVEiN+PvJsz
kLbbZYeCu1EYYV45sAzOQu4LFVamu+Un5Z4oxePzdIg6mBTtOUns/MwGo33vCJXKGUEDSLPyejzl
M6oBR+SXC1e+ShZ2BptGr+kkG+PzunK13GUYL0Ejz0WIltfzBadCXB7mWM5cy/gYs4OGQCPauOTM
Xo+DfDdZ+GpLgQeAnYOJQIGEugrHhbh6UD0LUHFn5NmuoyJdgpQHPRZ0CyKBFogNhngNnYouJZAR
cvbVhENMKLFQQgQJ7QwKUXwXgSARq7bPfTVGrzm4zgB0SrWy4vuF1MUX0T7W4VB6JkrgH9qqBYTo
Z6TEq6K6bf4I+5I12KQ+Q9T7KsHZ7W0ak/qhyxGbmOuPpAkvhdYRAKTVpHWMcWV2F7bP8zk1AWXB
D154IjkA5/EVXnQ57zjv3dpD2nSK9Quupz5krFA/9V+OTZL/NjW8yfejzgdBXvAeaA2EFaaloER8
wGCRp671r7iIoCLk/ty1bbI3czDPKhSjv85pOxhhrxKmNVg1lndT11lmy0+Ue2Ofoq1jrFTg/X9f
fCOqAqiUgM62kk2qLxYrczc4ZitFufnUFQJ9SV5b3FeusIwaxpVsyv3dAMSt6UwkkuxlLZ19WGRe
oEGLkOHT2uvHOdLtue90d8FjH+95xxl2iFjKOSIQJqPcJ3PMaeBKqOqFOluAWAldf94PkQht55Oj
wtfYOQzHtM7ZaVjp/LDHJLYEpBBLQf1EYb67IPonr3gq9KL79TTbjX9FZ4dkqIfy62ZlfhJeOyG9
RQcmXmUUsrpKAPaG16NDu38oMfNiOMf5AFwi+xbyX6i+zi7VuyuURkKOtQOOC5XFTnb+DUGMfb60
MnUJZVWjXvAsXagEOl8r0DyGSD5Y3icLbIKukKXvHGHyd5c3EfXZxL5oOTwdVwKkY3bfumfqN1qi
OXtYM3v88yc5Lh5hwC8HL6FXOcu64qq0CoPBhqgL6CX+39gWVotPRcXg7QkyExaMMEXGr3qaYa7+
hDqZt6kXaRYzJGtFCOEz1iqjHnEwm4Ssjtriy2KYtsUYyjEEROgqw0cvT7v5dpXaUV04/CMpqrX/
Y3kvSdE3QQYCEQ118LwcbwEag0ucLxfhxqCU6WuU0SIkAxxxkTflLAEpnlCP9Ow0VWRXRK9tOcPv
64v9pjqWVaXJedrWQy6Z4MrGThYClY6bTEehFrnBAcERF1ZKEhDHB1kJK+eZ572RRZ8tDJtlFJrP
hdbZZXotSaCDf2IahixsX7gFUKgsq8omkiytNP53NpCFLBLBb/oEJ+8iFYkIreGWW51QosCqiv0O
+o9VZ86qzu7+nWGdkNQ2ip4o0wC02p+GF3KFS1pk3DoP4cLdhvGC+boqVEmKVHm8QpEHykjBsIg1
20QF3005UPB6JEokEB3JxP675k1XgDX3cKaF+8oouN5Ede9IFinR581Bu3BhTDcaYJO38cdMfkI0
cpXJQUJe8SwYgWxUWt/RvWTggYas4fYfSu51DXhLJbg+8q0giQTCtynTy12TL+8Nk4WUAnbxwhtH
95mfi+XKQ4ZBTCMfgrk8sEAS6DEennqeXN1Rbf+5vRKkC405iU5pkfwmak7aStTdcunrW+sfpM7S
GerIxICxeEW9fjaMFpVdY8NVwblUAKpyOJZuISskIvqwcE8SgkfTPm/Gv6FTrS/vdYHmOayW8d9X
veFi0pLYydP0e/hETSfnYcG+OD10Bj7vQpjZ4TmKXudpUFksRqaNCEwXkAk+4N1Kyx1OqrXI0dUW
G4wzu7OxwJmzsc28e+uiu+qjqwaXUneW7qKnwPor7YzcGo5KVySMLGa/VJ5CvgCZq2Tm8qRt5dKx
drX8/VnkIKtmG61Tmxxf/EFheFknGLjxgvXp2Xno0MpP8E0RNGtp/rFDA48uktYcmzCShkqAvunV
4saPGXWcGQoqxhGhRVyRlsS8vrE9hz5+gxfSyY44nFPRNFw1dK6mJ9nWA6BLf7rUvHSBp5FupfOr
gu/ZKi4t4Lym3a4BlSOOl5Qb1SdFxSiOMDqFO+yncQkSZ1reHjEZRNxprxG9/pfWHPNOovz+hIxi
idcEZ8aacHVv/1VL6CE51TQtNwr8TPHAddRLgVoZTXfN9jzBKJSCeaLUzM0G+KzZOuHecfB6gUcl
J8SjZdd6TdYtNLpNCKMfOKEbL+gU3khDVgkqsNZOjwzAKespWg8DxoVFzHqgFJ4NEkX1Z89c/4R4
YUJbg0fTAAOnUWtS6Ttk7J+CQ15ZVk18+BVTKuNOa2UnFwra1htSNtvgvuZj0PXzDMuOO+rhzArL
3KFi1GYffsfXeNpSF2Y+RBmncQZCFGxTb+kFllt60QNIcw8i4K7ECihIZ1mvVHtjUErUFb5wPmJh
HjFnaV2/oyNR8uQwi5vL+eT3RkLsseI6i3v6j+zLbTztNB6btFWCpA0okavw+FtlNlJe8b7HM1Tt
Cj1NKGfddpIeZyY+imCc0NuKiv8Ui8hPgA2vWl62dB4u/4IagXm28k78AXW1vfSnAadXzKhxBmev
zgwa/uCXxn4oPszDwTtj2IvhL+DhOu+qZ6YZ4ph2mYprYPAZTJameCWbJDeO0YEVj09jvj/BZy8e
kjk+crWYszUAZqRe8tIXcsW59lAz1YJaVrkr/dIC1hDPsvT4GZHwUauuozgA0T56MVjYeIOkxCWN
3nrm1ltPOVrnSGszISMo5GafM8CpZzaS3WG4sxlR2bYE2j9ldoSoVEGx3jB56EPtH4uvhGXK/LAb
ZFgHbxBEcdQKQUDB3+xz5S8Hz0RNCSk2Eadx3OHc4brH5TyQU/nIYM/4lFSVRs7Wq9ntqXVKjTLo
kQINEaI72Mbr3gTXB43PzDEypkwc2buZS7ENr3xoGC5LVP7khAaXMr+NqW/JxTZzynUmGo3a0AQK
tzUXcu5iqri4fKPV6yJH6MVLeciOQhsMnactTw3d9h9Cs+YJDGcvDEtFp04AHyIHd0BD2iM0M0ww
5fdbnUvW37a3XztgyM011xg6Zg2EosD/lNDVsWtfhcaPE8uoW6r4YfoA7qaPbXApJC0wMUfhE5g0
WpFLoXIEd3UGPmzTIRs0bjNALW3wYkcN8En5UZidOib7KyZGMYmXoa3vGQZm8iOwcE4jGE81KhOH
dbe4J4FkM5NP69LWDkt63lJjRTEV29y5UtkgzCKtKBjWmLzMSkWDpT42peEwL/Dh5zbkdoE0pGBR
dyyMy7K8LXUM4kfzSg2YAMfbqb3/c7ofIWRblbSDORChjcwV3Jp3nhGDdKXmNqolNkOt5yXNG0gn
OTtriS5Gi98iQqy5T6kk5kt9RFSPOXD6N5IUifFgZsEU7+ncn4PvE+zmSWVaOMU+x1drtlrpD0qu
rpKQaBW17oeozlNheQTXAHL9x7HdHS1flHewyhLjnDmKcrQYyCWdq1Ld/3OXLviDjAGT8ceNzhIN
PBJe/VTE2ahJNPnC58zAxmb7vs36sxv//LOujTNUuRKZjLvOa+99pYSfIQ+Tiyl1fDnBoTnyDYmb
tKTJDtsxCyffpYQNrnV5rz4eiC825KAnn1NjqiRn9yAEgsRXx11+8zo5JpRVaeJcA6J1/t6oHmLn
1l3VnPhXlasMHiuCu3K+B8mSTSXRRWVTN2Lk9VYOELk0YMY6E4ZAyyrrmjpcV4KQQnJv4AfThAGL
ah79+l+oZ94DKdoKgpnVINXhyvEYa4e0QI2HH0QnlXxNb5fg7Nmjhf3B/Mdp4EK2JvXygkTheaHG
RaFdSo540cGaRcpQafTvCUG2mS8uJVeFz/G04csJybyM5qK2iTzQTAsYzWz9hL7pAzVOAzqlRGKO
EKl/rJ85hWX26B/B3BQXmc1Df7A00ip1dsXETbqZ5ax4r2ipm7eZqbSRnH4Y2F4hoAh8mDMJ+BcD
L6ZXXeGGajeBwAfxjySStWoDdFDj/mCdetFhOt6vJQ8QABAy/4GUBFowPeX1DimokXkI9r+WPDyW
GJ9aQv6Rh0XnmOfJbewo08UH3XoeavfkaI0XdZfXublo8heMR/JE8nFcBQXE5jVzuml4TbU3Os/R
T/Y723+jeJDG8Et3ESiExP7fQMlEo3wJKy+RJoQUcuODMGwSQHHQBL2BHNH3Fh+1ZFz2Li9HfsEl
U5NsdgRXXvHVmHDo2nxYnIFPEBClJ9UDoHTpIaxv2YfagckWXCdgxzVelArBv1zTF01NN3xxyuuM
sObo//1hSf0wS1byzhaY3cZ7kAw28RX/bSYcAuKkx9YgixelX5D6OWmUTx7DHW9cxwcCchAX96P7
GC51Okq7O7Uxina11ojG3AjObZhyfQnE6ys/7mExEyculGHr5sdkSmZX4mRgsHJ+d/Mg3xLrmP7K
I0nQTOdVax1aTb8Zo2m9bZ0sue6D1cBF8PyELeEHsFS1jYyi0NiFg5VBDkUw1AxTsA8pH0n3o0SP
eS2BkDnivVmQU29xYzb2V+RXoDHvLpnVyARqEzF0xpB3nFuefaT9zzEovRfnqrmC9ZZx6GtVOIhn
+gSgtPXu1Vo+AJ8yLElnBZNWzbAkRAkWP7ZRZHogPQ/nr6jw4EyeunQ58zGT37HEqZuwxhp9gjQX
kAXKdc4c8q1lJZ3P0TXMb6v9rh3Pi9znYdNnyyEmYUpiFhbx281v8FTX9UhyAbuLJPA7DawVZUqr
rRyN7vdv23mZiSSsbh1PmVRyjSouEkbn/MC3/2Q3rTvJ1qzlN31V6EjmhAnJpS3dWk9PcFp6kEqp
89Bs9GqYVuhXzPhklTB/vOy4l+FQkGZNzX5/Cju3g0rJBvnl1Jl8DI3WUEa7CzBHfbdENLWkRYu7
KcD5OEIBe0wCvYAQ4AnxnzimkqLenUvWUFlnG6+o1kBhWimEjJqiq2RJEs1M+dXhvQio1Apb6n+4
fmIGQZcu6/2DV+YHKm+bAXiSmEg+cJEdSrspW+RsQ1ShwPKirXyJLSDdOgyYd7l0w6Mj248mEDJ7
3KvxC7c5P9mSzEwPzUBOUhOpu4fOAlduCJGtB6fnJTbKZDCl61EDTf65oAW4F/DCqfQNR6shfZcH
BQUlPCVCGzvwLHRZsOzfCJJ20kYsnCf5J+FkbXdkSYzS8cmKSIH4/0YUL7AVKvSm7w+lWS2nPIDo
L/jDDhLekV/BAL0sc2J97x/99rCUFWw2mthR4GypfARqPLsWYrj1A8dqXpJZChKbOk0qzqkHmIlI
J+J8ocM1KS0Ls0Tidwku64854g7VY8vRRwJxPYoOeYoA6w==
`protect end_protected
| mit |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.